blob: bfa15eb0eb219b6f4f4785aa28c6378472f81ed8 [file] [log] [blame]
exec> report_checks \
-path_delay max \
-through _108ac41800000000_p_Instance \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-group_count 1000 \
-slack_max 10 \
-digits 4 \
-unique_paths_to_endpoint \
design: caravel
time: Mon Dec 5 22:25:05 UTC 2022
Startpoint: soc/_31742_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: flash_csb (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1268 0.0030 10.3237 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1320 0.3162 10.6400 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0410 soc/clknet_4_15_0_core_clk (net)
0.1320 0.0020 10.6420 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0619 0.2509 10.8929 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0102 soc/clknet_5_31_0_core_clk (net)
0.0619 0.0003 10.8933 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7609 0.7559 11.6492 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2941 soc/clknet_5_31_1_core_clk (net)
0.7613 0.0152 11.6643 ^ soc/clkbuf_leaf_203_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1417 0.5759 12.2402 ^ soc/clkbuf_leaf_203_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0694 soc/clknet_leaf_203_core_clk (net)
0.1417 0.0020 12.2422 ^ soc/_31742_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1783 0.7451 12.9873 v soc/_31742_/Q (sky130_fd_sc_hd__dfxtp_1)
4 0.0190 soc/core.mgmtsoc_litespisdrphycore_count[2] (net)
0.1783 0.0005 12.9878 v soc/_14217_/B (sky130_fd_sc_hd__nor4_4)
1.3719 1.3447 14.3325 ^ soc/_14217_/Y (sky130_fd_sc_hd__nor4_4)
8 0.0607 soc/_13681_ (net)
1.3719 0.0025 14.3349 ^ soc/_14218_/A (sky130_fd_sc_hd__inv_2)
0.2470 0.4054 14.7403 v soc/_14218_/Y (sky130_fd_sc_hd__inv_2)
1 0.0118 soc/net220 (net)
0.2470 0.0003 14.7406 v soc/output220/A (sky130_fd_sc_hd__buf_12)
0.0427 0.3137 15.0543 v soc/output220/X (sky130_fd_sc_hd__buf_12)
1 0.0123 flash_csb_core (net)
0.0427 0.0005 15.0548 v housekeeping/input84/A (sky130_fd_sc_hd__buf_2)
0.2259 0.3895 15.4443 v housekeeping/input84/X (sky130_fd_sc_hd__buf_2)
1 0.0492 housekeeping/net84 (net)
0.2263 0.0087 15.4530 v housekeeping/_3943_/A0 (sky130_fd_sc_hd__mux2_2)
0.1759 0.7475 16.2005 v housekeeping/_3943_/X (sky130_fd_sc_hd__mux2_2)
1 0.0215 housekeeping/net249 (net)
0.1759 0.0017 16.2021 v housekeeping/output249/A (sky130_fd_sc_hd__buf_12)
0.1171 0.3334 16.5355 v housekeeping/output249/X (sky130_fd_sc_hd__buf_12)
1 0.1174 flash_csb_frame (net)
0.1600 0.0556 16.5911 v flash_clkrst_buffers/BUF[11]/A (sky130_fd_sc_hd__clkbuf_8)
0.1675 0.4022 16.9933 v flash_clkrst_buffers/BUF[11]/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0824 flash_csb_frame_buf (net)
0.1675 0.0000 16.9933 v padframe/flash_csb_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
2.9354 7.4466 24.4399 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
1 11.1630 flash_csb (net)
2.9354 0.0000 24.4399 v flash_csb (out)
24.4399 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock network delay (propagated)
-0.1000 24.9000 clock uncertainty
0.0000 24.9000 clock reconvergence pessimism
-4.0000 20.9000 output external delay
20.9000 data required time
-------------------------------------------------------------------------------------
20.9000 data required time
-24.4399 data arrival time
-------------------------------------------------------------------------------------
-3.5399 slack (VIOLATED)
Startpoint: soc/_32577_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: flash_clk (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1268 0.0030 10.3237 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1320 0.3162 10.6400 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0410 soc/clknet_4_15_0_core_clk (net)
0.1320 0.0020 10.6420 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0619 0.2509 10.8929 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0102 soc/clknet_5_31_0_core_clk (net)
0.0619 0.0003 10.8933 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7609 0.7559 11.6492 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2941 soc/clknet_5_31_1_core_clk (net)
0.7614 0.0166 11.6658 ^ soc/clkbuf_leaf_200_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1190 0.5560 12.2218 ^ soc/clkbuf_leaf_200_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.0519 soc/clknet_leaf_200_core_clk (net)
0.1190 0.0003 12.2221 ^ soc/_32577_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.1327 0.7376 12.9597 v soc/_32577_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.0270 soc/net219 (net)
0.1328 0.0028 12.9625 v soc/output219/A (sky130_fd_sc_hd__buf_12)
0.0420 0.2560 13.2184 v soc/output219/X (sky130_fd_sc_hd__buf_12)
1 0.0118 flash_clk_core (net)
0.0420 0.0005 13.2189 v housekeeping/input83/A (sky130_fd_sc_hd__buf_2)
0.1829 0.3553 13.5742 v housekeeping/input83/X (sky130_fd_sc_hd__buf_2)
1 0.0385 housekeeping/net83 (net)
0.1831 0.0061 13.5803 v housekeeping/_3945_/A0 (sky130_fd_sc_hd__mux2_1)
0.2223 0.8527 14.4330 v housekeeping/_3945_/X (sky130_fd_sc_hd__mux2_1)
1 0.0157 housekeeping/pad_flash_clk_prebuff (net)
0.2223 0.0010 14.4340 v housekeeping/pad_flashh_clk_buff_inst/A (sky130_fd_sc_hd__clkbuf_8)
0.2453 0.4507 14.8847 v housekeeping/pad_flashh_clk_buff_inst/X (sky130_fd_sc_hd__clkbuf_8)
1 0.1244 flash_clk_frame (net)
0.2737 0.0658 14.9505 v flash_clkrst_buffers/BUF[12]/A (sky130_fd_sc_hd__clkbuf_8)
0.1629 0.4573 15.4078 v flash_clkrst_buffers/BUF[12]/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0798 flash_clk_frame_buf (net)
0.1629 0.0000 15.4078 v padframe/flash_clk_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
2.9354 7.4436 22.8514 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
1 11.1630 flash_clk (net)
2.9354 0.0000 22.8514 v flash_clk (out)
22.8514 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock network delay (propagated)
-0.1000 24.9000 clock uncertainty
0.0000 24.9000 clock reconvergence pessimism
-4.0000 20.9000 output external delay
20.9000 data required time
-------------------------------------------------------------------------------------
20.9000 data required time
-22.8514 data arrival time
-------------------------------------------------------------------------------------
-1.9514 slack (VIOLATED)
Startpoint: soc/_32578_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: flash_io0 (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1268 0.0030 10.3237 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1320 0.3162 10.6400 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0410 soc/clknet_4_15_0_core_clk (net)
0.1320 0.0020 10.6420 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0619 0.2509 10.8929 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0102 soc/clknet_5_31_0_core_clk (net)
0.0619 0.0003 10.8933 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7609 0.7559 11.6492 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2941 soc/clknet_5_31_1_core_clk (net)
0.7612 0.0146 11.6637 ^ soc/clkbuf_leaf_207_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0889 0.5234 12.1871 ^ soc/clkbuf_leaf_207_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.0284 soc/clknet_leaf_207_core_clk (net)
0.0889 0.0005 12.1876 ^ soc/_32578_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1684 0.7118 12.8994 v soc/_32578_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0178 soc/net222 (net)
0.1684 0.0010 12.9003 v soc/output222/A (sky130_fd_sc_hd__buf_12)
0.0422 0.2744 13.1747 v soc/output222/X (sky130_fd_sc_hd__buf_12)
1 0.0122 flash_io0_oeb_core (net)
0.0423 0.0005 13.1752 v housekeeping/input86/A (sky130_fd_sc_hd__clkbuf_4)
0.2806 0.4292 13.6044 v housekeeping/input86/X (sky130_fd_sc_hd__clkbuf_4)
1 0.0780 housekeeping/net86 (net)
0.2813 0.0125 13.6169 v housekeeping/_3947_/B (sky130_fd_sc_hd__nand2b_1)
0.2794 0.3646 13.9815 ^ housekeeping/_3947_/Y (sky130_fd_sc_hd__nand2b_1)
2 0.0163 housekeeping/net252 (net)
0.2794 0.0002 13.9817 ^ housekeeping/_3948_/A (sky130_fd_sc_hd__inv_2)
0.0796 0.1567 14.1384 v housekeeping/_3948_/Y (sky130_fd_sc_hd__inv_2)
1 0.0094 housekeeping/net253 (net)
0.0796 0.0001 14.1385 v housekeeping/output253/A (sky130_fd_sc_hd__buf_12)
0.1212 0.2881 14.4266 v housekeeping/output253/X (sky130_fd_sc_hd__buf_12)
1 0.1204 flash_io0_oeb (net)
0.1592 0.0534 14.4800 v flash_clkrst_buffers/BUF[8]/A (sky130_fd_sc_hd__clkbuf_8)
0.0892 0.3370 14.8170 v flash_clkrst_buffers/BUF[8]/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0340 flash_io0_oeb_buf (net)
0.0892 0.0000 14.8170 v padframe/flash_io0_pad/OE_N (sky130_ef_io__gpiov2_pad_wrapped)
2.9354 7.8609 22.6779 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
1 11.1630 flash_io0 (net)
2.9354 0.0000 22.6779 v flash_io0 (out)
22.6779 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock network delay (propagated)
-0.1000 24.9000 clock uncertainty
0.0000 24.9000 clock reconvergence pessimism
-4.0000 20.9000 output external delay
20.9000 data required time
-------------------------------------------------------------------------------------
20.9000 data required time
-22.6779 data arrival time
-------------------------------------------------------------------------------------
-1.7779 slack (VIOLATED)
Startpoint: soc/_31189_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30758_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1268 0.0030 10.3237 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1320 0.3162 10.6400 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0410 soc/clknet_4_15_0_core_clk (net)
0.1320 0.0020 10.6420 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0619 0.2509 10.8929 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0102 soc/clknet_5_31_0_core_clk (net)
0.0619 0.0003 10.8933 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7609 0.7559 11.6492 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2941 soc/clknet_5_31_1_core_clk (net)
0.7612 0.0146 11.6637 ^ soc/clkbuf_leaf_207_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0889 0.5234 12.1871 ^ soc/clkbuf_leaf_207_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.0284 soc/clknet_leaf_207_core_clk (net)
0.0889 0.0005 12.1876 ^ soc/_31189_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.4084 0.9512 13.1387 ^ soc/_31189_/Q (sky130_fd_sc_hd__dfxtp_2)
6 0.0506 soc/core.gpio_ien_storage (net)
0.4084 0.0047 13.1434 ^ soc/_13758_/A (sky130_fd_sc_hd__clkinv_4)
0.0970 0.1939 13.3373 v soc/_13758_/Y (sky130_fd_sc_hd__clkinv_4)
1 0.0091 soc/net223 (net)
0.0970 0.0003 13.3377 v soc/wire3378/A (sky130_fd_sc_hd__buf_4)
0.2135 0.4106 13.7483 v soc/wire3378/X (sky130_fd_sc_hd__buf_4)
2 0.0907 soc/net3378 (net)
0.2207 0.0319 13.7802 v soc/output223/A (sky130_fd_sc_hd__buf_12)
0.0473 0.3081 14.0883 v soc/output223/X (sky130_fd_sc_hd__buf_12)
1 0.0194 gpio_inenb_core (net)
0.0473 0.0000 14.0883 v padframe/gpio_pad/INP_DIS (sky130_ef_io__gpiov2_pad_wrapped)
0.1304 22.3616 36.4499 ^ padframe/gpio_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
2 0.0058 gpio_in_core (net)
0.1304 0.0000 36.4499 ^ soc/input5/A (sky130_fd_sc_hd__buf_6)
0.1167 0.2532 36.7031 ^ soc/input5/X (sky130_fd_sc_hd__buf_6)
2 0.0321 soc/net5 (net)
0.1170 0.0042 36.7072 ^ soc/wire4171/A (sky130_fd_sc_hd__buf_4)
0.3660 0.4456 37.1528 ^ soc/wire4171/X (sky130_fd_sc_hd__buf_4)
2 0.0818 soc/net4171 (net)
0.3686 0.0262 37.1789 ^ soc/wire4170/A (sky130_fd_sc_hd__buf_6)
0.2983 0.4758 37.6548 ^ soc/wire4170/X (sky130_fd_sc_hd__buf_6)
2 0.0925 soc/net4170 (net)
0.3005 0.0214 37.6761 ^ soc/wire4169/A (sky130_fd_sc_hd__buf_6)
0.2728 0.4342 38.1104 ^ soc/wire4169/X (sky130_fd_sc_hd__buf_6)
2 0.0841 soc/net4169 (net)
0.2745 0.0184 38.1288 ^ soc/_30758_/D (sky130_fd_sc_hd__dfxtp_1)
38.1288 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0019 33.6795 ^ soc/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0704 0.2320 33.9115 ^ soc/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0143 soc/clknet_4_1_0_core_clk (net)
0.0704 0.0003 33.9119 ^ soc/clkbuf_5_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0641 0.2077 34.1196 ^ soc/clkbuf_5_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0112 soc/clknet_5_3_0_core_clk (net)
0.0641 0.0003 34.1199 ^ soc/clkbuf_5_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3548 0.4279 34.5478 ^ soc/clkbuf_5_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1324 soc/clknet_5_3_1_core_clk (net)
0.3548 0.0029 34.5507 ^ soc/clkbuf_opt_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0669 0.3287 34.8794 ^ soc/clkbuf_opt_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0174 soc/clknet_opt_9_0_core_clk (net)
0.0669 0.0010 34.8804 ^ soc/clkbuf_opt_9_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0677 0.2120 35.0924 ^ soc/clkbuf_opt_9_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0202 soc/clknet_opt_9_1_core_clk (net)
0.0677 0.0011 35.0935 ^ soc/clkbuf_leaf_400_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1409 0.2762 35.3697 ^ soc/clkbuf_leaf_400_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0748 soc/clknet_leaf_400_core_clk (net)
0.1410 0.0013 35.3709 ^ soc/_30758_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.2709 clock uncertainty
1.3605 36.6314 clock reconvergence pessimism
-0.1694 36.4620 library setup time
36.4620 data required time
-------------------------------------------------------------------------------------
36.4620 data required time
-38.1288 data arrival time
-------------------------------------------------------------------------------------
-1.6668 slack (VIOLATED)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6721_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1267 0.0018 10.3225 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1371 0.3203 10.6428 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0431 soc/clknet_4_14_0_core_clk (net)
0.1371 0.0021 10.6449 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0729 0.2654 10.9103 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0154 soc/clknet_5_28_0_core_clk (net)
0.0729 0.0007 10.9110 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6708 0.6937 11.6046 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2585 soc/clknet_5_28_1_core_clk (net)
0.6713 0.0155 11.6202 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1597 0.5673 12.1875 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0842 soc/clknet_leaf_243_core_clk (net)
0.1597 0.0009 12.1884 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1789 0.7810 12.9694 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0109 soc/core.grant[0] (net)
0.1789 0.0002 12.9696 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2484 0.3725 13.3421 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.0963 soc/net3544 (net)
0.2484 0.0005 13.3426 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3464 0.4707 13.8133 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1089 soc/net3546 (net)
0.3479 0.0189 13.8322 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5011 0.6569 14.4891 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1144 soc/net3545 (net)
0.5024 0.0222 14.5112 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1375 0.2604 14.7716 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0068 soc/_13629_ (net)
0.1375 0.0001 14.7717 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0800 0.2935 15.0652 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0374 soc/net3233 (net)
0.0800 0.0017 15.0669 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.1876 0.3412 15.4081 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1444 soc/net3235 (net)
0.1938 0.0280 15.4361 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1081 0.3452 15.7813 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0582 soc/net3231 (net)
0.1084 0.0046 15.7859 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
0.1875 0.3674 16.1534 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
30 0.2024 soc/net3232 (net)
0.1935 0.0277 16.1811 v soc/_14304_/B2 (sky130_fd_sc_hd__a221oi_4)
1.5171 1.3993 17.5804 ^ soc/_14304_/Y (sky130_fd_sc_hd__a221oi_4)
10 0.0870 soc/_04324_ (net)
1.5171 0.0087 17.5891 ^ soc/_14305_/A (sky130_fd_sc_hd__inv_6)
0.4302 0.7129 18.3020 v soc/_14305_/Y (sky130_fd_sc_hd__inv_6)
6 0.1387 soc/net627 (net)
0.4309 0.0135 18.3155 v soc/output627/A (sky130_fd_sc_hd__buf_12)
0.0933 0.4580 18.7736 v soc/output627/X (sky130_fd_sc_hd__buf_12)
3 0.0793 mprj_adr_o_core[23] (net)
0.0957 0.0123 18.7859 v housekeeping/input114/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.1596 0.3880 19.1739 v housekeeping/input114/X (sky130_fd_sc_hd__dlymetal6s2s_1)
3 0.0139 housekeeping/net114 (net)
0.1596 0.0002 19.1740 v housekeeping/_4334_/B (sky130_fd_sc_hd__nor3_2)
0.5778 0.6011 19.7751 ^ housekeeping/_4334_/Y (sky130_fd_sc_hd__nor3_2)
3 0.0192 housekeeping/_1566_ (net)
0.5778 0.0006 19.7757 ^ housekeeping/max_cap439/A (sky130_fd_sc_hd__buf_2)
0.3319 0.6162 20.3919 ^ housekeeping/max_cap439/X (sky130_fd_sc_hd__buf_2)
6 0.0421 housekeeping/net439 (net)
0.3319 0.0003 20.3922 ^ housekeeping/_4372_/B (sky130_fd_sc_hd__and2_1)
0.3265 0.5281 20.9203 ^ housekeeping/_4372_/X (sky130_fd_sc_hd__and2_1)
2 0.0202 housekeeping/_1604_ (net)
0.3265 0.0005 20.9208 ^ housekeeping/_4375_/B1 (sky130_fd_sc_hd__a31o_2)
0.3846 0.5365 21.4573 ^ housekeeping/_4375_/X (sky130_fd_sc_hd__a31o_2)
5 0.0421 housekeeping/_1607_ (net)
0.3846 0.0012 21.4585 ^ housekeeping/_4376_/C (sky130_fd_sc_hd__and3_2)
0.2910 0.6845 22.1430 ^ housekeeping/_4376_/X (sky130_fd_sc_hd__and3_2)
6 0.0327 housekeeping/_1608_ (net)
0.2910 0.0020 22.1450 ^ housekeeping/_4465_/B (sky130_fd_sc_hd__and2_1)
0.3452 0.5285 22.6735 ^ housekeeping/_4465_/X (sky130_fd_sc_hd__and2_1)
5 0.0215 housekeeping/_1697_ (net)
0.3452 0.0004 22.6739 ^ housekeeping/_4466_/A (sky130_fd_sc_hd__inv_2)
0.0916 0.1766 22.8505 v housekeeping/_4466_/Y (sky130_fd_sc_hd__inv_2)
3 0.0098 housekeeping/_1698_ (net)
0.0916 0.0001 22.8506 v housekeeping/_4846_/A (sky130_fd_sc_hd__nor2_1)
0.3140 0.3227 23.1733 ^ housekeeping/_4846_/Y (sky130_fd_sc_hd__nor2_1)
2 0.0093 housekeeping/_2077_ (net)
0.3140 0.0001 23.1734 ^ housekeeping/_4861_/B1 (sky130_fd_sc_hd__a21oi_1)
0.0982 0.1414 23.3149 v housekeeping/_4861_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.0018 housekeeping/_2092_ (net)
0.0982 0.0000 23.3149 v housekeeping/_4869_/C (sky130_fd_sc_hd__and4b_1)
0.1465 0.4884 23.8033 v housekeeping/_4869_/X (sky130_fd_sc_hd__and4b_1)
1 0.0116 housekeeping/_2100_ (net)
0.1465 0.0005 23.8038 v housekeeping/_4870_/C (sky130_fd_sc_hd__and3_1)
0.1065 0.4544 24.2582 v housekeeping/_4870_/X (sky130_fd_sc_hd__and3_1)
1 0.0072 housekeeping/_2101_ (net)
0.1065 0.0002 24.2584 v housekeeping/_4872_/C (sky130_fd_sc_hd__and4_1)
0.1079 0.4550 24.7134 v housekeeping/_4872_/X (sky130_fd_sc_hd__and4_1)
1 0.0074 housekeeping/_2103_ (net)
0.1079 0.0002 24.7136 v housekeeping/_4873_/C1 (sky130_fd_sc_hd__o221a_1)
0.0920 0.2378 24.9514 v housekeeping/_4873_/X (sky130_fd_sc_hd__o221a_1)
1 0.0026 housekeeping/_2104_ (net)
0.0920 0.0000 24.9515 v housekeeping/_4874_/C1 (sky130_fd_sc_hd__o221a_1)
0.1201 0.2639 25.2154 v housekeeping/_4874_/X (sky130_fd_sc_hd__o221a_1)
1 0.0057 housekeeping/_2105_ (net)
0.1201 0.0001 25.2155 v housekeeping/_4875_/C (sky130_fd_sc_hd__and3_1)
0.0956 0.4286 25.6441 v housekeeping/_4875_/X (sky130_fd_sc_hd__and3_1)
1 0.0058 housekeeping/_2106_ (net)
0.0956 0.0001 25.6443 v housekeeping/_4876_/C1 (sky130_fd_sc_hd__o2111a_1)
0.0886 0.2642 25.9084 v housekeeping/_4876_/X (sky130_fd_sc_hd__o2111a_1)
1 0.0045 housekeeping/_2107_ (net)
0.0886 0.0001 25.9085 v housekeeping/_4877_/D (sky130_fd_sc_hd__and4_1)
0.1552 0.5220 26.4305 v housekeeping/_4877_/X (sky130_fd_sc_hd__and4_1)
1 0.0136 housekeeping/_2108_ (net)
0.1552 0.0006 26.4311 v housekeeping/_4879_/B1 (sky130_fd_sc_hd__o2111a_1)
0.0819 0.3028 26.7340 v housekeeping/_4879_/X (sky130_fd_sc_hd__o2111a_1)
1 0.0039 housekeeping/_2110_ (net)
0.0819 0.0001 26.7340 v housekeeping/_4880_/D (sky130_fd_sc_hd__and4_1)
0.0691 0.4084 27.1425 v housekeeping/_4880_/X (sky130_fd_sc_hd__and4_1)
1 0.0021 housekeeping/_2111_ (net)
0.0691 0.0000 27.1425 v housekeeping/_4882_/B (sky130_fd_sc_hd__and3_1)
0.1418 0.4236 27.5661 v housekeeping/_4882_/X (sky130_fd_sc_hd__and3_1)
1 0.0116 housekeeping/_2113_ (net)
0.1418 0.0004 27.5665 v housekeeping/_4883_/A2 (sky130_fd_sc_hd__a21bo_1)
0.0754 0.3958 27.9623 v housekeeping/_4883_/X (sky130_fd_sc_hd__a21bo_1)
1 0.0044 housekeeping/_2114_ (net)
0.0754 0.0001 27.9624 v housekeeping/_4884_/D (sky130_fd_sc_hd__and4_1)
0.0673 0.4047 28.3671 v housekeeping/_4884_/X (sky130_fd_sc_hd__and4_1)
1 0.0021 housekeeping/_2115_ (net)
0.0673 0.0000 28.3671 v housekeeping/_4885_/A1_N (sky130_fd_sc_hd__o2bb2a_1)
0.0902 0.4823 28.8494 ^ housekeeping/_4885_/X (sky130_fd_sc_hd__o2bb2a_1)
1 0.0036 housekeeping/_0365_ (net)
0.0902 0.0001 28.8495 ^ housekeeping/_6721_/D (sky130_fd_sc_hd__dfrtp_1)
28.8495 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0159 29.7210 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3468 30.0678 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 30.0687 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2119 30.2805 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0002 30.2808 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2527 30.5335 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0014 30.5349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2418 30.7767 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 30.7773 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.2385 0.3485 31.1258 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0844 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.2385 0.0017 31.1274 ^ housekeeping/_6721_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.0274 clock uncertainty
1.2728 32.3003 clock reconvergence pessimism
-0.1086 32.1916 library setup time
32.1916 data required time
-------------------------------------------------------------------------------------
32.1916 data required time
-28.8495 data arrival time
-------------------------------------------------------------------------------------
3.3422 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6720_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1267 0.0018 10.3225 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1371 0.3203 10.6428 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0431 soc/clknet_4_14_0_core_clk (net)
0.1371 0.0021 10.6449 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0729 0.2654 10.9103 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0154 soc/clknet_5_28_0_core_clk (net)
0.0729 0.0007 10.9110 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6708 0.6937 11.6046 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2585 soc/clknet_5_28_1_core_clk (net)
0.6713 0.0155 11.6202 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1597 0.5673 12.1875 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0842 soc/clknet_leaf_243_core_clk (net)
0.1597 0.0009 12.1884 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1789 0.7810 12.9694 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0109 soc/core.grant[0] (net)
0.1789 0.0002 12.9696 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2484 0.3725 13.3421 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.0963 soc/net3544 (net)
0.2484 0.0005 13.3426 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3464 0.4707 13.8133 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1089 soc/net3546 (net)
0.3479 0.0189 13.8322 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5011 0.6569 14.4891 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1144 soc/net3545 (net)
0.5024 0.0222 14.5112 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1375 0.2604 14.7716 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0068 soc/_13629_ (net)
0.1375 0.0001 14.7717 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0800 0.2935 15.0652 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0374 soc/net3233 (net)
0.0800 0.0017 15.0669 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.1876 0.3412 15.4081 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1444 soc/net3235 (net)
0.1938 0.0280 15.4361 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1081 0.3452 15.7813 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0582 soc/net3231 (net)
0.1084 0.0045 15.7858 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
0.2868 0.6597 16.4455 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
10 0.0578 soc/_13643_ (net)
0.2870 0.0054 16.4509 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
1.1528 1.0559 17.5068 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
6 0.0634 soc/_13644_ (net)
1.1528 0.0051 17.5119 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
0.3338 0.7100 18.2219 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
9 0.1029 soc/net2753 (net)
0.3363 0.0239 18.2458 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
0.3989 0.5847 18.8305 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
4 0.0909 soc/net2751 (net)
0.3992 0.0096 18.8401 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
0.1264 0.2036 19.0437 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
4 0.0845 soc/net634 (net)
0.1291 0.0151 19.0588 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
0.1667 0.3450 19.4037 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
7 0.1737 soc/net2404 (net)
0.1870 0.0456 19.4493 v soc/output634/A (sky130_fd_sc_hd__buf_12)
0.1114 0.3548 19.8041 v soc/output634/X (sky130_fd_sc_hd__buf_12)
3 0.1063 mprj_adr_o_core[2] (net)
0.1127 0.0101 19.8142 v housekeeping/input121/A (sky130_fd_sc_hd__clkbuf_2)
0.2168 0.3520 20.1663 v housekeeping/input121/X (sky130_fd_sc_hd__clkbuf_2)
3 0.0306 housekeeping/net121 (net)
0.2168 0.0003 20.1665 v housekeeping/fanout489/A (sky130_fd_sc_hd__buf_12)
0.1749 0.4135 20.5800 v housekeeping/fanout489/X (sky130_fd_sc_hd__buf_12)
20 0.1863 housekeeping/net489 (net)
0.1802 0.0249 20.6049 v housekeeping/_4340_/B (sky130_fd_sc_hd__and2_4)
0.1454 0.4529 21.0578 v housekeeping/_4340_/X (sky130_fd_sc_hd__and2_4)
7 0.0525 housekeeping/_1572_ (net)
0.1454 0.0015 21.0593 v housekeeping/_4546_/A (sky130_fd_sc_hd__nand2_1)
0.3322 0.3326 21.3919 ^ housekeeping/_4546_/Y (sky130_fd_sc_hd__nand2_1)
2 0.0224 housekeeping/_1778_ (net)
0.3322 0.0002 21.3922 ^ housekeeping/fanout389/A (sky130_fd_sc_hd__buf_6)
0.3683 0.5258 21.9180 ^ housekeeping/fanout389/X (sky130_fd_sc_hd__buf_6)
20 0.1172 housekeeping/net389 (net)
0.3684 0.0011 21.9191 ^ housekeeping/_4617_/B (sky130_fd_sc_hd__nor2_1)
0.1157 0.2205 22.1396 v housekeeping/_4617_/Y (sky130_fd_sc_hd__nor2_1)
2 0.0071 housekeeping/_1849_ (net)
0.1157 0.0001 22.1397 v housekeeping/_4636_/B (sky130_fd_sc_hd__nand2_1)
0.4031 0.3770 22.5167 ^ housekeeping/_4636_/Y (sky130_fd_sc_hd__nand2_1)
3 0.0272 housekeeping/_1868_ (net)
0.4031 0.0011 22.5178 ^ housekeeping/_4658_/C (sky130_fd_sc_hd__and3_1)
0.1148 0.4503 22.9681 ^ housekeeping/_4658_/X (sky130_fd_sc_hd__and3_1)
1 0.0048 housekeeping/_1890_ (net)
0.1148 0.0001 22.9682 ^ housekeeping/_4659_/D1 (sky130_fd_sc_hd__o2111a_1)
0.1335 0.4125 23.3807 ^ housekeeping/_4659_/X (sky130_fd_sc_hd__o2111a_1)
1 0.0058 housekeeping/_1891_ (net)
0.1335 0.0001 23.3808 ^ housekeeping/_4660_/D (sky130_fd_sc_hd__and4_1)
0.1429 0.4753 23.8561 ^ housekeeping/_4660_/X (sky130_fd_sc_hd__and4_1)
1 0.0060 housekeeping/_1892_ (net)
0.1429 0.0001 23.8562 ^ housekeeping/_4678_/B1 (sky130_fd_sc_hd__o2111a_1)
0.1023 0.4401 24.2963 ^ housekeeping/_4678_/X (sky130_fd_sc_hd__o2111a_1)
1 0.0034 housekeeping/_1910_ (net)
0.1023 0.0001 24.2964 ^ housekeeping/_4679_/D (sky130_fd_sc_hd__and4_1)
0.1047 0.4166 24.7129 ^ housekeeping/_4679_/X (sky130_fd_sc_hd__and4_1)
1 0.0029 housekeeping/_1911_ (net)
0.1047 0.0000 24.7130 ^ housekeeping/_4682_/C (sky130_fd_sc_hd__nand3_1)
0.2242 0.2703 24.9833 v housekeeping/_4682_/Y (sky130_fd_sc_hd__nand3_1)
1 0.0076 housekeeping/_1914_ (net)
0.2242 0.0002 24.9835 v housekeeping/_4683_/A4 (sky130_fd_sc_hd__o41a_1)
0.1164 0.6814 25.6648 v housekeeping/_4683_/X (sky130_fd_sc_hd__o41a_1)
1 0.0034 housekeeping/_1915_ (net)
0.1164 0.0000 25.6649 v housekeeping/_4731_/A2 (sky130_fd_sc_hd__o32a_1)
0.1128 0.6348 26.2997 v housekeeping/_4731_/X (sky130_fd_sc_hd__o32a_1)
1 0.0027 housekeeping/_0364_ (net)
0.1128 0.0000 26.2998 v housekeeping/_6720_/D (sky130_fd_sc_hd__dfrtp_1)
26.2998 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0159 29.7210 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3468 30.0678 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 30.0687 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2119 30.2805 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0002 30.2808 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2527 30.5335 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0014 30.5349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2418 30.7767 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 30.7773 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.2385 0.3485 31.1258 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0844 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.2385 0.0016 31.1274 ^ housekeeping/_6720_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.0274 clock uncertainty
1.2728 32.3002 clock reconvergence pessimism
-0.2495 32.0508 library setup time
32.0508 data required time
-------------------------------------------------------------------------------------
32.0508 data required time
-26.2998 data arrival time
-------------------------------------------------------------------------------------
5.7510 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6723_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1267 0.0018 10.3225 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1371 0.3203 10.6428 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0431 soc/clknet_4_14_0_core_clk (net)
0.1371 0.0021 10.6449 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0729 0.2654 10.9103 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0154 soc/clknet_5_28_0_core_clk (net)
0.0729 0.0007 10.9110 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6708 0.6937 11.6046 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2585 soc/clknet_5_28_1_core_clk (net)
0.6713 0.0155 11.6202 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1597 0.5673 12.1875 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0842 soc/clknet_leaf_243_core_clk (net)
0.1597 0.0009 12.1884 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1789 0.7810 12.9694 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0109 soc/core.grant[0] (net)
0.1789 0.0002 12.9696 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2484 0.3725 13.3421 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.0963 soc/net3544 (net)
0.2484 0.0005 13.3426 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3464 0.4707 13.8133 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1089 soc/net3546 (net)
0.3479 0.0189 13.8322 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5011 0.6569 14.4891 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1144 soc/net3545 (net)
0.5024 0.0222 14.5112 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1375 0.2604 14.7716 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0068 soc/_13629_ (net)
0.1375 0.0001 14.7717 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0800 0.2935 15.0652 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0374 soc/net3233 (net)
0.0800 0.0017 15.0669 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.1876 0.3412 15.4081 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1444 soc/net3235 (net)
0.1938 0.0280 15.4361 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1081 0.3452 15.7813 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0582 soc/net3231 (net)
0.1084 0.0045 15.7858 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
0.2868 0.6597 16.4455 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
10 0.0578 soc/_13643_ (net)
0.2870 0.0054 16.4509 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
1.1528 1.0559 17.5068 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
6 0.0634 soc/_13644_ (net)
1.1528 0.0051 17.5119 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
0.3338 0.7100 18.2219 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
9 0.1029 soc/net2753 (net)
0.3363 0.0239 18.2458 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
0.3989 0.5847 18.8305 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
4 0.0909 soc/net2751 (net)
0.3992 0.0096 18.8401 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
0.1264 0.2036 19.0437 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
4 0.0845 soc/net634 (net)
0.1291 0.0151 19.0588 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
0.1667 0.3450 19.4037 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
7 0.1737 soc/net2404 (net)
0.1870 0.0456 19.4493 v soc/output634/A (sky130_fd_sc_hd__buf_12)
0.1114 0.3548 19.8041 v soc/output634/X (sky130_fd_sc_hd__buf_12)
3 0.1063 mprj_adr_o_core[2] (net)
0.1127 0.0101 19.8142 v housekeeping/input121/A (sky130_fd_sc_hd__clkbuf_2)
0.2168 0.3520 20.1663 v housekeeping/input121/X (sky130_fd_sc_hd__clkbuf_2)
3 0.0306 housekeeping/net121 (net)
0.2168 0.0003 20.1665 v housekeeping/fanout489/A (sky130_fd_sc_hd__buf_12)
0.1749 0.4135 20.5800 v housekeeping/fanout489/X (sky130_fd_sc_hd__buf_12)
20 0.1863 housekeeping/net489 (net)
0.1802 0.0249 20.6049 v housekeeping/_4340_/B (sky130_fd_sc_hd__and2_4)
0.1454 0.4529 21.0578 v housekeeping/_4340_/X (sky130_fd_sc_hd__and2_4)
7 0.0525 housekeeping/_1572_ (net)
0.1454 0.0015 21.0593 v housekeeping/_4546_/A (sky130_fd_sc_hd__nand2_1)
0.3322 0.3326 21.3919 ^ housekeeping/_4546_/Y (sky130_fd_sc_hd__nand2_1)
2 0.0224 housekeeping/_1778_ (net)
0.3322 0.0002 21.3922 ^ housekeeping/fanout389/A (sky130_fd_sc_hd__buf_6)
0.3683 0.5258 21.9180 ^ housekeeping/fanout389/X (sky130_fd_sc_hd__buf_6)
20 0.1172 housekeeping/net389 (net)
0.3685 0.0063 21.9242 ^ housekeeping/_4846_/B (sky130_fd_sc_hd__nor2_1)
0.1257 0.2373 22.1615 v housekeeping/_4846_/Y (sky130_fd_sc_hd__nor2_1)
2 0.0087 housekeeping/_2077_ (net)
0.1257 0.0001 22.1616 v housekeeping/_4847_/A (sky130_fd_sc_hd__inv_2)
0.0509 0.0961 22.2577 ^ housekeeping/_4847_/Y (sky130_fd_sc_hd__inv_2)
1 0.0037 housekeeping/_2078_ (net)
0.0509 0.0001 22.2578 ^ housekeeping/_5032_/B1 (sky130_fd_sc_hd__o2111a_1)
0.1822 0.4886 22.7463 ^ housekeeping/_5032_/X (sky130_fd_sc_hd__o2111a_1)
2 0.0095 housekeeping/_2261_ (net)
0.1822 0.0003 22.7466 ^ housekeeping/_5033_/D (sky130_fd_sc_hd__and4_1)
0.1215 0.4696 23.2163 ^ housekeeping/_5033_/X (sky130_fd_sc_hd__and4_1)
1 0.0043 housekeeping/_2262_ (net)
0.1215 0.0001 23.2164 ^ housekeeping/_5034_/D (sky130_fd_sc_hd__and4_1)
0.0960 0.4124 23.6288 ^ housekeeping/_5034_/X (sky130_fd_sc_hd__and4_1)
1 0.0023 housekeeping/_2263_ (net)
0.0960 0.0000 23.6288 ^ housekeeping/_5035_/D (sky130_fd_sc_hd__and4b_1)
0.2092 0.5354 24.1642 ^ housekeeping/_5035_/X (sky130_fd_sc_hd__and4b_1)
1 0.0107 housekeeping/_2264_ (net)
0.2092 0.0004 24.1647 ^ housekeeping/_5037_/C (sky130_fd_sc_hd__nand4_1)
0.1536 0.2535 24.4182 v housekeeping/_5037_/Y (sky130_fd_sc_hd__nand4_1)
1 0.0023 housekeeping/_2266_ (net)
0.1536 0.0000 24.4182 v housekeeping/_5038_/C (sky130_fd_sc_hd__and3_1)
0.1178 0.4701 24.8883 v housekeeping/_5038_/X (sky130_fd_sc_hd__and3_1)
1 0.0086 housekeeping/_2267_ (net)
0.1178 0.0002 24.8885 v housekeeping/_5060_/B1 (sky130_fd_sc_hd__a211o_1)
0.1265 0.5880 25.4765 v housekeeping/_5060_/X (sky130_fd_sc_hd__a211o_1)
1 0.0075 housekeeping/_2289_ (net)
0.1265 0.0002 25.4767 v housekeeping/_5061_/C1 (sky130_fd_sc_hd__a211o_1)
0.0945 0.4756 25.9523 v housekeeping/_5061_/X (sky130_fd_sc_hd__a211o_1)
1 0.0038 housekeeping/_0367_ (net)
0.0945 0.0001 25.9524 v housekeeping/_6723_/D (sky130_fd_sc_hd__dfrtp_1)
25.9524 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0159 29.7210 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3468 30.0678 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 30.0687 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2119 30.2805 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0002 30.2808 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2527 30.5335 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0014 30.5349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2418 30.7767 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 30.7773 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3010 31.0783 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0012 31.0796 ^ housekeeping/_6723_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 30.9796 clock uncertainty
1.2728 32.2524 clock reconvergence pessimism
-0.2595 31.9929 library setup time
31.9929 data required time
-------------------------------------------------------------------------------------
31.9929 data required time
-25.9524 data arrival time
-------------------------------------------------------------------------------------
6.0406 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29161_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3684 0.0247 24.4087 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.3827 0.5394 24.9481 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1215 soc/net1152 (net)
0.3848 0.0237 24.9719 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.1975 0.4157 25.3876 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0589 soc/net1149 (net)
0.1975 0.0002 25.3878 ^ soc/wire1151/A (sky130_fd_sc_hd__buf_6)
0.3992 0.4820 25.8698 ^ soc/wire1151/X (sky130_fd_sc_hd__buf_6)
16 0.1269 soc/net1151 (net)
0.4022 0.0289 25.8987 ^ soc/_15633_/S (sky130_fd_sc_hd__mux2_1)
0.1327 0.8679 26.7667 v soc/_15633_/X (sky130_fd_sc_hd__mux2_1)
1 0.0049 soc/_05622_ (net)
0.1327 0.0001 26.7668 v soc/_15636_/A1 (sky130_fd_sc_hd__a211o_1)
0.3530 0.8069 27.5737 v soc/_15636_/X (sky130_fd_sc_hd__a211o_1)
2 0.0366 soc/_05625_ (net)
0.3531 0.0033 27.5770 v soc/_15641_/A (sky130_fd_sc_hd__and3_1)
0.0924 0.4708 28.0478 v soc/_15641_/X (sky130_fd_sc_hd__and3_1)
1 0.0054 soc/_05630_ (net)
0.0924 0.0001 28.0478 v soc/_15651_/A1 (sky130_fd_sc_hd__o21ai_2)
0.7256 0.6849 28.7328 ^ soc/_15651_/Y (sky130_fd_sc_hd__o21ai_2)
2 0.0381 soc/_05640_ (net)
0.7256 0.0040 28.7367 ^ soc/wire702/A (sky130_fd_sc_hd__buf_4)
0.4010 0.7288 29.4656 ^ soc/wire702/X (sky130_fd_sc_hd__buf_4)
2 0.0908 soc/net702 (net)
0.4022 0.0190 29.4846 ^ soc/_15652_/B1 (sky130_fd_sc_hd__o21ai_1)
0.2875 0.4118 29.8964 v soc/_15652_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.0141 soc/_00052_ (net)
0.2875 0.0008 29.8972 v soc/_29161_/D (sky130_fd_sc_hd__dfxtp_1)
29.8972 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1319 0.0030 33.7139 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1340 0.2968 34.0107 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0418 soc/clknet_4_2_0_core_clk (net)
0.1341 0.0022 34.0129 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0704 0.2424 34.2553 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0142 soc/clknet_5_4_0_core_clk (net)
0.0704 0.0005 34.2557 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4662 0.5002 34.7559 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1765 soc/clknet_5_4_1_core_clk (net)
0.4668 0.0135 34.7693 ^ soc/clkbuf_leaf_360_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1803 0.4687 35.2380 ^ soc/clkbuf_leaf_360_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1010 soc/clknet_leaf_360_core_clk (net)
0.1804 0.0013 35.2393 ^ soc/_29161_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1393 clock uncertainty
1.2728 36.4122 clock reconvergence pessimism
-0.3295 36.0826 library setup time
36.0826 data required time
-------------------------------------------------------------------------------------
36.0826 data required time
-29.8972 data arrival time
-------------------------------------------------------------------------------------
6.1855 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6726_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1267 0.0018 10.3225 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1371 0.3203 10.6428 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0431 soc/clknet_4_14_0_core_clk (net)
0.1371 0.0021 10.6449 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0729 0.2654 10.9103 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0154 soc/clknet_5_28_0_core_clk (net)
0.0729 0.0007 10.9110 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6708 0.6937 11.6046 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2585 soc/clknet_5_28_1_core_clk (net)
0.6713 0.0155 11.6202 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1597 0.5673 12.1875 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0842 soc/clknet_leaf_243_core_clk (net)
0.1597 0.0009 12.1884 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1789 0.7810 12.9694 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0109 soc/core.grant[0] (net)
0.1789 0.0002 12.9696 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2484 0.3725 13.3421 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.0963 soc/net3544 (net)
0.2484 0.0005 13.3426 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3464 0.4707 13.8133 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1089 soc/net3546 (net)
0.3479 0.0189 13.8322 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5011 0.6569 14.4891 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1144 soc/net3545 (net)
0.5024 0.0222 14.5112 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1375 0.2604 14.7716 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0068 soc/_13629_ (net)
0.1375 0.0001 14.7717 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0800 0.2935 15.0652 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0374 soc/net3233 (net)
0.0800 0.0017 15.0669 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.1876 0.3412 15.4081 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1444 soc/net3235 (net)
0.1938 0.0280 15.4361 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1081 0.3452 15.7813 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0582 soc/net3231 (net)
0.1084 0.0045 15.7858 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
0.2868 0.6597 16.4455 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
10 0.0578 soc/_13643_ (net)
0.2870 0.0054 16.4509 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
1.1528 1.0559 17.5068 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
6 0.0634 soc/_13644_ (net)
1.1528 0.0051 17.5119 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
0.3338 0.7100 18.2219 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
9 0.1029 soc/net2753 (net)
0.3363 0.0239 18.2458 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
0.3989 0.5847 18.8305 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
4 0.0909 soc/net2751 (net)
0.3992 0.0096 18.8401 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
0.1264 0.2036 19.0437 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
4 0.0845 soc/net634 (net)
0.1291 0.0151 19.0588 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
0.1667 0.3450 19.4037 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
7 0.1737 soc/net2404 (net)
0.1870 0.0456 19.4493 v soc/output634/A (sky130_fd_sc_hd__buf_12)
0.1114 0.3548 19.8041 v soc/output634/X (sky130_fd_sc_hd__buf_12)
3 0.1063 mprj_adr_o_core[2] (net)
0.1127 0.0101 19.8142 v housekeeping/input121/A (sky130_fd_sc_hd__clkbuf_2)
0.2168 0.3520 20.1663 v housekeeping/input121/X (sky130_fd_sc_hd__clkbuf_2)
3 0.0306 housekeeping/net121 (net)
0.2168 0.0003 20.1665 v housekeeping/fanout489/A (sky130_fd_sc_hd__buf_12)
0.1749 0.4135 20.5800 v housekeeping/fanout489/X (sky130_fd_sc_hd__buf_12)
20 0.1863 housekeeping/net489 (net)
0.1802 0.0249 20.6049 v housekeeping/_4340_/B (sky130_fd_sc_hd__and2_4)
0.1454 0.4529 21.0578 v housekeeping/_4340_/X (sky130_fd_sc_hd__and2_4)
7 0.0525 housekeeping/_1572_ (net)
0.1454 0.0015 21.0593 v housekeeping/_4546_/A (sky130_fd_sc_hd__nand2_1)
0.3322 0.3326 21.3919 ^ housekeeping/_4546_/Y (sky130_fd_sc_hd__nand2_1)
2 0.0224 housekeeping/_1778_ (net)
0.3322 0.0002 21.3922 ^ housekeeping/fanout389/A (sky130_fd_sc_hd__buf_6)
0.3683 0.5258 21.9180 ^ housekeeping/fanout389/X (sky130_fd_sc_hd__buf_6)
20 0.1172 housekeeping/net389 (net)
0.3684 0.0011 21.9191 ^ housekeeping/_4617_/B (sky130_fd_sc_hd__nor2_1)
0.1157 0.2205 22.1396 v housekeeping/_4617_/Y (sky130_fd_sc_hd__nor2_1)
2 0.0071 housekeeping/_1849_ (net)
0.1157 0.0001 22.1397 v housekeeping/_4646_/A (sky130_fd_sc_hd__nand2_1)
0.2225 0.2327 22.3725 ^ housekeeping/_4646_/Y (sky130_fd_sc_hd__nand2_1)
3 0.0141 housekeeping/_1878_ (net)
0.2225 0.0004 22.3728 ^ housekeeping/_4991_/B1 (sky130_fd_sc_hd__o21a_1)
0.3360 0.4993 22.8721 ^ housekeeping/_4991_/X (sky130_fd_sc_hd__o21a_1)
2 0.0213 housekeeping/_2220_ (net)
0.3360 0.0003 22.8725 ^ housekeeping/_5016_/B1 (sky130_fd_sc_hd__o211a_1)
0.1568 0.4803 23.3528 ^ housekeeping/_5016_/X (sky130_fd_sc_hd__o211a_1)
2 0.0096 housekeeping/_2245_ (net)
0.1568 0.0001 23.3529 ^ housekeeping/_5062_/D (sky130_fd_sc_hd__and4_1)
0.2584 0.5956 23.9485 ^ housekeeping/_5062_/X (sky130_fd_sc_hd__and4_1)
2 0.0147 housekeeping/_2290_ (net)
0.2584 0.0003 23.9488 ^ housekeeping/_5134_/B (sky130_fd_sc_hd__and3_1)
0.1769 0.4565 24.4054 ^ housekeeping/_5134_/X (sky130_fd_sc_hd__and3_1)
1 0.0096 housekeeping/_2360_ (net)
0.1769 0.0003 24.4057 ^ housekeeping/_5135_/C (sky130_fd_sc_hd__nand3_2)
0.3254 0.3770 24.7827 v housekeeping/_5135_/Y (sky130_fd_sc_hd__nand3_2)
1 0.0228 housekeeping/_2361_ (net)
0.3254 0.0021 24.7847 v housekeeping/_5136_/B2 (sky130_fd_sc_hd__a22o_1)
0.1063 0.5171 25.3018 v housekeeping/_5136_/X (sky130_fd_sc_hd__a22o_1)
1 0.0070 housekeeping/_2362_ (net)
0.1063 0.0002 25.3020 v housekeeping/_5144_/C1 (sky130_fd_sc_hd__a211o_1)
0.0937 0.4666 25.7686 v housekeeping/_5144_/X (sky130_fd_sc_hd__a211o_1)
1 0.0037 housekeeping/_0370_ (net)
0.0937 0.0000 25.7687 v housekeeping/_6726_/D (sky130_fd_sc_hd__dfrtp_1)
25.7687 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0159 29.7210 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3468 30.0678 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 30.0687 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2119 30.2805 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0002 30.2808 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2527 30.5335 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0009 30.5344 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0858 0.2495 30.7839 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0210 housekeeping/clknet_2_2_0_wb_clk_i (net)
0.0858 0.0008 30.7847 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1503 0.2890 31.0736 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0485 housekeeping/clknet_3_5_0_wb_clk_i (net)
0.1503 0.0008 31.0744 ^ housekeeping/_6726_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 30.9744 clock uncertainty
1.2728 32.2473 clock reconvergence pessimism
-0.2650 31.9822 library setup time
31.9822 data required time
-------------------------------------------------------------------------------------
31.9822 data required time
-25.7687 data arrival time
-------------------------------------------------------------------------------------
6.2136 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6722_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1267 0.0018 10.3225 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1371 0.3203 10.6428 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0431 soc/clknet_4_14_0_core_clk (net)
0.1371 0.0021 10.6449 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0729 0.2654 10.9103 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0154 soc/clknet_5_28_0_core_clk (net)
0.0729 0.0007 10.9110 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6708 0.6937 11.6046 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2585 soc/clknet_5_28_1_core_clk (net)
0.6713 0.0155 11.6202 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1597 0.5673 12.1875 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0842 soc/clknet_leaf_243_core_clk (net)
0.1597 0.0009 12.1884 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1789 0.7810 12.9694 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0109 soc/core.grant[0] (net)
0.1789 0.0002 12.9696 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2484 0.3725 13.3421 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.0963 soc/net3544 (net)
0.2484 0.0005 13.3426 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3464 0.4707 13.8133 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1089 soc/net3546 (net)
0.3479 0.0189 13.8322 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5011 0.6569 14.4891 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1144 soc/net3545 (net)
0.5024 0.0222 14.5112 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1375 0.2604 14.7716 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0068 soc/_13629_ (net)
0.1375 0.0001 14.7717 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0800 0.2935 15.0652 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0374 soc/net3233 (net)
0.0800 0.0017 15.0669 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.1876 0.3412 15.4081 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1444 soc/net3235 (net)
0.1938 0.0280 15.4361 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1081 0.3452 15.7813 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0582 soc/net3231 (net)
0.1084 0.0045 15.7858 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
0.2868 0.6597 16.4455 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
10 0.0578 soc/_13643_ (net)
0.2870 0.0054 16.4509 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
1.1528 1.0559 17.5068 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
6 0.0634 soc/_13644_ (net)
1.1528 0.0051 17.5119 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
0.3338 0.7100 18.2219 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
9 0.1029 soc/net2753 (net)
0.3363 0.0239 18.2458 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
0.3989 0.5847 18.8305 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
4 0.0909 soc/net2751 (net)
0.3992 0.0096 18.8401 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
0.1264 0.2036 19.0437 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
4 0.0845 soc/net634 (net)
0.1291 0.0151 19.0588 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
0.1667 0.3450 19.4037 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
7 0.1737 soc/net2404 (net)
0.1870 0.0456 19.4493 v soc/output634/A (sky130_fd_sc_hd__buf_12)
0.1114 0.3548 19.8041 v soc/output634/X (sky130_fd_sc_hd__buf_12)
3 0.1063 mprj_adr_o_core[2] (net)
0.1127 0.0101 19.8142 v housekeeping/input121/A (sky130_fd_sc_hd__clkbuf_2)
0.2168 0.3520 20.1663 v housekeeping/input121/X (sky130_fd_sc_hd__clkbuf_2)
3 0.0306 housekeeping/net121 (net)
0.2168 0.0003 20.1665 v housekeeping/fanout489/A (sky130_fd_sc_hd__buf_12)
0.1749 0.4135 20.5800 v housekeeping/fanout489/X (sky130_fd_sc_hd__buf_12)
20 0.1863 housekeeping/net489 (net)
0.1802 0.0249 20.6049 v housekeeping/_4340_/B (sky130_fd_sc_hd__and2_4)
0.1454 0.4529 21.0578 v housekeeping/_4340_/X (sky130_fd_sc_hd__and2_4)
7 0.0525 housekeeping/_1572_ (net)
0.1454 0.0015 21.0593 v housekeeping/_4546_/A (sky130_fd_sc_hd__nand2_1)
0.3322 0.3326 21.3919 ^ housekeeping/_4546_/Y (sky130_fd_sc_hd__nand2_1)
2 0.0224 housekeeping/_1778_ (net)
0.3322 0.0002 21.3922 ^ housekeeping/fanout389/A (sky130_fd_sc_hd__buf_6)
0.3683 0.5258 21.9180 ^ housekeeping/fanout389/X (sky130_fd_sc_hd__buf_6)
20 0.1172 housekeeping/net389 (net)
0.3685 0.0054 21.9234 ^ housekeeping/_4849_/A2 (sky130_fd_sc_hd__o21a_1)
0.3097 0.5039 22.4273 ^ housekeeping/_4849_/X (sky130_fd_sc_hd__o21a_1)
4 0.0196 housekeeping/_2080_ (net)
0.3097 0.0002 22.4275 ^ housekeeping/_4912_/B (sky130_fd_sc_hd__and3_1)
0.1471 0.4477 22.8751 ^ housekeeping/_4912_/X (sky130_fd_sc_hd__and3_1)
2 0.0073 housekeeping/_2142_ (net)
0.1471 0.0001 22.8753 ^ housekeeping/_4914_/B (sky130_fd_sc_hd__and3_1)
0.1052 0.3496 23.2248 ^ housekeeping/_4914_/X (sky130_fd_sc_hd__and3_1)
1 0.0042 housekeeping/_2144_ (net)
0.1052 0.0001 23.2249 ^ housekeeping/_4915_/D (sky130_fd_sc_hd__and4b_1)
0.1637 0.4951 23.7200 ^ housekeeping/_4915_/X (sky130_fd_sc_hd__and4b_1)
1 0.0074 housekeeping/_2145_ (net)
0.1637 0.0002 23.7203 ^ housekeeping/_4916_/C (sky130_fd_sc_hd__and3_1)
0.1258 0.3878 24.1081 ^ housekeeping/_4916_/X (sky130_fd_sc_hd__and3_1)
1 0.0058 housekeeping/_2146_ (net)
0.1258 0.0001 24.1082 ^ housekeeping/_4918_/B (sky130_fd_sc_hd__nand2_1)
0.2794 0.2980 24.4062 v housekeeping/_4918_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0165 housekeeping/_2148_ (net)
0.2794 0.0010 24.4072 v housekeeping/_4919_/D (sky130_fd_sc_hd__and4_1)
0.0906 0.5449 24.9521 v housekeeping/_4919_/X (sky130_fd_sc_hd__and4_1)
1 0.0048 housekeeping/_2149_ (net)
0.0906 0.0001 24.9522 v housekeeping/_4988_/A1 (sky130_fd_sc_hd__o32a_1)
0.1146 0.6611 25.6133 v housekeeping/_4988_/X (sky130_fd_sc_hd__o32a_1)
1 0.0030 housekeeping/_0366_ (net)
0.1146 0.0000 25.6134 v housekeeping/_6722_/D (sky130_fd_sc_hd__dfrtp_1)
25.6134 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0159 29.7210 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3468 30.0678 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 30.0687 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2119 30.2805 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0002 30.2808 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2527 30.5335 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0014 30.5349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2418 30.7767 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 30.7773 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3010 31.0783 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0011 31.0794 ^ housekeeping/_6722_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 30.9794 clock uncertainty
1.2728 32.2522 clock reconvergence pessimism
-0.2679 31.9844 library setup time
31.9844 data required time
-------------------------------------------------------------------------------------
31.9844 data required time
-25.6134 data arrival time
-------------------------------------------------------------------------------------
6.3710 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29135_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3662 0.0070 24.3910 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2418 0.4320 24.8229 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.0926 soc/net1140 (net)
0.2431 0.0145 24.8375 ^ soc/wire1142/A (sky130_fd_sc_hd__buf_6)
0.3860 0.4941 25.3316 ^ soc/wire1142/X (sky130_fd_sc_hd__buf_6)
15 0.1225 soc/net1142 (net)
0.3885 0.0261 25.3576 ^ soc/wire1141/A (sky130_fd_sc_hd__buf_6)
0.3938 0.5584 25.9160 ^ soc/wire1141/X (sky130_fd_sc_hd__buf_6)
22 0.1255 soc/net1141 (net)
0.3947 0.0161 25.9321 ^ soc/_14654_/S (sky130_fd_sc_hd__mux2_1)
0.1378 0.8738 26.8058 v soc/_14654_/X (sky130_fd_sc_hd__mux2_1)
1 0.0055 soc/_04669_ (net)
0.1378 0.0001 26.8059 v soc/_14657_/A1 (sky130_fd_sc_hd__a211o_1)
0.2258 0.6939 27.4998 v soc/_14657_/X (sky130_fd_sc_hd__a211o_1)
2 0.0204 soc/_04672_ (net)
0.2258 0.0012 27.5010 v soc/_14662_/C1 (sky130_fd_sc_hd__o311a_1)
0.1454 0.3261 27.8271 v soc/_14662_/X (sky130_fd_sc_hd__o311a_1)
1 0.0087 soc/_04677_ (net)
0.1454 0.0001 27.8272 v soc/_14671_/B1 (sky130_fd_sc_hd__a31oi_4)
1.1551 1.0123 28.8395 ^ soc/_14671_/Y (sky130_fd_sc_hd__a31oi_4)
2 0.1007 soc/_04686_ (net)
1.1556 0.0222 28.8617 ^ soc/_14672_/B2 (sky130_fd_sc_hd__a2bb2oi_4)
0.4259 0.6729 29.5346 v soc/_14672_/Y (sky130_fd_sc_hd__a2bb2oi_4)
11 0.0668 soc/_00054_ (net)
0.4264 0.0123 29.5469 v soc/_29135_/D (sky130_fd_sc_hd__dfxtp_1)
29.5469 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1319 0.0030 33.7139 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1340 0.2968 34.0107 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0418 soc/clknet_4_2_0_core_clk (net)
0.1341 0.0022 34.0129 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0704 0.2424 34.2553 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0142 soc/clknet_5_4_0_core_clk (net)
0.0704 0.0005 34.2557 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4662 0.5002 34.7559 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1765 soc/clknet_5_4_1_core_clk (net)
0.4671 0.0167 34.7725 ^ soc/clkbuf_leaf_362_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0879 0.3937 35.1662 ^ soc/clkbuf_leaf_362_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.0324 soc/clknet_leaf_362_core_clk (net)
0.0879 0.0006 35.1667 ^ soc/_29135_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.0667 clock uncertainty
1.2728 36.3396 clock reconvergence pessimism
-0.4123 35.9273 library setup time
35.9273 data required time
-------------------------------------------------------------------------------------
35.9273 data required time
-29.5469 data arrival time
-------------------------------------------------------------------------------------
6.3804 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6724_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1267 0.0018 10.3225 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1371 0.3203 10.6428 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0431 soc/clknet_4_14_0_core_clk (net)
0.1371 0.0021 10.6449 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0729 0.2654 10.9103 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0154 soc/clknet_5_28_0_core_clk (net)
0.0729 0.0007 10.9110 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6708 0.6937 11.6046 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2585 soc/clknet_5_28_1_core_clk (net)
0.6713 0.0155 11.6202 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1597 0.5673 12.1875 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0842 soc/clknet_leaf_243_core_clk (net)
0.1597 0.0009 12.1884 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1789 0.7810 12.9694 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0109 soc/core.grant[0] (net)
0.1789 0.0002 12.9696 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2484 0.3725 13.3421 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.0963 soc/net3544 (net)
0.2484 0.0005 13.3426 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3464 0.4707 13.8133 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1089 soc/net3546 (net)
0.3479 0.0189 13.8322 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5011 0.6569 14.4891 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1144 soc/net3545 (net)
0.5024 0.0222 14.5112 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1375 0.2604 14.7716 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0068 soc/_13629_ (net)
0.1375 0.0001 14.7717 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0800 0.2935 15.0652 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0374 soc/net3233 (net)
0.0800 0.0017 15.0669 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.1876 0.3412 15.4081 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1444 soc/net3235 (net)
0.1938 0.0280 15.4361 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1081 0.3452 15.7813 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0582 soc/net3231 (net)
0.1084 0.0045 15.7858 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
0.2868 0.6597 16.4455 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
10 0.0578 soc/_13643_ (net)
0.2870 0.0054 16.4509 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
1.1528 1.0559 17.5068 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
6 0.0634 soc/_13644_ (net)
1.1528 0.0051 17.5119 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
0.3338 0.7100 18.2219 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
9 0.1029 soc/net2753 (net)
0.3363 0.0239 18.2458 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
0.3989 0.5847 18.8305 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
4 0.0909 soc/net2751 (net)
0.3992 0.0096 18.8401 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
0.1264 0.2036 19.0437 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
4 0.0845 soc/net634 (net)
0.1291 0.0151 19.0588 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
0.1667 0.3450 19.4037 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
7 0.1737 soc/net2404 (net)
0.1870 0.0456 19.4493 v soc/output634/A (sky130_fd_sc_hd__buf_12)
0.1114 0.3548 19.8041 v soc/output634/X (sky130_fd_sc_hd__buf_12)
3 0.1063 mprj_adr_o_core[2] (net)
0.1127 0.0101 19.8142 v housekeeping/input121/A (sky130_fd_sc_hd__clkbuf_2)
0.2168 0.3520 20.1663 v housekeeping/input121/X (sky130_fd_sc_hd__clkbuf_2)
3 0.0306 housekeeping/net121 (net)
0.2168 0.0003 20.1665 v housekeeping/fanout489/A (sky130_fd_sc_hd__buf_12)
0.1749 0.4135 20.5800 v housekeeping/fanout489/X (sky130_fd_sc_hd__buf_12)
20 0.1863 housekeeping/net489 (net)
0.1802 0.0249 20.6049 v housekeeping/_4340_/B (sky130_fd_sc_hd__and2_4)
0.1454 0.4529 21.0578 v housekeeping/_4340_/X (sky130_fd_sc_hd__and2_4)
7 0.0525 housekeeping/_1572_ (net)
0.1454 0.0015 21.0593 v housekeeping/_4546_/A (sky130_fd_sc_hd__nand2_1)
0.3322 0.3326 21.3919 ^ housekeeping/_4546_/Y (sky130_fd_sc_hd__nand2_1)
2 0.0224 housekeeping/_1778_ (net)
0.3322 0.0002 21.3922 ^ housekeeping/fanout389/A (sky130_fd_sc_hd__buf_6)
0.3683 0.5258 21.9180 ^ housekeeping/fanout389/X (sky130_fd_sc_hd__buf_6)
20 0.1172 housekeeping/net389 (net)
0.3684 0.0011 21.9191 ^ housekeeping/_4617_/B (sky130_fd_sc_hd__nor2_1)
0.1157 0.2205 22.1396 v housekeeping/_4617_/Y (sky130_fd_sc_hd__nor2_1)
2 0.0071 housekeeping/_1849_ (net)
0.1157 0.0001 22.1397 v housekeeping/_4646_/A (sky130_fd_sc_hd__nand2_1)
0.2225 0.2327 22.3725 ^ housekeeping/_4646_/Y (sky130_fd_sc_hd__nand2_1)
3 0.0141 housekeeping/_1878_ (net)
0.2225 0.0004 22.3728 ^ housekeeping/_4991_/B1 (sky130_fd_sc_hd__o21a_1)
0.3360 0.4993 22.8721 ^ housekeeping/_4991_/X (sky130_fd_sc_hd__o21a_1)
2 0.0213 housekeeping/_2220_ (net)
0.3360 0.0003 22.8725 ^ housekeeping/_5016_/B1 (sky130_fd_sc_hd__o211a_1)
0.1568 0.4803 23.3528 ^ housekeeping/_5016_/X (sky130_fd_sc_hd__o211a_1)
2 0.0096 housekeeping/_2245_ (net)
0.1568 0.0001 23.3529 ^ housekeeping/_5062_/D (sky130_fd_sc_hd__and4_1)
0.2584 0.5956 23.9485 ^ housekeeping/_5062_/X (sky130_fd_sc_hd__and4_1)
2 0.0147 housekeeping/_2290_ (net)
0.2584 0.0005 23.9490 ^ housekeeping/_5067_/A1 (sky130_fd_sc_hd__a21oi_1)
0.3003 0.3736 24.3226 v housekeeping/_5067_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.0169 housekeeping/_2295_ (net)
0.3003 0.0011 24.3237 v housekeeping/_5078_/C1 (sky130_fd_sc_hd__a221o_1)
0.1157 0.6268 24.9506 v housekeeping/_5078_/X (sky130_fd_sc_hd__a221o_1)
1 0.0052 housekeeping/_2306_ (net)
0.1157 0.0001 24.9507 v housekeeping/_5097_/C1 (sky130_fd_sc_hd__a211o_1)
0.0905 0.4611 25.4117 v housekeeping/_5097_/X (sky130_fd_sc_hd__a211o_1)
1 0.0031 housekeeping/_0368_ (net)
0.0905 0.0000 25.4117 v housekeeping/_6724_/D (sky130_fd_sc_hd__dfrtp_2)
25.4117 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0159 29.7210 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3468 30.0678 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 30.0687 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2119 30.2805 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0002 30.2808 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2527 30.5335 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0014 30.5349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2418 30.7767 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 30.7773 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3010 31.0783 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0013 31.0796 ^ housekeeping/_6724_/CLK (sky130_fd_sc_hd__dfrtp_2)
-0.1000 30.9796 clock uncertainty
1.2728 32.2525 clock reconvergence pessimism
-0.2579 31.9946 library setup time
31.9946 data required time
-------------------------------------------------------------------------------------
31.9946 data required time
-25.4117 data arrival time
-------------------------------------------------------------------------------------
6.5828 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29133_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3662 0.0070 24.3910 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2418 0.4320 24.8229 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.0926 soc/net1140 (net)
0.2431 0.0145 24.8375 ^ soc/wire1142/A (sky130_fd_sc_hd__buf_6)
0.3860 0.4941 25.3316 ^ soc/wire1142/X (sky130_fd_sc_hd__buf_6)
15 0.1225 soc/net1142 (net)
0.3885 0.0261 25.3576 ^ soc/wire1141/A (sky130_fd_sc_hd__buf_6)
0.3938 0.5584 25.9160 ^ soc/wire1141/X (sky130_fd_sc_hd__buf_6)
22 0.1255 soc/net1141 (net)
0.3939 0.0055 25.9216 ^ soc/_14582_/S (sky130_fd_sc_hd__mux2_1)
0.1456 0.8853 26.8069 v soc/_14582_/X (sky130_fd_sc_hd__mux2_1)
1 0.0065 soc/_04599_ (net)
0.1456 0.0002 26.8070 v soc/_14585_/A2 (sky130_fd_sc_hd__a211o_1)
0.2053 0.7339 27.5409 v soc/_14585_/X (sky130_fd_sc_hd__a211o_1)
1 0.0177 soc/_04602_ (net)
0.2053 0.0008 27.5417 v soc/_14586_/C1 (sky130_fd_sc_hd__o311a_1)
0.1200 0.2917 27.8334 v soc/_14586_/X (sky130_fd_sc_hd__o311a_1)
1 0.0058 soc/_04603_ (net)
0.1200 0.0001 27.8335 v soc/_14596_/A (sky130_fd_sc_hd__nor2_2)
1.0238 0.8919 28.7253 ^ soc/_14596_/Y (sky130_fd_sc_hd__nor2_2)
5 0.0587 soc/_04613_ (net)
1.0238 0.0079 28.7333 ^ soc/_14597_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.2995 0.9359 29.6691 v soc/_14597_/X (sky130_fd_sc_hd__o2bb2a_1)
2 0.0261 soc/_00032_ (net)
0.2995 0.0017 29.6708 v soc/_29133_/D (sky130_fd_sc_hd__dfxtp_2)
29.6708 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0691 0.2421 34.2544 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_6_0_core_clk (net)
0.0691 0.0005 34.2549 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7758 0.7147 34.9697 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3002 soc/clknet_5_6_1_core_clk (net)
0.7760 0.0099 34.9796 ^ soc/clkbuf_leaf_104_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1668 0.5578 35.5374 ^ soc/clkbuf_leaf_104_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
36 0.0878 soc/clknet_leaf_104_core_clk (net)
0.1668 0.0013 35.5387 ^ soc/_29133_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.4388 clock uncertainty
1.2728 36.7116 clock reconvergence pessimism
-0.3434 36.3681 library setup time
36.3681 data required time
-------------------------------------------------------------------------------------
36.3681 data required time
-29.6708 data arrival time
-------------------------------------------------------------------------------------
6.6973 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6725_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1267 0.0018 10.3225 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1371 0.3203 10.6428 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0431 soc/clknet_4_14_0_core_clk (net)
0.1371 0.0021 10.6449 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0729 0.2654 10.9103 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0154 soc/clknet_5_28_0_core_clk (net)
0.0729 0.0007 10.9110 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6708 0.6937 11.6046 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2585 soc/clknet_5_28_1_core_clk (net)
0.6713 0.0155 11.6202 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1597 0.5673 12.1875 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0842 soc/clknet_leaf_243_core_clk (net)
0.1597 0.0009 12.1884 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1789 0.7810 12.9694 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0109 soc/core.grant[0] (net)
0.1789 0.0002 12.9696 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2484 0.3725 13.3421 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.0963 soc/net3544 (net)
0.2484 0.0005 13.3426 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3464 0.4707 13.8133 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1089 soc/net3546 (net)
0.3479 0.0189 13.8322 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5011 0.6569 14.4891 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1144 soc/net3545 (net)
0.5024 0.0222 14.5112 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1375 0.2604 14.7716 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0068 soc/_13629_ (net)
0.1375 0.0001 14.7717 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0800 0.2935 15.0652 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0374 soc/net3233 (net)
0.0800 0.0017 15.0669 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.1876 0.3412 15.4081 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1444 soc/net3235 (net)
0.1938 0.0280 15.4361 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1081 0.3452 15.7813 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0582 soc/net3231 (net)
0.1084 0.0046 15.7859 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
0.1875 0.3674 16.1534 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
30 0.2024 soc/net3232 (net)
0.1935 0.0277 16.1811 v soc/_14304_/B2 (sky130_fd_sc_hd__a221oi_4)
1.5171 1.3993 17.5804 ^ soc/_14304_/Y (sky130_fd_sc_hd__a221oi_4)
10 0.0870 soc/_04324_ (net)
1.5171 0.0087 17.5891 ^ soc/_14305_/A (sky130_fd_sc_hd__inv_6)
0.4302 0.7129 18.3020 v soc/_14305_/Y (sky130_fd_sc_hd__inv_6)
6 0.1387 soc/net627 (net)
0.4309 0.0135 18.3155 v soc/output627/A (sky130_fd_sc_hd__buf_12)
0.0933 0.4580 18.7736 v soc/output627/X (sky130_fd_sc_hd__buf_12)
3 0.0793 mprj_adr_o_core[23] (net)
0.0957 0.0123 18.7859 v housekeeping/input114/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.1596 0.3880 19.1739 v housekeeping/input114/X (sky130_fd_sc_hd__dlymetal6s2s_1)
3 0.0139 housekeeping/net114 (net)
0.1596 0.0002 19.1740 v housekeeping/_4334_/B (sky130_fd_sc_hd__nor3_2)
0.5778 0.6011 19.7751 ^ housekeeping/_4334_/Y (sky130_fd_sc_hd__nor3_2)
3 0.0192 housekeeping/_1566_ (net)
0.5778 0.0006 19.7757 ^ housekeeping/max_cap439/A (sky130_fd_sc_hd__buf_2)
0.3319 0.6162 20.3919 ^ housekeeping/max_cap439/X (sky130_fd_sc_hd__buf_2)
6 0.0421 housekeeping/net439 (net)
0.3319 0.0003 20.3922 ^ housekeeping/_4372_/B (sky130_fd_sc_hd__and2_1)
0.3265 0.5281 20.9203 ^ housekeeping/_4372_/X (sky130_fd_sc_hd__and2_1)
2 0.0202 housekeeping/_1604_ (net)
0.3265 0.0005 20.9208 ^ housekeeping/_4375_/B1 (sky130_fd_sc_hd__a31o_2)
0.3846 0.5365 21.4573 ^ housekeeping/_4375_/X (sky130_fd_sc_hd__a31o_2)
5 0.0421 housekeeping/_1607_ (net)
0.3846 0.0021 21.4594 ^ housekeeping/_4394_/B1 (sky130_fd_sc_hd__o21a_4)
0.2862 0.5508 22.0102 ^ housekeeping/_4394_/X (sky130_fd_sc_hd__o21a_4)
11 0.0573 housekeeping/_1626_ (net)
0.2863 0.0041 22.0143 ^ housekeeping/_4399_/A (sky130_fd_sc_hd__and2_2)
0.2082 0.4889 22.5032 ^ housekeeping/_4399_/X (sky130_fd_sc_hd__and2_2)
3 0.0226 housekeeping/_1631_ (net)
0.2082 0.0002 22.5034 ^ housekeeping/_4405_/A (sky130_fd_sc_hd__nand2_4)
0.2816 0.2978 22.8012 v housekeeping/_4405_/Y (sky130_fd_sc_hd__nand2_4)
12 0.0563 housekeeping/_1637_ (net)
0.2817 0.0031 22.8043 v housekeeping/_4743_/A1 (sky130_fd_sc_hd__o22a_1)
0.1198 0.5248 23.3291 v housekeeping/_4743_/X (sky130_fd_sc_hd__o22a_1)
2 0.0064 housekeeping/_1974_ (net)
0.1198 0.0001 23.3291 v housekeeping/_4929_/B1 (sky130_fd_sc_hd__o211a_1)
0.0893 0.2784 23.6075 v housekeeping/_4929_/X (sky130_fd_sc_hd__o211a_1)
2 0.0047 housekeeping/_2159_ (net)
0.0893 0.0000 23.6076 v housekeeping/_5002_/C (sky130_fd_sc_hd__and3_1)
0.1094 0.4281 24.0356 v housekeeping/_5002_/X (sky130_fd_sc_hd__and3_1)
2 0.0076 housekeeping/_2231_ (net)
0.1094 0.0001 24.0357 v housekeeping/_5074_/B (sky130_fd_sc_hd__and3_1)
0.1371 0.4372 24.4729 v housekeeping/_5074_/X (sky130_fd_sc_hd__and3_1)
2 0.0108 housekeeping/_2302_ (net)
0.1371 0.0003 24.4732 v housekeeping/_5103_/C (sky130_fd_sc_hd__nand3_1)
0.1717 0.2154 24.6886 ^ housekeeping/_5103_/Y (sky130_fd_sc_hd__nand3_1)
2 0.0079 housekeeping/_2330_ (net)
0.1717 0.0001 24.6887 ^ housekeeping/_5104_/B1 (sky130_fd_sc_hd__a41o_1)
0.1640 0.2683 24.9570 ^ housekeeping/_5104_/X (sky130_fd_sc_hd__a41o_1)
1 0.0087 housekeeping/_2331_ (net)
0.1640 0.0003 24.9573 ^ housekeeping/_5129_/D (sky130_fd_sc_hd__nand4b_1)
0.1851 0.2751 25.2324 v housekeeping/_5129_/Y (sky130_fd_sc_hd__nand4b_1)
1 0.0031 housekeeping/_0369_ (net)
0.1851 0.0000 25.2324 v housekeeping/_6725_/D (sky130_fd_sc_hd__dfrtp_1)
25.2324 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0159 29.7210 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3468 30.0678 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 30.0687 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2119 30.2805 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0002 30.2808 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2527 30.5335 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0009 30.5344 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0858 0.2495 30.7839 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0210 housekeeping/clknet_2_2_0_wb_clk_i (net)
0.0858 0.0008 30.7847 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1503 0.2890 31.0736 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0485 housekeeping/clknet_3_5_0_wb_clk_i (net)
0.1503 0.0008 31.0745 ^ housekeeping/_6725_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 30.9745 clock uncertainty
1.2728 32.2473 clock reconvergence pessimism
-0.3031 31.9442 library setup time
31.9442 data required time
-------------------------------------------------------------------------------------
31.9442 data required time
-25.2324 data arrival time
-------------------------------------------------------------------------------------
6.7118 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29681_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0766 0.3301 22.3967 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0348 soc/net1546 (net)
0.0766 0.0005 22.3972 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1791 0.3383 22.7355 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1079 soc/net1548 (net)
0.1791 0.0029 22.7385 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1845 0.3918 23.1303 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.1965 soc/net1543 (net)
0.1862 0.0153 23.1456 v soc/_15776_/A2 (sky130_fd_sc_hd__o21ai_4)
1.1735 1.0366 24.1822 ^ soc/_15776_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1074 soc/_05761_ (net)
1.1735 0.0011 24.1832 ^ soc/fanout957/A (sky130_fd_sc_hd__buf_6)
0.2295 0.6453 24.8285 ^ soc/fanout957/X (sky130_fd_sc_hd__buf_6)
8 0.0676 soc/net957 (net)
0.2295 0.0004 24.8289 ^ soc/fanout954/A (sky130_fd_sc_hd__buf_12)
0.4148 0.4994 25.3282 ^ soc/fanout954/X (sky130_fd_sc_hd__buf_12)
40 0.2311 soc/net954 (net)
0.4151 0.0096 25.3378 ^ soc/fanout953/A (sky130_fd_sc_hd__clkbuf_16)
0.3042 0.5711 25.9089 ^ soc/fanout953/X (sky130_fd_sc_hd__clkbuf_16)
40 0.1913 soc/net953 (net)
0.3058 0.0189 25.9279 ^ soc/_16841_/C1 (sky130_fd_sc_hd__o221a_1)
0.1997 0.5497 26.4776 ^ soc/_16841_/X (sky130_fd_sc_hd__o221a_1)
1 0.0102 soc/_06798_ (net)
0.1997 0.0004 26.4780 ^ soc/_16843_/C1 (sky130_fd_sc_hd__a211o_2)
0.2912 0.4174 26.8954 ^ soc/_16843_/X (sky130_fd_sc_hd__a211o_2)
2 0.0373 soc/_06800_ (net)
0.2912 0.0035 26.8988 ^ soc/wire745/A (sky130_fd_sc_hd__buf_4)
0.3962 0.5585 27.4574 ^ soc/wire745/X (sky130_fd_sc_hd__buf_4)
2 0.0896 soc/net745 (net)
0.3972 0.0173 27.4747 ^ soc/_16848_/C1 (sky130_fd_sc_hd__o311a_1)
0.1063 0.4767 27.9513 ^ soc/_16848_/X (sky130_fd_sc_hd__o311a_1)
1 0.0040 soc/_06805_ (net)
0.1063 0.0001 27.9514 ^ soc/_16857_/B1 (sky130_fd_sc_hd__a31o_2)
0.5749 0.5814 28.5328 ^ soc/_16857_/X (sky130_fd_sc_hd__a31o_2)
2 0.0653 soc/_06814_ (net)
0.5751 0.0095 28.5423 ^ soc/wire705/A (sky130_fd_sc_hd__buf_6)
0.2939 0.5477 29.0899 ^ soc/wire705/X (sky130_fd_sc_hd__buf_6)
2 0.0910 soc/net705 (net)
0.2955 0.0183 29.1082 ^ soc/_16858_/B2 (sky130_fd_sc_hd__o22a_1)
0.5309 0.6727 29.7810 ^ soc/_16858_/X (sky130_fd_sc_hd__o22a_1)
3 0.0345 soc/_00020_ (net)
0.5309 0.0019 29.7829 ^ soc/_29681_/D (sky130_fd_sc_hd__dfxtp_1)
29.7829 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0019 34.0039 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0690 0.2399 34.2438 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_18_0_core_clk (net)
0.0690 0.0006 34.2444 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8416 0.7613 35.0057 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3266 soc/clknet_5_18_1_core_clk (net)
0.8418 0.0104 35.0161 ^ soc/clkbuf_leaf_121_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1689 0.5759 35.5919 ^ soc/clkbuf_leaf_121_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0885 soc/clknet_leaf_121_core_clk (net)
0.1689 0.0009 35.5928 ^ soc/_29681_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4928 clock uncertainty
1.2728 36.7657 clock reconvergence pessimism
-0.2310 36.5346 library setup time
36.5346 data required time
-------------------------------------------------------------------------------------
36.5346 data required time
-29.7829 data arrival time
-------------------------------------------------------------------------------------
6.7517 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29655_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0766 0.3301 22.3967 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0348 soc/net1546 (net)
0.0766 0.0005 22.3972 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1791 0.3383 22.7355 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1079 soc/net1548 (net)
0.1791 0.0029 22.7385 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1845 0.3918 23.1303 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.1965 soc/net1543 (net)
0.1862 0.0153 23.1456 v soc/_15776_/A2 (sky130_fd_sc_hd__o21ai_4)
1.1735 1.0366 24.1822 ^ soc/_15776_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1074 soc/_05761_ (net)
1.1735 0.0040 24.1861 ^ soc/fanout950/A (sky130_fd_sc_hd__buf_8)
0.2187 0.6196 24.8058 ^ soc/fanout950/X (sky130_fd_sc_hd__buf_8)
10 0.0796 soc/net950 (net)
0.2187 0.0007 24.8065 ^ soc/max_length952/A (sky130_fd_sc_hd__buf_6)
0.2806 0.4130 25.2194 ^ soc/max_length952/X (sky130_fd_sc_hd__buf_6)
8 0.0871 soc/net952 (net)
0.2815 0.0137 25.2331 ^ soc/wire951/A (sky130_fd_sc_hd__buf_6)
0.4509 0.5528 25.7859 ^ soc/wire951/X (sky130_fd_sc_hd__buf_6)
16 0.1441 soc/net951 (net)
0.4530 0.0265 25.8125 ^ soc/fanout945/A (sky130_fd_sc_hd__buf_8)
0.4641 0.6213 26.4337 ^ soc/fanout945/X (sky130_fd_sc_hd__buf_8)
40 0.1859 soc/net945 (net)
0.4646 0.0127 26.4465 ^ soc/_15882_/C1 (sky130_fd_sc_hd__o221a_1)
0.5339 0.8774 27.3239 ^ soc/_15882_/X (sky130_fd_sc_hd__o221a_1)
2 0.0326 soc/_05865_ (net)
0.5339 0.0023 27.3262 ^ soc/_15883_/C1 (sky130_fd_sc_hd__a211o_1)
0.3199 0.5321 27.8582 ^ soc/_15883_/X (sky130_fd_sc_hd__a211o_1)
2 0.0226 soc/_05866_ (net)
0.3199 0.0016 27.8599 ^ soc/_15884_/B1 (sky130_fd_sc_hd__o31a_2)
0.2979 0.5730 28.4329 ^ soc/_15884_/X (sky130_fd_sc_hd__o31a_2)
2 0.0324 soc/_05867_ (net)
0.2979 0.0023 28.4352 ^ soc/_15894_/A2 (sky130_fd_sc_hd__a211o_4)
0.3378 0.5618 28.9970 ^ soc/_15894_/X (sky130_fd_sc_hd__a211o_4)
2 0.0834 soc/_05877_ (net)
0.3389 0.0159 29.0129 ^ soc/_15895_/B1 (sky130_fd_sc_hd__o31a_1)
0.3713 0.5911 29.6040 ^ soc/_15895_/X (sky130_fd_sc_hd__o31a_1)
2 0.0217 soc/_00022_ (net)
0.3713 0.0015 29.6055 ^ soc/_29655_/D (sky130_fd_sc_hd__dfxtp_1)
29.6055 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0691 0.2421 34.2544 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_6_0_core_clk (net)
0.0691 0.0005 34.2549 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7758 0.7147 34.9697 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3002 soc/clknet_5_6_1_core_clk (net)
0.7759 0.0077 34.9774 ^ soc/clkbuf_leaf_107_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1357 0.5330 35.5104 ^ soc/clkbuf_leaf_107_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0646 soc/clknet_leaf_107_core_clk (net)
0.1357 0.0006 35.5109 ^ soc/_29655_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4109 clock uncertainty
1.2728 36.6838 clock reconvergence pessimism
-0.1954 36.4884 library setup time
36.4884 data required time
-------------------------------------------------------------------------------------
36.4884 data required time
-29.6055 data arrival time
-------------------------------------------------------------------------------------
6.8828 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29139_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3662 0.0070 24.3910 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2418 0.4320 24.8229 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.0926 soc/net1140 (net)
0.2431 0.0145 24.8375 ^ soc/wire1142/A (sky130_fd_sc_hd__buf_6)
0.3860 0.4941 25.3316 ^ soc/wire1142/X (sky130_fd_sc_hd__buf_6)
15 0.1225 soc/net1142 (net)
0.3885 0.0261 25.3576 ^ soc/wire1141/A (sky130_fd_sc_hd__buf_6)
0.3938 0.5584 25.9160 ^ soc/wire1141/X (sky130_fd_sc_hd__buf_6)
22 0.1255 soc/net1141 (net)
0.3946 0.0155 25.9315 ^ soc/_14809_/S (sky130_fd_sc_hd__mux2_1)
0.1351 0.8697 26.8012 v soc/_14809_/X (sky130_fd_sc_hd__mux2_1)
1 0.0052 soc/_04820_ (net)
0.1351 0.0001 26.8013 v soc/_14810_/A1 (sky130_fd_sc_hd__a211o_2)
0.3156 0.8964 27.6977 v soc/_14810_/X (sky130_fd_sc_hd__a211o_2)
2 0.0624 soc/_04821_ (net)
0.3160 0.0095 27.7072 v soc/_14815_/C1 (sky130_fd_sc_hd__o311a_1)
0.1754 0.3933 28.1005 v soc/_14815_/X (sky130_fd_sc_hd__o311a_1)
1 0.0120 soc/_04826_ (net)
0.1754 0.0002 28.1006 v soc/_14824_/C1 (sky130_fd_sc_hd__a311oi_4)
0.8174 0.7981 28.8988 ^ soc/_14824_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0384 soc/_04835_ (net)
0.8174 0.0038 28.9026 ^ soc/_14825_/B (sky130_fd_sc_hd__nor2_1)
0.2815 0.4943 29.3968 v soc/_14825_/Y (sky130_fd_sc_hd__nor2_1)
2 0.0195 soc/_00060_ (net)
0.2815 0.0013 29.3981 v soc/_29139_/D (sky130_fd_sc_hd__dfxtp_2)
29.3981 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0691 0.2421 34.2544 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_6_0_core_clk (net)
0.0691 0.0005 34.2549 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7758 0.7147 34.9697 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3002 soc/clknet_5_6_1_core_clk (net)
0.7760 0.0097 34.9794 ^ soc/clkbuf_leaf_106_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1937 0.5787 35.5580 ^ soc/clkbuf_leaf_106_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1077 soc/clknet_leaf_106_core_clk (net)
0.1938 0.0029 35.5609 ^ soc/_29139_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.4609 clock uncertainty
1.2728 36.7338 clock reconvergence pessimism
-0.3286 36.4052 library setup time
36.4052 data required time
-------------------------------------------------------------------------------------
36.4052 data required time
-29.3981 data arrival time
-------------------------------------------------------------------------------------
7.0071 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29164_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3684 0.0247 24.4087 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.3827 0.5394 24.9481 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1215 soc/net1152 (net)
0.3848 0.0237 24.9719 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.1975 0.4157 25.3876 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0589 soc/net1149 (net)
0.1975 0.0002 25.3878 ^ soc/wire1151/A (sky130_fd_sc_hd__buf_6)
0.3992 0.4820 25.8698 ^ soc/wire1151/X (sky130_fd_sc_hd__buf_6)
16 0.1269 soc/net1151 (net)
0.4007 0.0208 25.8906 ^ soc/max_length1150/A (sky130_fd_sc_hd__buf_8)
0.2681 0.4649 26.3555 ^ soc/max_length1150/X (sky130_fd_sc_hd__buf_8)
14 0.1036 soc/net1150 (net)
0.2688 0.0115 26.3670 ^ soc/_15731_/S (sky130_fd_sc_hd__mux2_1)
0.1406 0.8431 27.2101 v soc/_15731_/X (sky130_fd_sc_hd__mux2_1)
1 0.0059 soc/_05717_ (net)
0.1406 0.0001 27.2102 v soc/_15734_/A2 (sky130_fd_sc_hd__a211oi_1)
0.6297 0.6998 27.9100 ^ soc/_15734_/Y (sky130_fd_sc_hd__a211oi_1)
1 0.0099 soc/_05720_ (net)
0.6297 0.0003 27.9103 ^ soc/_15735_/A_N (sky130_fd_sc_hd__and3b_1)
0.1900 0.6796 28.5898 v soc/_15735_/X (sky130_fd_sc_hd__and3b_1)
1 0.0180 soc/_05721_ (net)
0.1900 0.0007 28.5906 v soc/_15764_/A2 (sky130_fd_sc_hd__o22a_2)
0.2633 0.6812 29.2718 v soc/_15764_/X (sky130_fd_sc_hd__o22a_2)
2 0.0451 soc/_00056_ (net)
0.2634 0.0057 29.2775 v soc/_29164_/D (sky130_fd_sc_hd__dfxtp_1)
29.2775 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0017 34.0037 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0666 0.2375 34.2412 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_19_0_core_clk (net)
0.0666 0.0003 34.2416 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7557 0.7002 34.9418 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2922 soc/clknet_5_19_1_core_clk (net)
0.7560 0.0115 34.9533 ^ soc/clkbuf_leaf_260_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1794 0.5624 35.5156 ^ soc/clkbuf_leaf_260_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
34 0.0976 soc/clknet_leaf_260_core_clk (net)
0.1794 0.0004 35.5160 ^ soc/_29164_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4160 clock uncertainty
1.2728 36.6888 clock reconvergence pessimism
-0.3198 36.3691 library setup time
36.3691 data required time
-------------------------------------------------------------------------------------
36.3691 data required time
-29.2775 data arrival time
-------------------------------------------------------------------------------------
7.0916 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29136_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3662 0.0070 24.3910 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2418 0.4320 24.8229 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.0926 soc/net1140 (net)
0.2431 0.0145 24.8375 ^ soc/wire1142/A (sky130_fd_sc_hd__buf_6)
0.3860 0.4941 25.3316 ^ soc/wire1142/X (sky130_fd_sc_hd__buf_6)
15 0.1225 soc/net1142 (net)
0.3885 0.0261 25.3576 ^ soc/wire1141/A (sky130_fd_sc_hd__buf_6)
0.3938 0.5584 25.9160 ^ soc/wire1141/X (sky130_fd_sc_hd__buf_6)
22 0.1255 soc/net1141 (net)
0.3940 0.0070 25.9230 ^ soc/_14695_/S (sky130_fd_sc_hd__mux2_1)
0.1286 0.8594 26.7824 v soc/_14695_/X (sky130_fd_sc_hd__mux2_1)
1 0.0044 soc/_04709_ (net)
0.1286 0.0001 26.7825 v soc/_14698_/A2 (sky130_fd_sc_hd__a211o_1)
0.1849 0.7071 27.4895 v soc/_14698_/X (sky130_fd_sc_hd__a211o_1)
1 0.0149 soc/_04712_ (net)
0.1849 0.0003 27.4898 v soc/_14708_/A3 (sky130_fd_sc_hd__a31oi_4)
0.6404 0.7072 28.1969 ^ soc/_14708_/Y (sky130_fd_sc_hd__a31oi_4)
2 0.0510 soc/_04722_ (net)
0.6405 0.0061 28.2031 ^ soc/_14709_/A2 (sky130_fd_sc_hd__a21oi_4)
0.3042 0.4860 28.6891 v soc/_14709_/Y (sky130_fd_sc_hd__a21oi_4)
2 0.0603 soc/_00057_ (net)
0.3050 0.0126 28.7017 v soc/_29136_/D (sky130_fd_sc_hd__dfxtp_1)
28.7017 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0005 33.9547 ^ soc/clkbuf_5_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0644 0.2279 34.1826 ^ soc/clkbuf_5_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0114 soc/clknet_5_0_0_core_clk (net)
0.0644 0.0005 34.1830 ^ soc/clkbuf_5_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3937 0.4526 34.6356 ^ soc/clkbuf_5_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1478 soc/clknet_5_0_1_core_clk (net)
0.3937 0.0017 34.6373 ^ soc/clkbuf_leaf_371_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0819 0.3599 34.9972 ^ soc/clkbuf_leaf_371_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.0289 soc/clknet_leaf_371_core_clk (net)
0.0819 0.0005 34.9977 ^ soc/_29136_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 34.8977 clock uncertainty
1.2728 36.1705 clock reconvergence pessimism
-0.3634 35.8071 library setup time
35.8071 data required time
-------------------------------------------------------------------------------------
35.8071 data required time
-28.7017 data arrival time
-------------------------------------------------------------------------------------
7.1054 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29159_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3684 0.0247 24.4087 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.3827 0.5394 24.9481 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1215 soc/net1152 (net)
0.3848 0.0237 24.9719 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.1975 0.4157 25.3876 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0589 soc/net1149 (net)
0.1975 0.0002 25.3878 ^ soc/fanout1147/A (sky130_fd_sc_hd__buf_12)
0.3954 0.4845 25.8723 ^ soc/fanout1147/X (sky130_fd_sc_hd__buf_12)
40 0.2210 soc/net1147 (net)
0.3959 0.0128 25.8852 ^ soc/_15556_/S (sky130_fd_sc_hd__mux2_1)
0.1629 0.9087 26.7939 v soc/_15556_/X (sky130_fd_sc_hd__mux2_1)
1 0.0084 soc/_05547_ (net)
0.1629 0.0003 26.7941 v soc/_15557_/A1 (sky130_fd_sc_hd__a211o_1)
0.1151 0.5798 27.3740 v soc/_15557_/X (sky130_fd_sc_hd__a211o_1)
1 0.0061 soc/_05548_ (net)
0.1151 0.0001 27.3740 v soc/_15573_/B1 (sky130_fd_sc_hd__a2bb2o_1)
0.2264 0.7825 28.1565 v soc/_15573_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.0181 soc/_05564_ (net)
0.2264 0.0008 28.1573 v soc/_15574_/A2 (sky130_fd_sc_hd__o22a_1)
0.3781 0.7337 28.8911 v soc/_15574_/X (sky130_fd_sc_hd__o22a_1)
2 0.0363 soc/_00050_ (net)
0.3781 0.0030 28.8941 v soc/_29159_/D (sky130_fd_sc_hd__dfxtp_1)
28.8941 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0733 0.2430 33.2139 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0156 soc/clknet_3_5_0_core_clk (net)
0.0733 0.0008 33.2147 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0688 0.2138 33.4285 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0135 soc/clknet_3_5_1_core_clk (net)
0.0688 0.0007 33.4292 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1055 0.2455 33.6746 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0291 soc/clknet_3_5_2_core_clk (net)
0.1055 0.0002 33.6749 ^ soc/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1175 0.2733 33.9482 ^ soc/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0350 soc/clknet_4_11_0_core_clk (net)
0.1176 0.0018 33.9500 ^ soc/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0694 0.2340 34.1840 ^ soc/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0138 soc/clknet_5_22_0_core_clk (net)
0.0694 0.0006 34.1846 ^ soc/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5995 0.5947 34.7792 ^ soc/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2300 soc/clknet_5_22_1_core_clk (net)
0.5996 0.0066 34.7858 ^ soc/clkbuf_leaf_276_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1288 0.4802 35.2661 ^ soc/clkbuf_leaf_276_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0621 soc/clknet_leaf_276_core_clk (net)
0.1288 0.0007 35.2668 ^ soc/_29159_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1668 clock uncertainty
1.2728 36.4396 clock reconvergence pessimism
-0.3811 36.0585 library setup time
36.0585 data required time
-------------------------------------------------------------------------------------
36.0585 data required time
-28.8941 data arrival time
-------------------------------------------------------------------------------------
7.1644 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29134_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3662 0.0070 24.3910 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2418 0.4320 24.8229 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.0926 soc/net1140 (net)
0.2431 0.0145 24.8375 ^ soc/wire1142/A (sky130_fd_sc_hd__buf_6)
0.3860 0.4941 25.3316 ^ soc/wire1142/X (sky130_fd_sc_hd__buf_6)
15 0.1225 soc/net1142 (net)
0.3885 0.0261 25.3576 ^ soc/wire1141/A (sky130_fd_sc_hd__buf_6)
0.3938 0.5584 25.9160 ^ soc/wire1141/X (sky130_fd_sc_hd__buf_6)
22 0.1255 soc/net1141 (net)
0.3944 0.0134 25.9294 ^ soc/_14631_/S (sky130_fd_sc_hd__mux2_1)
0.1508 0.8927 26.8221 v soc/_14631_/X (sky130_fd_sc_hd__mux2_1)
1 0.0071 soc/_04647_ (net)
0.1508 0.0002 26.8223 v soc/_14632_/A2 (sky130_fd_sc_hd__a211oi_1)
0.6953 0.7562 27.5784 ^ soc/_14632_/Y (sky130_fd_sc_hd__a211oi_1)
1 0.0113 soc/_04648_ (net)
0.6953 0.0005 27.5789 ^ soc/_14634_/A2_N (sky130_fd_sc_hd__a2bb2o_2)
0.2555 0.9300 28.5089 v soc/_14634_/X (sky130_fd_sc_hd__a2bb2o_2)
2 0.0447 soc/_04650_ (net)
0.2556 0.0052 28.5141 v soc/_14635_/B2 (sky130_fd_sc_hd__o22a_1)
0.3334 0.6565 29.1706 v soc/_14635_/X (sky130_fd_sc_hd__o22a_1)
2 0.0312 soc/_00043_ (net)
0.3334 0.0032 29.1738 v soc/_29134_/D (sky130_fd_sc_hd__dfxtp_1)
29.1738 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0691 0.2421 34.2544 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_6_0_core_clk (net)
0.0691 0.0005 34.2549 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7758 0.7147 34.9697 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3002 soc/clknet_5_6_1_core_clk (net)
0.7758 0.0054 34.9751 ^ soc/clkbuf_leaf_12_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1632 0.5549 35.5300 ^ soc/clkbuf_leaf_12_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0853 soc/clknet_leaf_12_core_clk (net)
0.1632 0.0021 35.5321 ^ soc/_29134_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4321 clock uncertainty
1.2728 36.7049 clock reconvergence pessimism
-0.3532 36.3518 library setup time
36.3518 data required time
-------------------------------------------------------------------------------------
36.3518 data required time
-29.1738 data arrival time
-------------------------------------------------------------------------------------
7.1779 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29147_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3684 0.0247 24.4087 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.3827 0.5394 24.9481 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1215 soc/net1152 (net)
0.3848 0.0237 24.9719 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.1975 0.4157 25.3876 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0589 soc/net1149 (net)
0.1975 0.0002 25.3878 ^ soc/wire1151/A (sky130_fd_sc_hd__buf_6)
0.3992 0.4820 25.8698 ^ soc/wire1151/X (sky130_fd_sc_hd__buf_6)
16 0.1269 soc/net1151 (net)
0.4007 0.0208 25.8906 ^ soc/max_length1150/A (sky130_fd_sc_hd__buf_8)
0.2681 0.4649 26.3555 ^ soc/max_length1150/X (sky130_fd_sc_hd__buf_8)
14 0.1036 soc/net1150 (net)
0.2687 0.0108 26.3663 ^ soc/_15102_/S (sky130_fd_sc_hd__mux2_1)
0.2362 0.9664 27.3327 v soc/_15102_/X (sky130_fd_sc_hd__mux2_1)
1 0.0175 soc/_05105_ (net)
0.2362 0.0009 27.3337 v soc/_15105_/A2 (sky130_fd_sc_hd__a211o_1)
0.1187 0.6683 28.0020 v soc/_15105_/X (sky130_fd_sc_hd__a211o_1)
1 0.0065 soc/_05108_ (net)
0.1187 0.0002 28.0021 v soc/_15106_/A3 (sky130_fd_sc_hd__a31o_1)
0.2196 0.5760 28.5781 v soc/_15106_/X (sky130_fd_sc_hd__a31o_1)
2 0.0224 soc/_05109_ (net)
0.2196 0.0012 28.5793 v soc/_15125_/A1 (sky130_fd_sc_hd__o22a_1)
0.2019 0.5962 29.1755 v soc/_15125_/X (sky130_fd_sc_hd__o22a_1)
1 0.0162 soc/_00037_ (net)
0.2019 0.0009 29.1764 v soc/_29147_/D (sky130_fd_sc_hd__dfxtp_1)
29.1764 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0049 32.4489 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2515 32.7004 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0009 32.7013 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.2800 32.9813 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 32.9828 ^ soc/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0794 0.2559 33.2387 ^ soc/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0183 soc/clknet_3_6_0_core_clk (net)
0.0794 0.0009 33.2396 ^ soc/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0771 0.2246 33.4642 ^ soc/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0173 soc/clknet_3_6_1_core_clk (net)
0.0771 0.0008 33.4650 ^ soc/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1629 0.2940 33.7590 ^ soc/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0538 soc/clknet_3_6_2_core_clk (net)
0.1629 0.0022 33.7612 ^ soc/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1538 0.3255 34.0866 ^ soc/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0499 soc/clknet_4_12_0_core_clk (net)
0.1538 0.0013 34.0880 ^ soc/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0687 0.2494 34.3373 ^ soc/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0134 soc/clknet_5_25_0_core_clk (net)
0.0687 0.0006 34.3379 ^ soc/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6721 0.6421 34.9800 ^ soc/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2599 soc/clknet_5_25_1_core_clk (net)
0.6726 0.0145 34.9945 ^ soc/clkbuf_leaf_138_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1781 0.5408 35.5352 ^ soc/clkbuf_leaf_138_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
38 0.0978 soc/clknet_leaf_138_core_clk (net)
0.1782 0.0024 35.5376 ^ soc/_29147_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4376 clock uncertainty
1.2728 36.7105 clock reconvergence pessimism
-0.2946 36.4159 library setup time
36.4159 data required time
-------------------------------------------------------------------------------------
36.4159 data required time
-29.1764 data arrival time
-------------------------------------------------------------------------------------
7.2395 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29140_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3662 0.0070 24.3910 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2418 0.4320 24.8229 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.0926 soc/net1140 (net)
0.2418 0.0003 24.8232 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4377 0.5205 25.3437 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2465 soc/net1139 (net)
0.4377 0.0011 25.3448 ^ soc/fanout1138/A (sky130_fd_sc_hd__buf_12)
0.3807 0.5640 25.9088 ^ soc/fanout1138/X (sky130_fd_sc_hd__buf_12)
40 0.2123 soc/net1138 (net)
0.3813 0.0131 25.9219 ^ soc/_14856_/S (sky130_fd_sc_hd__mux2_1)
0.1254 0.8518 26.7737 v soc/_14856_/X (sky130_fd_sc_hd__mux2_1)
1 0.0042 soc/_04866_ (net)
0.1254 0.0001 26.7737 v soc/_14859_/A1 (sky130_fd_sc_hd__a211o_1)
0.1333 0.5854 27.3591 v soc/_14859_/X (sky130_fd_sc_hd__a211o_1)
1 0.0083 soc/_04869_ (net)
0.1333 0.0002 27.3593 v soc/_14860_/B1 (sky130_fd_sc_hd__o311a_1)
0.2486 0.4133 27.7727 v soc/_14860_/X (sky130_fd_sc_hd__o311a_1)
1 0.0222 soc/_04870_ (net)
0.2486 0.0014 27.7741 v soc/_14861_/C1 (sky130_fd_sc_hd__a311oi_2)
0.4860 0.5385 28.3126 ^ soc/_14861_/Y (sky130_fd_sc_hd__a311oi_2)
1 0.0108 soc/_04871_ (net)
0.4860 0.0004 28.3130 ^ soc/_14862_/B1 (sky130_fd_sc_hd__a21oi_1)
0.3977 0.4057 28.7187 v soc/_14862_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.0236 soc/_00061_ (net)
0.3977 0.0017 28.7204 v soc/_29140_/D (sky130_fd_sc_hd__dfxtp_1)
28.7204 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1319 0.0030 33.7139 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1340 0.2968 34.0107 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0418 soc/clknet_4_2_0_core_clk (net)
0.1341 0.0022 34.0129 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0704 0.2424 34.2553 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0142 soc/clknet_5_4_0_core_clk (net)
0.0704 0.0005 34.2557 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4662 0.5002 34.7559 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1765 soc/clknet_5_4_1_core_clk (net)
0.4668 0.0135 34.7693 ^ soc/clkbuf_leaf_360_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1803 0.4687 35.2380 ^ soc/clkbuf_leaf_360_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1010 soc/clknet_leaf_360_core_clk (net)
0.1804 0.0016 35.2396 ^ soc/_29140_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1396 clock uncertainty
1.2728 36.4125 clock reconvergence pessimism
-0.3752 36.0373 library setup time
36.0373 data required time
-------------------------------------------------------------------------------------
36.0373 data required time
-28.7204 data arrival time
-------------------------------------------------------------------------------------
7.3169 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29162_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3684 0.0247 24.4087 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.3827 0.5394 24.9481 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1215 soc/net1152 (net)
0.3848 0.0237 24.9719 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.1975 0.4157 25.3876 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0589 soc/net1149 (net)
0.1975 0.0002 25.3878 ^ soc/wire1151/A (sky130_fd_sc_hd__buf_6)
0.3992 0.4820 25.8698 ^ soc/wire1151/X (sky130_fd_sc_hd__buf_6)
16 0.1269 soc/net1151 (net)
0.4007 0.0208 25.8906 ^ soc/max_length1150/A (sky130_fd_sc_hd__buf_8)
0.2681 0.4649 26.3555 ^ soc/max_length1150/X (sky130_fd_sc_hd__buf_8)
14 0.1036 soc/net1150 (net)
0.2684 0.0070 26.3625 ^ soc/_15659_/S (sky130_fd_sc_hd__mux2_1)
0.1472 0.8524 27.2148 v soc/_15659_/X (sky130_fd_sc_hd__mux2_1)
1 0.0067 soc/_05647_ (net)
0.1472 0.0001 27.2150 v soc/_15660_/A2 (sky130_fd_sc_hd__a211o_1)
0.0901 0.5937 27.8087 v soc/_15660_/X (sky130_fd_sc_hd__a211o_1)
1 0.0033 soc/_05648_ (net)
0.0901 0.0000 27.8087 v soc/_15661_/C1 (sky130_fd_sc_hd__o311a_1)
0.1301 0.2458 28.0545 v soc/_15661_/X (sky130_fd_sc_hd__o311a_1)
1 0.0070 soc/_05649_ (net)
0.1301 0.0002 28.0547 v soc/_15670_/B1 (sky130_fd_sc_hd__a31o_1)
0.2839 0.5775 28.6322 v soc/_15670_/X (sky130_fd_sc_hd__a31o_1)
2 0.0304 soc/_05658_ (net)
0.2839 0.0026 28.6348 v soc/_15689_/B2 (sky130_fd_sc_hd__o22a_1)
0.0848 0.4064 29.0412 v soc/_15689_/X (sky130_fd_sc_hd__o22a_1)
1 0.0026 soc/_00053_ (net)
0.0848 0.0000 29.0413 v soc/_29162_/D (sky130_fd_sc_hd__dfxtp_1)
29.0413 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0017 34.0037 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0666 0.2375 34.2412 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_19_0_core_clk (net)
0.0666 0.0003 34.2416 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7557 0.7002 34.9418 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2922 soc/clknet_5_19_1_core_clk (net)
0.7562 0.0156 34.9574 ^ soc/clkbuf_leaf_256_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1511 0.5406 35.4980 ^ soc/clkbuf_leaf_256_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0766 soc/clknet_leaf_256_core_clk (net)
0.1511 0.0007 35.4987 ^ soc/_29162_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.3987 clock uncertainty
1.2728 36.6715 clock reconvergence pessimism
-0.2533 36.4182 library setup time
36.4182 data required time
-------------------------------------------------------------------------------------
36.4182 data required time
-29.0413 data arrival time
-------------------------------------------------------------------------------------
7.3770 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29662_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0766 0.3301 22.3967 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0348 soc/net1546 (net)
0.0766 0.0005 22.3972 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1791 0.3383 22.7355 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1079 soc/net1548 (net)
0.1791 0.0029 22.7385 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1845 0.3918 23.1303 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.1965 soc/net1543 (net)
0.1862 0.0153 23.1456 v soc/_15776_/A2 (sky130_fd_sc_hd__o21ai_4)
1.1735 1.0366 24.1822 ^ soc/_15776_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1074 soc/_05761_ (net)
1.1735 0.0040 24.1861 ^ soc/fanout950/A (sky130_fd_sc_hd__buf_8)
0.2187 0.6196 24.8058 ^ soc/fanout950/X (sky130_fd_sc_hd__buf_8)
10 0.0796 soc/net950 (net)
0.2187 0.0007 24.8065 ^ soc/max_length952/A (sky130_fd_sc_hd__buf_6)
0.2806 0.4130 25.2194 ^ soc/max_length952/X (sky130_fd_sc_hd__buf_6)
8 0.0871 soc/net952 (net)
0.2815 0.0137 25.2331 ^ soc/wire951/A (sky130_fd_sc_hd__buf_6)
0.4509 0.5528 25.7859 ^ soc/wire951/X (sky130_fd_sc_hd__buf_6)
16 0.1441 soc/net951 (net)
0.4514 0.0130 25.7989 ^ soc/fanout946/A (sky130_fd_sc_hd__clkbuf_16)
0.3052 0.5879 26.3869 ^ soc/fanout946/X (sky130_fd_sc_hd__clkbuf_16)
40 0.1919 soc/net946 (net)
0.3080 0.0242 26.4111 ^ soc/_16145_/B1 (sky130_fd_sc_hd__o311a_1)
0.1931 0.5274 26.9385 ^ soc/_16145_/X (sky130_fd_sc_hd__o311a_1)
1 0.0102 soc/_06121_ (net)
0.1931 0.0005 26.9390 ^ soc/_16146_/C1 (sky130_fd_sc_hd__a211o_1)
0.1690 0.2988 27.2378 ^ soc/_16146_/X (sky130_fd_sc_hd__a211o_1)
1 0.0108 soc/_06122_ (net)
0.1690 0.0004 27.2382 ^ soc/_16151_/C1 (sky130_fd_sc_hd__o311a_1)
0.3769 0.6088 27.8470 ^ soc/_16151_/X (sky130_fd_sc_hd__o311a_1)
2 0.0227 soc/_06127_ (net)
0.3769 0.0013 27.8483 ^ soc/_16152_/B1 (sky130_fd_sc_hd__a31oi_2)
0.2892 0.2292 28.0776 v soc/_16152_/Y (sky130_fd_sc_hd__a31oi_2)
1 0.0158 soc/_06128_ (net)
0.2892 0.0005 28.0781 v soc/_16153_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.4376 0.8481 28.9261 ^ soc/_16153_/X (sky130_fd_sc_hd__o2bb2a_1)
2 0.0281 soc/_00031_ (net)
0.4376 0.0025 28.9287 ^ soc/_29662_/D (sky130_fd_sc_hd__dfxtp_1)
28.9287 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0661 0.2389 34.2513 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_7_0_core_clk (net)
0.0661 0.0005 34.2517 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6080 0.5996 34.8513 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2334 soc/clknet_5_7_1_core_clk (net)
0.6082 0.0085 34.8598 ^ soc/clkbuf_leaf_111_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1615 0.5089 35.3686 ^ soc/clkbuf_leaf_111_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
34 0.0863 soc/clknet_leaf_111_core_clk (net)
0.1615 0.0003 35.3690 ^ soc/_29662_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.2690 clock uncertainty
1.2728 36.5418 clock reconvergence pessimism
-0.2082 36.3336 library setup time
36.3336 data required time
-------------------------------------------------------------------------------------
36.3336 data required time
-28.9287 data arrival time
-------------------------------------------------------------------------------------
7.4050 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29160_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3662 0.0070 24.3910 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2418 0.4320 24.8229 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.0926 soc/net1140 (net)
0.2418 0.0003 24.8232 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4377 0.5205 25.3437 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2465 soc/net1139 (net)
0.4377 0.0011 25.3448 ^ soc/fanout1138/A (sky130_fd_sc_hd__buf_12)
0.3807 0.5640 25.9088 ^ soc/fanout1138/X (sky130_fd_sc_hd__buf_12)
40 0.2123 soc/net1138 (net)
0.3824 0.0215 25.9303 ^ soc/_15586_/S (sky130_fd_sc_hd__mux2_1)
0.2039 0.9533 26.8836 v soc/_15586_/X (sky130_fd_sc_hd__mux2_1)
1 0.0125 soc/_05576_ (net)
0.2039 0.0006 26.8842 v soc/_15587_/A1 (sky130_fd_sc_hd__a211o_1)
0.0875 0.5600 27.4442 v soc/_15587_/X (sky130_fd_sc_hd__a211o_1)
1 0.0031 soc/_05577_ (net)
0.0875 0.0000 27.4442 v soc/_15592_/C1 (sky130_fd_sc_hd__o311a_1)
0.1443 0.2580 27.7022 v soc/_15592_/X (sky130_fd_sc_hd__o311a_1)
1 0.0086 soc/_05582_ (net)
0.1443 0.0003 27.7025 v soc/_15593_/B1 (sky130_fd_sc_hd__a31o_1)
0.2390 0.5459 28.2484 v soc/_15593_/X (sky130_fd_sc_hd__a31o_1)
2 0.0248 soc/_05583_ (net)
0.2391 0.0018 28.2502 v soc/_15613_/B2 (sky130_fd_sc_hd__o22a_2)
0.2968 0.6887 28.9389 v soc/_15613_/X (sky130_fd_sc_hd__o22a_2)
2 0.0525 soc/_00051_ (net)
0.2970 0.0073 28.9461 v soc/_29160_/D (sky130_fd_sc_hd__dfxtp_2)
28.9461 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0019 34.0039 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0690 0.2399 34.2438 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_18_0_core_clk (net)
0.0690 0.0006 34.2444 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8416 0.7613 35.0057 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3266 soc/clknet_5_18_1_core_clk (net)
0.8417 0.0075 35.0131 ^ soc/clkbuf_leaf_125_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1182 0.5344 35.5475 ^ soc/clkbuf_leaf_125_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0498 soc/clknet_leaf_125_core_clk (net)
0.1182 0.0006 35.5481 ^ soc/_29160_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.4481 clock uncertainty
1.2728 36.7209 clock reconvergence pessimism
-0.3556 36.3653 library setup time
36.3653 data required time
-------------------------------------------------------------------------------------
36.3653 data required time
-28.9461 data arrival time
-------------------------------------------------------------------------------------
7.4192 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29157_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3684 0.0247 24.4087 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.3827 0.5394 24.9481 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1215 soc/net1152 (net)
0.3848 0.0237 24.9719 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.1975 0.4157 25.3876 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0589 soc/net1149 (net)
0.1975 0.0002 25.3878 ^ soc/wire1151/A (sky130_fd_sc_hd__buf_6)
0.3992 0.4820 25.8698 ^ soc/wire1151/X (sky130_fd_sc_hd__buf_6)
16 0.1269 soc/net1151 (net)
0.4007 0.0208 25.8906 ^ soc/max_length1150/A (sky130_fd_sc_hd__buf_8)
0.2681 0.4649 26.3555 ^ soc/max_length1150/X (sky130_fd_sc_hd__buf_8)
14 0.1036 soc/net1150 (net)
0.2681 0.0010 26.3565 ^ soc/_15473_/S (sky130_fd_sc_hd__mux2_1)
0.1392 0.8407 27.1973 v soc/_15473_/X (sky130_fd_sc_hd__mux2_1)
1 0.0057 soc/_05466_ (net)
0.1392 0.0001 27.1974 v soc/_15474_/A2 (sky130_fd_sc_hd__a211o_1)
0.1067 0.6137 27.8111 v soc/_15474_/X (sky130_fd_sc_hd__a211o_1)
1 0.0051 soc/_05467_ (net)
0.1067 0.0001 27.8112 v soc/_15479_/A2 (sky130_fd_sc_hd__a31o_1)
0.1519 0.4934 28.3045 v soc/_15479_/X (sky130_fd_sc_hd__a31o_1)
1 0.0137 soc/_05472_ (net)
0.1519 0.0007 28.3052 v soc/_15499_/B2 (sky130_fd_sc_hd__o22a_1)
0.1623 0.4467 28.7519 v soc/_15499_/X (sky130_fd_sc_hd__o22a_1)
1 0.0109 soc/_00048_ (net)
0.1623 0.0005 28.7524 v soc/_29157_/D (sky130_fd_sc_hd__dfxtp_1)
28.7524 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0733 0.2430 33.2139 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0156 soc/clknet_3_5_0_core_clk (net)
0.0733 0.0008 33.2147 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0688 0.2138 33.4285 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0135 soc/clknet_3_5_1_core_clk (net)
0.0688 0.0007 33.4292 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1055 0.2455 33.6746 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0291 soc/clknet_3_5_2_core_clk (net)
0.1055 0.0002 33.6749 ^ soc/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1175 0.2733 33.9482 ^ soc/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0350 soc/clknet_4_11_0_core_clk (net)
0.1176 0.0018 33.9500 ^ soc/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0694 0.2340 34.1840 ^ soc/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0138 soc/clknet_5_22_0_core_clk (net)
0.0694 0.0006 34.1846 ^ soc/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5995 0.5947 34.7792 ^ soc/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2300 soc/clknet_5_22_1_core_clk (net)
0.5995 0.0047 34.7839 ^ soc/clkbuf_leaf_279_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1700 0.5123 35.2962 ^ soc/clkbuf_leaf_279_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
36 0.0926 soc/clknet_leaf_279_core_clk (net)
0.1701 0.0023 35.2985 ^ soc/_29157_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1985 clock uncertainty
1.2728 36.4713 clock reconvergence pessimism
-0.2803 36.1910 library setup time
36.1910 data required time
-------------------------------------------------------------------------------------
36.1910 data required time
-28.7524 data arrival time
-------------------------------------------------------------------------------------
7.4386 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29659_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0766 0.3301 22.3967 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0348 soc/net1546 (net)
0.0766 0.0005 22.3972 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1791 0.3383 22.7355 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1079 soc/net1548 (net)
0.1791 0.0029 22.7385 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1845 0.3918 23.1303 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.1965 soc/net1543 (net)
0.1862 0.0153 23.1456 v soc/_15776_/A2 (sky130_fd_sc_hd__o21ai_4)
1.1735 1.0366 24.1822 ^ soc/_15776_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1074 soc/_05761_ (net)
1.1735 0.0040 24.1861 ^ soc/fanout950/A (sky130_fd_sc_hd__buf_8)
0.2187 0.6196 24.8058 ^ soc/fanout950/X (sky130_fd_sc_hd__buf_8)
10 0.0796 soc/net950 (net)
0.2187 0.0007 24.8065 ^ soc/max_length952/A (sky130_fd_sc_hd__buf_6)
0.2806 0.4130 25.2194 ^ soc/max_length952/X (sky130_fd_sc_hd__buf_6)
8 0.0871 soc/net952 (net)
0.2815 0.0137 25.2331 ^ soc/wire951/A (sky130_fd_sc_hd__buf_6)
0.4509 0.5528 25.7859 ^ soc/wire951/X (sky130_fd_sc_hd__buf_6)
16 0.1441 soc/net951 (net)
0.4530 0.0265 25.8125 ^ soc/fanout945/A (sky130_fd_sc_hd__buf_8)
0.4641 0.6213 26.4337 ^ soc/fanout945/X (sky130_fd_sc_hd__buf_8)
40 0.1859 soc/net945 (net)
0.4651 0.0177 26.4514 ^ soc/_16039_/C1 (sky130_fd_sc_hd__o221a_1)
0.1209 0.5437 26.9951 ^ soc/_16039_/X (sky130_fd_sc_hd__o221a_1)
1 0.0047 soc/_06018_ (net)
0.1209 0.0001 26.9952 ^ soc/_16040_/C1 (sky130_fd_sc_hd__a211o_1)
0.4094 0.4452 27.4404 ^ soc/_16040_/X (sky130_fd_sc_hd__a211o_1)
2 0.0295 soc/_06019_ (net)
0.4094 0.0026 27.4430 ^ soc/_16041_/A3 (sky130_fd_sc_hd__a31o_1)
0.2242 0.5456 27.9886 ^ soc/_16041_/X (sky130_fd_sc_hd__a31o_1)
1 0.0132 soc/_06020_ (net)
0.2242 0.0003 27.9889 ^ soc/_16042_/B1 (sky130_fd_sc_hd__a31oi_4)
0.4963 0.2296 28.2185 v soc/_16042_/Y (sky130_fd_sc_hd__a31oi_4)
2 0.0656 soc/_06021_ (net)
0.4966 0.0095 28.2280 v soc/_16043_/B1 (sky130_fd_sc_hd__a21oi_2)
0.4824 0.6206 28.8486 ^ soc/_16043_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.0232 soc/_00028_ (net)
0.4824 0.0018 28.8504 ^ soc/_29659_/D (sky130_fd_sc_hd__dfxtp_1)
28.8504 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0661 0.2389 34.2513 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_7_0_core_clk (net)
0.0661 0.0005 34.2517 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6080 0.5996 34.8513 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2334 soc/clknet_5_7_1_core_clk (net)
0.6082 0.0085 34.8598 ^ soc/clkbuf_leaf_111_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1615 0.5089 35.3686 ^ soc/clkbuf_leaf_111_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
34 0.0863 soc/clknet_leaf_111_core_clk (net)
0.1616 0.0028 35.3715 ^ soc/_29659_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.2715 clock uncertainty
1.2728 36.5443 clock reconvergence pessimism
-0.2197 36.3246 library setup time
36.3246 data required time
-------------------------------------------------------------------------------------
36.3246 data required time
-28.8504 data arrival time
-------------------------------------------------------------------------------------
7.4742 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29679_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1975 0.0007 21.7933 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.2860 0.4054 22.1987 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0887 soc/net1751 (net)
0.2860 0.0007 22.1995 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.4876 0.6154 22.8149 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1110 soc/net1750 (net)
0.4886 0.0187 22.8336 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2142 0.4644 23.2980 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0646 soc/net1726 (net)
0.2142 0.0006 23.2986 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.3829 0.7201 24.0187 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0753 soc/_05755_ (net)
0.3833 0.0113 24.0300 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0695 0.3109 24.3409 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0140 soc/net1468 (net)
0.0695 0.0000 24.3409 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.3920 0.4191 24.7601 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1248 soc/net1470 (net)
0.3928 0.0157 24.7758 ^ soc/wire1469/A (sky130_fd_sc_hd__clkbuf_8)
0.3523 0.5932 25.3690 ^ soc/wire1469/X (sky130_fd_sc_hd__clkbuf_8)
6 0.1306 soc/net1469 (net)
0.3535 0.0179 25.3869 ^ soc/fanout1467/A (sky130_fd_sc_hd__buf_12)
0.4731 0.5867 25.9735 ^ soc/fanout1467/X (sky130_fd_sc_hd__buf_12)
40 0.2678 soc/net1467 (net)
0.4731 0.0006 25.9742 ^ soc/fanout1465/A (sky130_fd_sc_hd__clkbuf_16)
0.3157 0.6150 26.5892 ^ soc/fanout1465/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2001 soc/net1465 (net)
0.3163 0.0118 26.6010 ^ soc/_16762_/A3 (sky130_fd_sc_hd__o311a_1)
0.1554 0.4469 27.0479 ^ soc/_16762_/X (sky130_fd_sc_hd__o311a_1)
1 0.0076 soc/_06721_ (net)
0.1554 0.0002 27.0481 ^ soc/_16765_/A2 (sky130_fd_sc_hd__o31a_1)
0.2489 0.4232 27.4713 ^ soc/_16765_/X (sky130_fd_sc_hd__o31a_1)
1 0.0139 soc/_06724_ (net)
0.2489 0.0004 27.4717 ^ soc/_16766_/A1 (sky130_fd_sc_hd__a21oi_1)
0.2491 0.3298 27.8015 v soc/_16766_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.0135 soc/_06725_ (net)
0.2491 0.0007 27.8021 v soc/_16785_/A1_N (sky130_fd_sc_hd__o2bb2a_1)
0.4984 0.8990 28.7011 ^ soc/_16785_/X (sky130_fd_sc_hd__o2bb2a_1)
2 0.0323 soc/_00018_ (net)
0.4984 0.0027 28.7037 ^ soc/_29679_/D (sky130_fd_sc_hd__dfxtp_1)
28.7037 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0733 0.2430 33.2139 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0156 soc/clknet_3_5_0_core_clk (net)
0.0733 0.0008 33.2147 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0688 0.2138 33.4285 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0135 soc/clknet_3_5_1_core_clk (net)
0.0688 0.0007 33.4292 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1055 0.2455 33.6746 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0291 soc/clknet_3_5_2_core_clk (net)
0.1055 0.0002 33.6749 ^ soc/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1175 0.2733 33.9482 ^ soc/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0350 soc/clknet_4_11_0_core_clk (net)
0.1176 0.0018 33.9500 ^ soc/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0694 0.2340 34.1840 ^ soc/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0138 soc/clknet_5_22_0_core_clk (net)
0.0694 0.0006 34.1846 ^ soc/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5995 0.5947 34.7792 ^ soc/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2300 soc/clknet_5_22_1_core_clk (net)
0.5996 0.0066 34.7858 ^ soc/clkbuf_leaf_276_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1288 0.4802 35.2661 ^ soc/clkbuf_leaf_276_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0621 soc/clknet_leaf_276_core_clk (net)
0.1289 0.0018 35.2679 ^ soc/_29679_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1679 clock uncertainty
1.2728 36.4407 clock reconvergence pessimism
-0.2296 36.2111 library setup time
36.2111 data required time
-------------------------------------------------------------------------------------
36.2111 data required time
-28.7037 data arrival time
-------------------------------------------------------------------------------------
7.5074 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29653_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0766 0.3301 22.3967 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0348 soc/net1546 (net)
0.0766 0.0005 22.3972 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1791 0.3383 22.7355 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1079 soc/net1548 (net)
0.1791 0.0029 22.7385 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1845 0.3918 23.1303 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.1965 soc/net1543 (net)
0.1862 0.0153 23.1456 v soc/_15776_/A2 (sky130_fd_sc_hd__o21ai_4)
1.1735 1.0366 24.1822 ^ soc/_15776_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1074 soc/_05761_ (net)
1.1735 0.0040 24.1861 ^ soc/fanout950/A (sky130_fd_sc_hd__buf_8)
0.2187 0.6196 24.8058 ^ soc/fanout950/X (sky130_fd_sc_hd__buf_8)
10 0.0796 soc/net950 (net)
0.2187 0.0007 24.8065 ^ soc/max_length952/A (sky130_fd_sc_hd__buf_6)
0.2806 0.4130 25.2194 ^ soc/max_length952/X (sky130_fd_sc_hd__buf_6)
8 0.0871 soc/net952 (net)
0.2815 0.0137 25.2331 ^ soc/wire951/A (sky130_fd_sc_hd__buf_6)
0.4509 0.5528 25.7859 ^ soc/wire951/X (sky130_fd_sc_hd__buf_6)
16 0.1441 soc/net951 (net)
0.4530 0.0265 25.8125 ^ soc/fanout945/A (sky130_fd_sc_hd__buf_8)
0.4641 0.6213 26.4337 ^ soc/fanout945/X (sky130_fd_sc_hd__buf_8)
40 0.1859 soc/net945 (net)
0.4656 0.0221 26.4559 ^ soc/_15810_/B1 (sky130_fd_sc_hd__o311a_1)
0.3939 0.7451 27.2010 ^ soc/_15810_/X (sky130_fd_sc_hd__o311a_1)
2 0.0239 soc/_05795_ (net)
0.3939 0.0013 27.2022 ^ soc/_15817_/A2 (sky130_fd_sc_hd__o311a_1)
0.1453 0.5045 27.7068 ^ soc/_15817_/X (sky130_fd_sc_hd__o311a_1)
1 0.0068 soc/_05802_ (net)
0.1453 0.0002 27.7069 ^ soc/_15818_/B1 (sky130_fd_sc_hd__a31o_2)
0.5519 0.5836 28.2906 ^ soc/_15818_/X (sky130_fd_sc_hd__a31o_2)
2 0.0625 soc/_05803_ (net)
0.5521 0.0078 28.2984 ^ soc/_15819_/B2 (sky130_fd_sc_hd__o22a_1)
0.4564 0.7088 29.0072 ^ soc/_15819_/X (sky130_fd_sc_hd__o22a_1)
2 0.0293 soc/_00000_ (net)
0.4564 0.0027 29.0099 ^ soc/_29653_/D (sky130_fd_sc_hd__dfxtp_1)
29.0099 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0691 0.2421 34.2544 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_6_0_core_clk (net)
0.0691 0.0005 34.2549 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7758 0.7147 34.9697 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3002 soc/clknet_5_6_1_core_clk (net)
0.7760 0.0097 34.9794 ^ soc/clkbuf_leaf_106_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1937 0.5787 35.5580 ^ soc/clkbuf_leaf_106_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1077 soc/clknet_leaf_106_core_clk (net)
0.1938 0.0028 35.5608 ^ soc/_29653_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4608 clock uncertainty
1.2728 36.7337 clock reconvergence pessimism
-0.2074 36.5263 library setup time
36.5263 data required time
-------------------------------------------------------------------------------------
36.5263 data required time
-29.0099 data arrival time
-------------------------------------------------------------------------------------
7.5163 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29152_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3662 0.0070 24.3910 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2418 0.4320 24.8229 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.0926 soc/net1140 (net)
0.2418 0.0003 24.8232 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4377 0.5205 25.3437 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2465 soc/net1139 (net)
0.4377 0.0011 25.3448 ^ soc/fanout1138/A (sky130_fd_sc_hd__buf_12)
0.3807 0.5640 25.9088 ^ soc/fanout1138/X (sky130_fd_sc_hd__buf_12)
40 0.2123 soc/net1138 (net)
0.3821 0.0197 25.9285 ^ soc/_15276_/S (sky130_fd_sc_hd__mux2_1)
0.1253 0.8519 26.7804 v soc/_15276_/X (sky130_fd_sc_hd__mux2_1)
1 0.0042 soc/_05274_ (net)
0.1253 0.0001 26.7805 v soc/_15277_/A1 (sky130_fd_sc_hd__mux2_1)
0.1349 0.7345 27.5150 v soc/_15277_/X (sky130_fd_sc_hd__mux2_1)
1 0.0051 soc/_05275_ (net)
0.1349 0.0001 27.5151 v soc/_15282_/A2 (sky130_fd_sc_hd__o211a_1)
0.1624 0.5193 28.0343 v soc/_15282_/X (sky130_fd_sc_hd__o211a_1)
1 0.0135 soc/_05280_ (net)
0.1624 0.0006 28.0349 v soc/_15310_/A1_N (sky130_fd_sc_hd__a2bb2oi_2)
0.2670 0.4220 28.4569 v soc/_15310_/Y (sky130_fd_sc_hd__a2bb2oi_2)
2 0.0265 soc/_00042_ (net)
0.2670 0.0022 28.4591 v soc/_29152_/D (sky130_fd_sc_hd__dfxtp_1)
28.4591 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1319 0.0030 33.7139 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1340 0.2968 34.0107 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0418 soc/clknet_4_2_0_core_clk (net)
0.1341 0.0022 34.0129 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0704 0.2424 34.2553 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0142 soc/clknet_5_4_0_core_clk (net)
0.0704 0.0005 34.2557 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4662 0.5002 34.7559 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1765 soc/clknet_5_4_1_core_clk (net)
0.4668 0.0135 34.7693 ^ soc/clkbuf_leaf_360_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1803 0.4687 35.2380 ^ soc/clkbuf_leaf_360_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1010 soc/clknet_leaf_360_core_clk (net)
0.1803 0.0004 35.2384 ^ soc/_29152_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1384 clock uncertainty
1.2728 36.4113 clock reconvergence pessimism
-0.3210 36.0903 library setup time
36.0903 data required time
-------------------------------------------------------------------------------------
36.0903 data required time
-28.4591 data arrival time
-------------------------------------------------------------------------------------
7.6312 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29148_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3662 0.0070 24.3910 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2418 0.4320 24.8229 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.0926 soc/net1140 (net)
0.2418 0.0003 24.8232 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4377 0.5205 25.3437 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2465 soc/net1139 (net)
0.4377 0.0011 25.3448 ^ soc/fanout1138/A (sky130_fd_sc_hd__buf_12)
0.3807 0.5640 25.9088 ^ soc/fanout1138/X (sky130_fd_sc_hd__buf_12)
40 0.2123 soc/net1138 (net)
0.3812 0.0121 25.9209 ^ soc/_15127_/S (sky130_fd_sc_hd__mux2_1)
0.1104 0.8278 26.7487 v soc/_15127_/X (sky130_fd_sc_hd__mux2_1)
1 0.0028 soc/_05129_ (net)
0.1104 0.0000 26.7487 v soc/_15128_/A1 (sky130_fd_sc_hd__mux2_1)
0.1096 0.6834 27.4321 v soc/_15128_/X (sky130_fd_sc_hd__mux2_1)
1 0.0024 soc/_05130_ (net)
0.1096 0.0000 27.4321 v soc/_15133_/A2 (sky130_fd_sc_hd__o211ai_1)
0.3887 0.4117 27.8438 ^ soc/_15133_/Y (sky130_fd_sc_hd__o211ai_1)
1 0.0078 soc/_05135_ (net)
0.3887 0.0002 27.8441 ^ soc/_15142_/C1 (sky130_fd_sc_hd__o311ai_1)
0.2241 0.3624 28.2065 v soc/_15142_/Y (sky130_fd_sc_hd__o311ai_1)
1 0.0045 soc/_05144_ (net)
0.2241 0.0001 28.2065 v soc/_15161_/B1 (sky130_fd_sc_hd__o31a_1)
0.1224 0.3040 28.5105 v soc/_15161_/X (sky130_fd_sc_hd__o31a_1)
1 0.0072 soc/_00038_ (net)
0.1224 0.0002 28.5107 v soc/_29148_/D (sky130_fd_sc_hd__dfxtp_1)
28.5107 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1319 0.0030 33.7139 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1340 0.2968 34.0107 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0418 soc/clknet_4_2_0_core_clk (net)
0.1341 0.0022 34.0129 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0704 0.2424 34.2553 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0142 soc/clknet_5_4_0_core_clk (net)
0.0704 0.0005 34.2557 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4662 0.5002 34.7559 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1765 soc/clknet_5_4_1_core_clk (net)
0.4668 0.0135 34.7693 ^ soc/clkbuf_leaf_360_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1803 0.4687 35.2380 ^ soc/clkbuf_leaf_360_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1010 soc/clknet_leaf_360_core_clk (net)
0.1804 0.0016 35.2396 ^ soc/_29148_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1396 clock uncertainty
1.2728 36.4125 clock reconvergence pessimism
-0.2610 36.1515 library setup time
36.1515 data required time
-------------------------------------------------------------------------------------
36.1515 data required time
-28.5107 data arrival time
-------------------------------------------------------------------------------------
7.6408 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29144_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3684 0.0247 24.4087 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.3827 0.5394 24.9481 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1215 soc/net1152 (net)
0.3834 0.0134 24.9615 ^ soc/fanout1146/A (sky130_fd_sc_hd__buf_12)
0.3236 0.5072 25.4687 ^ soc/fanout1146/X (sky130_fd_sc_hd__buf_12)
36 0.1787 soc/net1146 (net)
0.3238 0.0067 25.4755 ^ soc/_14984_/S (sky130_fd_sc_hd__mux2_1)
0.1550 0.8783 26.3538 v soc/_14984_/X (sky130_fd_sc_hd__mux2_1)
1 0.0076 soc/_04990_ (net)
0.1550 0.0002 26.3540 v soc/_14985_/A2 (sky130_fd_sc_hd__a211o_1)
0.2056 0.7387 27.0927 v soc/_14985_/X (sky130_fd_sc_hd__a211o_1)
1 0.0178 soc/_04991_ (net)
0.2056 0.0003 27.0930 v soc/_14986_/C1 (sky130_fd_sc_hd__o311ai_4)
0.6097 0.2335 27.3265 ^ soc/_14986_/Y (sky130_fd_sc_hd__o311ai_4)
2 0.0255 soc/_04992_ (net)
0.6097 0.0012 27.3277 ^ soc/_14995_/B1 (sky130_fd_sc_hd__o31a_1)
0.2118 0.5765 27.9043 ^ soc/_14995_/X (sky130_fd_sc_hd__o31a_1)
1 0.0114 soc/_05001_ (net)
0.2118 0.0004 27.9047 ^ soc/_15014_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.2469 0.6693 28.5740 v soc/_15014_/X (sky130_fd_sc_hd__o2bb2a_1)
2 0.0197 soc/_00034_ (net)
0.2469 0.0013 28.5753 v soc/_29144_/D (sky130_fd_sc_hd__dfxtp_1)
28.5753 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0661 0.2389 34.2513 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_7_0_core_clk (net)
0.0661 0.0005 34.2517 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6080 0.5996 34.8513 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2334 soc/clknet_5_7_1_core_clk (net)
0.6084 0.0133 34.8646 ^ soc/clkbuf_leaf_129_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1589 0.5071 35.3717 ^ soc/clkbuf_leaf_129_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0844 soc/clknet_leaf_129_core_clk (net)
0.1589 0.0015 35.3732 ^ soc/_29144_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.2732 clock uncertainty
1.2728 36.5460 clock reconvergence pessimism
-0.3184 36.2276 library setup time
36.2276 data required time
-------------------------------------------------------------------------------------
36.2276 data required time
-28.5753 data arrival time
-------------------------------------------------------------------------------------
7.6522 slack (MET)
Startpoint: soc/_31022_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_7145_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1267 0.0018 10.3225 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1371 0.3203 10.6428 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0431 soc/clknet_4_14_0_core_clk (net)
0.1371 0.0021 10.6449 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0729 0.2654 10.9103 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0154 soc/clknet_5_28_0_core_clk (net)
0.0729 0.0007 10.9110 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6708 0.6937 11.6046 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2585 soc/clknet_5_28_1_core_clk (net)
0.6713 0.0167 11.6213 ^ soc/clkbuf_leaf_219_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1272 0.5397 12.1610 ^ soc/clkbuf_leaf_219_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0598 soc/clknet_leaf_219_core_clk (net)
0.1272 0.0010 12.1620 ^ soc/_31022_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1469 0.7134 12.8754 v soc/_31022_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0153 soc/core.grant[1] (net)
0.1469 0.0008 12.8762 v soc/fanout3540/A (sky130_fd_sc_hd__buf_6)
0.1284 0.3385 13.2146 v soc/fanout3540/X (sky130_fd_sc_hd__buf_6)
6 0.0729 soc/net3540 (net)
0.1284 0.0008 13.2155 v soc/fanout3539/A (sky130_fd_sc_hd__buf_12)
0.1849 0.3830 13.5984 v soc/fanout3539/X (sky130_fd_sc_hd__buf_12)
26 0.2015 soc/net3539 (net)
0.1866 0.0148 13.6132 v soc/_13829_/A (sky130_fd_sc_hd__inv_2)
0.0985 0.1609 13.7741 ^ soc/_13829_/Y (sky130_fd_sc_hd__inv_2)
1 0.0101 soc/_13525_ (net)
0.0985 0.0002 13.7743 ^ soc/fanout3375/A (sky130_fd_sc_hd__buf_8)
0.2410 0.3297 14.1040 ^ soc/fanout3375/X (sky130_fd_sc_hd__buf_8)
10 0.0931 soc/net3375 (net)
0.2411 0.0034 14.1074 ^ soc/fanout3374/A (sky130_fd_sc_hd__buf_8)
0.4176 0.5119 14.6193 ^ soc/fanout3374/X (sky130_fd_sc_hd__buf_8)
36 0.1666 soc/net3374 (net)
0.4197 0.0246 14.6439 ^ soc/_14126_/A (sky130_fd_sc_hd__nand2_1)
0.2474 0.3752 15.0191 v soc/_14126_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0128 soc/_13628_ (net)
0.2474 0.0003 15.0195 v soc/fanout3129/A (sky130_fd_sc_hd__buf_12)
0.2177 0.4704 15.4899 v soc/fanout3129/X (sky130_fd_sc_hd__buf_12)
40 0.2540 soc/net3129 (net)
0.2230 0.0279 15.5178 v soc/fanout3128/A (sky130_fd_sc_hd__buf_12)
0.1919 0.4341 15.9519 v soc/fanout3128/X (sky130_fd_sc_hd__buf_12)
40 0.2096 soc/net3128 (net)
0.1927 0.0111 15.9630 v soc/_14179_/A2 (sky130_fd_sc_hd__o21ai_4)
0.8111 0.7600 16.7230 ^ soc/_14179_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0715 soc/_13656_ (net)
0.8112 0.0073 16.7303 ^ soc/hold185/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.4492 1.6198 18.3501 ^ soc/hold185/X (sky130_fd_sc_hd__dlygate4sd3_1)
2 0.0286 soc/net4404 (net)
0.4492 0.0026 18.3526 ^ soc/wire2672/A (sky130_fd_sc_hd__buf_4)
0.4530 0.6690 19.0216 ^ soc/wire2672/X (sky130_fd_sc_hd__buf_4)
6 0.1038 soc/net2672 (net)
0.4540 0.0176 19.0392 ^ soc/wire2671/A (sky130_fd_sc_hd__buf_4)
0.4600 0.6704 19.7096 ^ soc/wire2671/X (sky130_fd_sc_hd__buf_4)
4 0.1047 soc/net2671 (net)
0.4615 0.0228 19.7324 ^ soc/wire2670/A (sky130_fd_sc_hd__buf_6)
0.3936 0.5809 20.3132 ^ soc/wire2670/X (sky130_fd_sc_hd__buf_6)
8 0.1252 soc/net2670 (net)
0.3951 0.0211 20.3343 ^ soc/_14180_/A (sky130_fd_sc_hd__clkinv_16)
0.1661 0.2620 20.5963 v soc/_14180_/Y (sky130_fd_sc_hd__clkinv_16)
7 0.1048 soc/net648 (net)
0.1661 0.0008 20.5972 v soc/wire2376/A (sky130_fd_sc_hd__buf_4)
0.2271 0.4634 21.0605 v soc/wire2376/X (sky130_fd_sc_hd__buf_4)
4 0.0982 soc/net2376 (net)
0.2312 0.0252 21.0857 v soc/wire2375/A (sky130_fd_sc_hd__buf_6)
0.1558 0.3947 21.4804 v soc/wire2375/X (sky130_fd_sc_hd__buf_6)
2 0.0906 soc/net2375 (net)
0.1592 0.0191 21.4995 v soc/wire2374/A (sky130_fd_sc_hd__buf_12)
0.1553 0.3516 21.8512 v soc/wire2374/X (sky130_fd_sc_hd__buf_12)
5 0.1595 soc/net2374 (net)
0.1856 0.0542 21.9054 v soc/wire2373/A (sky130_fd_sc_hd__buf_6)
0.1529 0.3709 22.2763 v soc/wire2373/X (sky130_fd_sc_hd__buf_6)
2 0.0890 soc/net2373 (net)
0.1561 0.0183 22.2945 v soc/output648/A (sky130_fd_sc_hd__buf_12)
0.1033 0.3333 22.6278 v soc/output648/X (sky130_fd_sc_hd__buf_12)
3 0.0958 mprj_dat_o_core[12] (net)
0.1043 0.0088 22.6366 v housekeeping/input135/A (sky130_fd_sc_hd__clkbuf_1)
0.0694 0.2008 22.8374 v housekeeping/input135/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0047 housekeeping/net135 (net)
0.0694 0.0001 22.8375 v housekeeping/_6335_/B1 (sky130_fd_sc_hd__a22o_1)
0.0767 0.3447 23.1822 v housekeeping/_6335_/X (sky130_fd_sc_hd__a22o_1)
1 0.0034 housekeeping/_3151_ (net)
0.0767 0.0000 23.1822 v housekeeping/_6336_/C1 (sky130_fd_sc_hd__a221o_1)
0.1183 0.5453 23.7275 v housekeeping/_6336_/X (sky130_fd_sc_hd__a221o_1)
1 0.0054 housekeeping/_3152_ (net)
0.1183 0.0001 23.7276 v housekeeping/_6337_/A0 (sky130_fd_sc_hd__mux2_1)
0.1117 0.6679 24.3955 v housekeeping/_6337_/X (sky130_fd_sc_hd__mux2_1)
1 0.0026 housekeeping/_0788_ (net)
0.1117 0.0000 24.3955 v housekeeping/_7145_/D (sky130_fd_sc_hd__dfrtp_1)
24.3955 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0159 29.7210 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3468 30.0678 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 30.0687 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2119 30.2805 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0002 30.2808 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2527 30.5335 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0014 30.5349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2418 30.7767 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 30.7773 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.2385 0.3485 31.1258 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0844 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.2385 0.0017 31.1275 ^ housekeeping/_7145_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.0275 clock uncertainty
1.2728 32.3003 clock reconvergence pessimism
-0.2490 32.0513 library setup time
32.0513 data required time
-------------------------------------------------------------------------------------
32.0513 data required time
-24.3955 data arrival time
-------------------------------------------------------------------------------------
7.6558 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29656_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0766 0.3301 22.3967 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0348 soc/net1546 (net)
0.0766 0.0005 22.3972 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1791 0.3383 22.7355 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1079 soc/net1548 (net)
0.1791 0.0029 22.7385 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1845 0.3918 23.1303 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.1965 soc/net1543 (net)
0.1862 0.0153 23.1456 v soc/_15776_/A2 (sky130_fd_sc_hd__o21ai_4)
1.1735 1.0366 24.1822 ^ soc/_15776_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1074 soc/_05761_ (net)
1.1735 0.0040 24.1861 ^ soc/fanout950/A (sky130_fd_sc_hd__buf_8)
0.2187 0.6196 24.8058 ^ soc/fanout950/X (sky130_fd_sc_hd__buf_8)
10 0.0796 soc/net950 (net)
0.2187 0.0007 24.8065 ^ soc/max_length952/A (sky130_fd_sc_hd__buf_6)
0.2806 0.4130 25.2194 ^ soc/max_length952/X (sky130_fd_sc_hd__buf_6)
8 0.0871 soc/net952 (net)
0.2815 0.0137 25.2331 ^ soc/wire951/A (sky130_fd_sc_hd__buf_6)
0.4509 0.5528 25.7859 ^ soc/wire951/X (sky130_fd_sc_hd__buf_6)
16 0.1441 soc/net951 (net)
0.4530 0.0265 25.8125 ^ soc/fanout945/A (sky130_fd_sc_hd__buf_8)
0.4641 0.6213 26.4337 ^ soc/fanout945/X (sky130_fd_sc_hd__buf_8)
40 0.1859 soc/net945 (net)
0.4645 0.0106 26.4443 ^ soc/_15928_/B1 (sky130_fd_sc_hd__o311a_1)
0.2461 0.6318 27.0761 ^ soc/_15928_/X (sky130_fd_sc_hd__o311a_1)
1 0.0140 soc/_05910_ (net)
0.2461 0.0006 27.0767 ^ soc/_15929_/C1 (sky130_fd_sc_hd__a211o_1)
0.1368 0.2950 27.3717 ^ soc/_15929_/X (sky130_fd_sc_hd__a211o_1)
1 0.0082 soc/_05911_ (net)
0.1368 0.0002 27.3719 ^ soc/_15930_/B1 (sky130_fd_sc_hd__o311a_1)
0.1259 0.4045 27.7765 ^ soc/_15930_/X (sky130_fd_sc_hd__o311a_1)
1 0.0055 soc/_05912_ (net)
0.1259 0.0001 27.7766 ^ soc/_15931_/B1 (sky130_fd_sc_hd__a31o_2)
0.4173 0.4740 28.2506 ^ soc/_15931_/X (sky130_fd_sc_hd__a31o_2)
2 0.0460 soc/_05913_ (net)
0.4174 0.0053 28.2560 ^ soc/_15932_/B2 (sky130_fd_sc_hd__o22a_1)
0.3366 0.5712 28.8272 ^ soc/_15932_/X (sky130_fd_sc_hd__o22a_1)
2 0.0212 soc/_00025_ (net)
0.3366 0.0014 28.8286 ^ soc/_29656_/D (sky130_fd_sc_hd__dfxtp_1)
28.8286 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0691 0.2421 34.2544 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_6_0_core_clk (net)
0.0691 0.0005 34.2549 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7758 0.7147 34.9697 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3002 soc/clknet_5_6_1_core_clk (net)
0.7759 0.0077 34.9774 ^ soc/clkbuf_leaf_107_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1357 0.5330 35.5104 ^ soc/clkbuf_leaf_107_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0646 soc/clknet_leaf_107_core_clk (net)
0.1357 0.0008 35.5112 ^ soc/_29656_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4112 clock uncertainty
1.2728 36.6840 clock reconvergence pessimism
-0.1864 36.4976 library setup time
36.4976 data required time
-------------------------------------------------------------------------------------
36.4976 data required time
-28.8286 data arrival time
-------------------------------------------------------------------------------------
7.6690 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29684_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1975 0.0007 21.7933 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.2860 0.4054 22.1987 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0887 soc/net1751 (net)
0.2860 0.0007 22.1995 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.4876 0.6154 22.8149 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1110 soc/net1750 (net)
0.4886 0.0187 22.8336 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2142 0.4644 23.2980 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0646 soc/net1726 (net)
0.2142 0.0006 23.2986 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.3829 0.7201 24.0187 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0753 soc/_05755_ (net)
0.3833 0.0113 24.0300 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0695 0.3109 24.3409 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0140 soc/net1468 (net)
0.0695 0.0000 24.3409 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.3920 0.4191 24.7601 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1248 soc/net1470 (net)
0.3928 0.0157 24.7758 ^ soc/wire1469/A (sky130_fd_sc_hd__clkbuf_8)
0.3523 0.5932 25.3690 ^ soc/wire1469/X (sky130_fd_sc_hd__clkbuf_8)
6 0.1306 soc/net1469 (net)
0.3535 0.0179 25.3869 ^ soc/fanout1467/A (sky130_fd_sc_hd__buf_12)
0.4731 0.5867 25.9735 ^ soc/fanout1467/X (sky130_fd_sc_hd__buf_12)
40 0.2678 soc/net1467 (net)
0.4731 0.0018 25.9754 ^ soc/fanout1466/A (sky130_fd_sc_hd__buf_8)
0.3951 0.5801 26.5555 ^ soc/fanout1466/X (sky130_fd_sc_hd__buf_8)
32 0.1570 soc/net1466 (net)
0.3954 0.0098 26.5654 ^ soc/_16960_/B2 (sky130_fd_sc_hd__o221a_1)
0.1974 0.5874 27.1528 ^ soc/_16960_/X (sky130_fd_sc_hd__o221a_1)
1 0.0100 soc/_06914_ (net)
0.1974 0.0004 27.1532 ^ soc/_16962_/C1 (sky130_fd_sc_hd__a211o_1)
0.0721 0.2207 27.3738 ^ soc/_16962_/X (sky130_fd_sc_hd__a211o_1)
1 0.0029 soc/_06916_ (net)
0.0721 0.0000 27.3739 ^ soc/_16967_/C1 (sky130_fd_sc_hd__o311a_1)
0.1783 0.4093 27.7832 ^ soc/_16967_/X (sky130_fd_sc_hd__o311a_1)
1 0.0092 soc/_06921_ (net)
0.1783 0.0003 27.7835 ^ soc/_16968_/B1 (sky130_fd_sc_hd__a31o_2)
0.3688 0.4629 28.2464 ^ soc/_16968_/X (sky130_fd_sc_hd__a31o_2)
2 0.0401 soc/_06922_ (net)
0.3689 0.0042 28.2506 ^ soc/_16969_/B2 (sky130_fd_sc_hd__o22a_2)
0.3084 0.5768 28.8274 ^ soc/_16969_/X (sky130_fd_sc_hd__o22a_2)
2 0.0360 soc/_00024_ (net)
0.3084 0.0042 28.8316 ^ soc/_29684_/D (sky130_fd_sc_hd__dfxtp_1)
28.8316 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0017 34.0037 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0666 0.2375 34.2412 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_19_0_core_clk (net)
0.0666 0.0003 34.2416 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7557 0.7002 34.9418 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2922 soc/clknet_5_19_1_core_clk (net)
0.7560 0.0109 34.9527 ^ soc/clkbuf_leaf_249_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1715 0.5564 35.5092 ^ soc/clkbuf_leaf_249_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
36 0.0918 soc/clknet_leaf_249_core_clk (net)
0.1715 0.0009 35.5101 ^ soc/_29684_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4101 clock uncertainty
1.2728 36.6829 clock reconvergence pessimism
-0.1730 36.5100 library setup time
36.5100 data required time
-------------------------------------------------------------------------------------
36.5100 data required time
-28.8316 data arrival time
-------------------------------------------------------------------------------------
7.6784 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29145_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3662 0.0070 24.3910 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2418 0.4320 24.8229 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.0926 soc/net1140 (net)
0.2418 0.0003 24.8232 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4377 0.5205 25.3437 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2465 soc/net1139 (net)
0.4377 0.0011 25.3448 ^ soc/fanout1138/A (sky130_fd_sc_hd__buf_12)
0.3807 0.5640 25.9088 ^ soc/fanout1138/X (sky130_fd_sc_hd__buf_12)
40 0.2123 soc/net1138 (net)
0.3822 0.0206 25.9294 ^ soc/_15039_/S (sky130_fd_sc_hd__mux2_1)
0.1539 0.8933 26.8228 v soc/_15039_/X (sky130_fd_sc_hd__mux2_1)
1 0.0074 soc/_05044_ (net)
0.1539 0.0002 26.8229 v soc/_15040_/B1 (sky130_fd_sc_hd__a221oi_2)
0.4008 0.4978 27.3207 ^ soc/_15040_/Y (sky130_fd_sc_hd__a221oi_2)
1 0.0080 soc/_05045_ (net)
0.4008 0.0003 27.3209 ^ soc/_15050_/A3 (sky130_fd_sc_hd__o32a_1)
0.5276 0.7316 28.0526 ^ soc/_15050_/X (sky130_fd_sc_hd__o32a_1)
2 0.0317 soc/_05055_ (net)
0.5276 0.0024 28.0550 ^ soc/_15051_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.1914 0.7205 28.7755 v soc/_15051_/X (sky130_fd_sc_hd__o2bb2a_1)
1 0.0124 soc/_00035_ (net)
0.1914 0.0006 28.7760 v soc/_29145_/D (sky130_fd_sc_hd__dfxtp_1)
28.7760 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0019 34.0039 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0690 0.2399 34.2438 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_18_0_core_clk (net)
0.0690 0.0006 34.2444 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8416 0.7613 35.0057 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3266 soc/clknet_5_18_1_core_clk (net)
0.8418 0.0104 35.0161 ^ soc/clkbuf_leaf_121_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1689 0.5759 35.5919 ^ soc/clkbuf_leaf_121_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0885 soc/clknet_leaf_121_core_clk (net)
0.1689 0.0004 35.5924 ^ soc/_29145_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4924 clock uncertainty
1.2728 36.7652 clock reconvergence pessimism
-0.2927 36.4725 library setup time
36.4725 data required time
-------------------------------------------------------------------------------------
36.4725 data required time
-28.7760 data arrival time
-------------------------------------------------------------------------------------
7.6964 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29654_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0766 0.3301 22.3967 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0348 soc/net1546 (net)
0.0766 0.0005 22.3972 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1791 0.3383 22.7355 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1079 soc/net1548 (net)
0.1791 0.0029 22.7385 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1845 0.3918 23.1303 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.1965 soc/net1543 (net)
0.1862 0.0153 23.1456 v soc/_15776_/A2 (sky130_fd_sc_hd__o21ai_4)
1.1735 1.0366 24.1822 ^ soc/_15776_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1074 soc/_05761_ (net)
1.1735 0.0040 24.1861 ^ soc/fanout950/A (sky130_fd_sc_hd__buf_8)
0.2187 0.6196 24.8058 ^ soc/fanout950/X (sky130_fd_sc_hd__buf_8)
10 0.0796 soc/net950 (net)
0.2187 0.0007 24.8065 ^ soc/max_length952/A (sky130_fd_sc_hd__buf_6)
0.2806 0.4130 25.2194 ^ soc/max_length952/X (sky130_fd_sc_hd__buf_6)
8 0.0871 soc/net952 (net)
0.2815 0.0137 25.2331 ^ soc/wire951/A (sky130_fd_sc_hd__buf_6)
0.4509 0.5528 25.7859 ^ soc/wire951/X (sky130_fd_sc_hd__buf_6)
16 0.1441 soc/net951 (net)
0.4530 0.0265 25.8125 ^ soc/fanout945/A (sky130_fd_sc_hd__buf_8)
0.4641 0.6213 26.4337 ^ soc/fanout945/X (sky130_fd_sc_hd__buf_8)
40 0.1859 soc/net945 (net)
0.4653 0.0195 26.4532 ^ soc/_15840_/B1 (sky130_fd_sc_hd__o311a_1)
0.0989 0.4969 26.9501 ^ soc/_15840_/X (sky130_fd_sc_hd__o311a_1)
1 0.0035 soc/_05824_ (net)
0.0989 0.0000 26.9502 ^ soc/_15842_/C1 (sky130_fd_sc_hd__a211o_1)
0.1502 0.2405 27.1907 ^ soc/_15842_/X (sky130_fd_sc_hd__a211o_1)
1 0.0093 soc/_05826_ (net)
0.1502 0.0004 27.1911 ^ soc/_15847_/A (sky130_fd_sc_hd__and3_2)
0.4022 0.6746 27.8656 ^ soc/_15847_/X (sky130_fd_sc_hd__and3_2)
2 0.0481 soc/_05831_ (net)
0.4022 0.0014 27.8670 ^ soc/_15857_/B1 (sky130_fd_sc_hd__o22a_1)
0.5084 0.7359 28.6029 ^ soc/_15857_/X (sky130_fd_sc_hd__o22a_1)
2 0.0329 soc/_00011_ (net)
0.5085 0.0031 28.6061 ^ soc/_29654_/D (sky130_fd_sc_hd__dfxtp_1)
28.6061 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0661 0.2389 34.2513 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_7_0_core_clk (net)
0.0661 0.0005 34.2517 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6080 0.5996 34.8513 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2334 soc/clknet_5_7_1_core_clk (net)
0.6081 0.0076 34.8589 ^ soc/clkbuf_leaf_115_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1645 0.5108 35.3697 ^ soc/clkbuf_leaf_115_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
38 0.0885 soc/clknet_leaf_115_core_clk (net)
0.1645 0.0021 35.3718 ^ soc/_29654_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.2718 clock uncertainty
1.2728 36.5447 clock reconvergence pessimism
-0.2260 36.3187 library setup time
36.3187 data required time
-------------------------------------------------------------------------------------
36.3187 data required time
-28.6061 data arrival time
-------------------------------------------------------------------------------------
7.7126 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29657_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/wire1556/A (sky130_fd_sc_hd__buf_8)
0.2159 0.4184 22.4850 v soc/wire1556/X (sky130_fd_sc_hd__buf_8)
12 0.1684 soc/net1556 (net)
0.2235 0.0331 22.5181 v soc/fanout1550/A (sky130_fd_sc_hd__buf_6)
0.0509 0.3034 22.8215 v soc/fanout1550/X (sky130_fd_sc_hd__buf_6)
2 0.0156 soc/net1550 (net)
0.0509 0.0003 22.8218 v soc/fanout1549/A (sky130_fd_sc_hd__buf_12)
0.2057 0.3542 23.1760 v soc/fanout1549/X (sky130_fd_sc_hd__buf_12)
40 0.2373 soc/net1549 (net)
0.2057 0.0031 23.1791 v soc/_15777_/A2 (sky130_fd_sc_hd__o21ai_4)
1.3375 1.1633 24.3424 ^ soc/_15777_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.1235 soc/_05762_ (net)
1.3379 0.0206 24.3631 ^ soc/fanout932/A (sky130_fd_sc_hd__buf_6)
0.1419 0.6105 24.9735 ^ soc/fanout932/X (sky130_fd_sc_hd__buf_6)
4 0.0354 soc/net932 (net)
0.1419 0.0002 24.9737 ^ soc/max_length934/A (sky130_fd_sc_hd__buf_6)
0.3348 0.4140 25.3877 ^ soc/max_length934/X (sky130_fd_sc_hd__buf_6)
8 0.1052 soc/net934 (net)
0.3366 0.0207 25.4084 ^ soc/wire933/A (sky130_fd_sc_hd__buf_6)
0.4112 0.5501 25.9585 ^ soc/wire933/X (sky130_fd_sc_hd__buf_6)
20 0.1311 soc/net933 (net)
0.4124 0.0190 25.9775 ^ soc/fanout927/A (sky130_fd_sc_hd__buf_8)
0.4456 0.5953 26.5728 ^ soc/fanout927/X (sky130_fd_sc_hd__buf_8)
40 0.1783 soc/net927 (net)
0.4456 0.0010 26.5738 ^ soc/_15953_/B1 (sky130_fd_sc_hd__o311a_1)
0.3218 0.6827 27.2566 ^ soc/_15953_/X (sky130_fd_sc_hd__o311a_1)
1 0.0191 soc/_05934_ (net)
0.3218 0.0010 27.2576 ^ soc/_15954_/B1 (sky130_fd_sc_hd__a211o_1)
0.2130 0.3908 27.6484 ^ soc/_15954_/X (sky130_fd_sc_hd__a211o_1)
1 0.0144 soc/_05935_ (net)
0.2130 0.0006 27.6490 ^ soc/_15958_/B1 (sky130_fd_sc_hd__o211ai_1)
0.2557 0.3095 27.9585 v soc/_15958_/Y (sky130_fd_sc_hd__o211ai_1)
1 0.0060 soc/_05939_ (net)
0.2557 0.0001 27.9586 v soc/_15968_/A2_N (sky130_fd_sc_hd__o2bb2a_4)
0.3137 0.6633 28.6219 ^ soc/_15968_/X (sky130_fd_sc_hd__o2bb2a_4)
2 0.0618 soc/_00026_ (net)
0.3142 0.0109 28.6328 ^ soc/_29657_/D (sky130_fd_sc_hd__dfxtp_1)
28.6328 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0661 0.2389 34.2513 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_7_0_core_clk (net)
0.0661 0.0005 34.2517 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6080 0.5996 34.8513 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2334 soc/clknet_5_7_1_core_clk (net)
0.6081 0.0056 34.8569 ^ soc/clkbuf_leaf_114_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1468 0.4979 35.3548 ^ soc/clkbuf_leaf_114_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0753 soc/clknet_leaf_114_core_clk (net)
0.1468 0.0007 35.3555 ^ soc/_29657_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.2555 clock uncertainty
1.2728 36.5283 clock reconvergence pessimism
-0.1787 36.3496 library setup time
36.3496 data required time
-------------------------------------------------------------------------------------
36.3496 data required time
-28.6328 data arrival time
-------------------------------------------------------------------------------------
7.7168 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29155_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3684 0.0247 24.4087 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.3827 0.5394 24.9481 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1215 soc/net1152 (net)
0.3848 0.0237 24.9719 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.1975 0.4157 25.3876 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0589 soc/net1149 (net)
0.1975 0.0002 25.3878 ^ soc/fanout1147/A (sky130_fd_sc_hd__buf_12)
0.3954 0.4845 25.8723 ^ soc/fanout1147/X (sky130_fd_sc_hd__buf_12)
40 0.2210 soc/net1147 (net)
0.3973 0.0233 25.8956 ^ soc/_15414_/S (sky130_fd_sc_hd__mux2_1)
0.1315 0.8646 26.7602 v soc/_15414_/X (sky130_fd_sc_hd__mux2_1)
1 0.0047 soc/_05409_ (net)
0.1315 0.0001 26.7602 v soc/_15415_/A2 (sky130_fd_sc_hd__a211o_1)
0.0995 0.6009 27.3611 v soc/_15415_/X (sky130_fd_sc_hd__a211o_1)
1 0.0043 soc/_05410_ (net)
0.0995 0.0001 27.3612 v soc/_15420_/A2 (sky130_fd_sc_hd__a31o_1)
0.1288 0.4622 27.8234 v soc/_15420_/X (sky130_fd_sc_hd__a31o_1)
1 0.0104 soc/_05415_ (net)
0.1288 0.0004 27.8238 v soc/_15421_/B1_N (sky130_fd_sc_hd__o21bai_2)
0.2558 0.5723 28.3961 v soc/_15421_/Y (sky130_fd_sc_hd__o21bai_2)
2 0.0238 soc/_05416_ (net)
0.2558 0.0019 28.3980 v soc/_15422_/B1 (sky130_fd_sc_hd__o31a_1)
0.1304 0.3251 28.7231 v soc/_15422_/X (sky130_fd_sc_hd__o31a_1)
1 0.0081 soc/_00046_ (net)
0.1304 0.0003 28.7234 v soc/_29155_/D (sky130_fd_sc_hd__dfxtp_1)
28.7234 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0017 34.0037 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0666 0.2375 34.2412 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_19_0_core_clk (net)
0.0666 0.0003 34.2416 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7557 0.7002 34.9418 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2922 soc/clknet_5_19_1_core_clk (net)
0.7561 0.0145 34.9563 ^ soc/clkbuf_leaf_250_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.2041 0.5816 35.5379 ^ soc/clkbuf_leaf_250_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1154 soc/clknet_leaf_250_core_clk (net)
0.2041 0.0020 35.5399 ^ soc/_29155_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4399 clock uncertainty
1.2728 36.7127 clock reconvergence pessimism
-0.2579 36.4548 library setup time
36.4548 data required time
-------------------------------------------------------------------------------------
36.4548 data required time
-28.7234 data arrival time
-------------------------------------------------------------------------------------
7.7314 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29660_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/wire1556/A (sky130_fd_sc_hd__buf_8)
0.2159 0.4184 22.4850 v soc/wire1556/X (sky130_fd_sc_hd__buf_8)
12 0.1684 soc/net1556 (net)
0.2235 0.0331 22.5181 v soc/fanout1550/A (sky130_fd_sc_hd__buf_6)
0.0509 0.3034 22.8215 v soc/fanout1550/X (sky130_fd_sc_hd__buf_6)
2 0.0156 soc/net1550 (net)
0.0509 0.0003 22.8218 v soc/fanout1549/A (sky130_fd_sc_hd__buf_12)
0.2057 0.3542 23.1760 v soc/fanout1549/X (sky130_fd_sc_hd__buf_12)
40 0.2373 soc/net1549 (net)
0.2057 0.0031 23.1791 v soc/_15777_/A2 (sky130_fd_sc_hd__o21ai_4)
1.3375 1.1633 24.3424 ^ soc/_15777_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.1235 soc/_05762_ (net)
1.3379 0.0206 24.3631 ^ soc/fanout932/A (sky130_fd_sc_hd__buf_6)
0.1419 0.6105 24.9735 ^ soc/fanout932/X (sky130_fd_sc_hd__buf_6)
4 0.0354 soc/net932 (net)
0.1419 0.0002 24.9737 ^ soc/max_length934/A (sky130_fd_sc_hd__buf_6)
0.3348 0.4140 25.3877 ^ soc/max_length934/X (sky130_fd_sc_hd__buf_6)
8 0.1052 soc/net934 (net)
0.3357 0.0152 25.4030 ^ soc/fanout929/A (sky130_fd_sc_hd__buf_12)
0.4025 0.5266 25.9296 ^ soc/fanout929/X (sky130_fd_sc_hd__buf_12)
40 0.2237 soc/net929 (net)
0.4025 0.0028 25.9324 ^ soc/fanout928/A (sky130_fd_sc_hd__clkbuf_16)
0.3130 0.5730 26.5055 ^ soc/fanout928/X (sky130_fd_sc_hd__clkbuf_16)
40 0.1979 soc/net928 (net)
0.3132 0.0075 26.5130 ^ soc/_16046_/C1 (sky130_fd_sc_hd__o221a_1)
0.1143 0.4742 26.9872 ^ soc/_16046_/X (sky130_fd_sc_hd__o221a_1)
1 0.0042 soc/_06024_ (net)
0.1143 0.0001 26.9872 ^ soc/_16047_/B1 (sky130_fd_sc_hd__a211o_1)
0.0828 0.2002 27.1874 ^ soc/_16047_/X (sky130_fd_sc_hd__a211o_1)
1 0.0039 soc/_06025_ (net)
0.0828 0.0000 27.1875 ^ soc/_16052_/C1 (sky130_fd_sc_hd__o2111ai_1)
0.2444 0.2659 27.4534 v soc/_16052_/Y (sky130_fd_sc_hd__o2111ai_1)
1 0.0036 soc/_06030_ (net)
0.2444 0.0000 27.4534 v soc/_16061_/B1 (sky130_fd_sc_hd__o31a_1)
0.1063 0.2951 27.7486 v soc/_16061_/X (sky130_fd_sc_hd__o31a_1)
1 0.0051 soc/_06039_ (net)
0.1063 0.0001 27.7487 v soc/_16079_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.2835 0.6396 28.3884 ^ soc/_16079_/X (sky130_fd_sc_hd__o2bb2a_1)
1 0.0175 soc/_00029_ (net)
0.2835 0.0006 28.3889 ^ soc/_29660_/D (sky130_fd_sc_hd__dfxtp_1)
28.3889 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1319 0.0030 33.7139 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1340 0.2968 34.0107 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0418 soc/clknet_4_2_0_core_clk (net)
0.1341 0.0022 34.0129 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0704 0.2424 34.2553 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0142 soc/clknet_5_4_0_core_clk (net)
0.0704 0.0005 34.2557 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4662 0.5002 34.7559 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1765 soc/clknet_5_4_1_core_clk (net)
0.4670 0.0153 34.7711 ^ soc/clkbuf_leaf_7_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1585 0.4522 35.2234 ^ soc/clkbuf_leaf_7_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0854 soc/clknet_leaf_7_core_clk (net)
0.1585 0.0007 35.2240 ^ soc/_29660_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1241 clock uncertainty
1.2728 36.3969 clock reconvergence pessimism
-0.1687 36.2281 library setup time
36.2281 data required time
-------------------------------------------------------------------------------------
36.2281 data required time
-28.3889 data arrival time
-------------------------------------------------------------------------------------
7.8392 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29154_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3684 0.0247 24.4087 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.3827 0.5394 24.9481 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1215 soc/net1152 (net)
0.3834 0.0134 24.9615 ^ soc/fanout1146/A (sky130_fd_sc_hd__buf_12)
0.3236 0.5072 25.4687 ^ soc/fanout1146/X (sky130_fd_sc_hd__buf_12)
36 0.1787 soc/net1146 (net)
0.3241 0.0107 25.4794 ^ soc/_15362_/S (sky130_fd_sc_hd__mux2_1)
0.1154 0.8207 26.3001 v soc/_15362_/X (sky130_fd_sc_hd__mux2_1)
1 0.0033 soc/_05358_ (net)
0.1154 0.0000 26.3001 v soc/_15363_/A1 (sky130_fd_sc_hd__mux2_1)
0.2042 0.8259 27.1261 v soc/_15363_/X (sky130_fd_sc_hd__mux2_1)
1 0.0131 soc/_05359_ (net)
0.2042 0.0006 27.1266 v soc/_15364_/A2 (sky130_fd_sc_hd__o211a_1)
0.2240 0.6054 27.7321 v soc/_15364_/X (sky130_fd_sc_hd__o211a_1)
2 0.0211 soc/_05360_ (net)
0.2240 0.0011 27.7332 v soc/_15365_/B1 (sky130_fd_sc_hd__a31oi_1)
0.3478 0.4106 28.1438 ^ soc/_15365_/Y (sky130_fd_sc_hd__a31oi_1)
1 0.0076 soc/_05361_ (net)
0.3478 0.0002 28.1440 ^ soc/_15383_/B2 (sky130_fd_sc_hd__a2bb2oi_1)
0.3012 0.3962 28.5402 v soc/_15383_/Y (sky130_fd_sc_hd__a2bb2oi_1)
2 0.0165 soc/_00045_ (net)
0.3012 0.0009 28.5412 v soc/_29154_/D (sky130_fd_sc_hd__dfxtp_1)
28.5412 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0049 32.4489 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2515 32.7004 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0009 32.7013 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.2800 32.9813 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 32.9828 ^ soc/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0794 0.2559 33.2387 ^ soc/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0183 soc/clknet_3_6_0_core_clk (net)
0.0794 0.0009 33.2396 ^ soc/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0771 0.2246 33.4642 ^ soc/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0173 soc/clknet_3_6_1_core_clk (net)
0.0771 0.0008 33.4650 ^ soc/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1629 0.2940 33.7590 ^ soc/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0538 soc/clknet_3_6_2_core_clk (net)
0.1629 0.0022 33.7612 ^ soc/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1538 0.3255 34.0866 ^ soc/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0499 soc/clknet_4_12_0_core_clk (net)
0.1538 0.0019 34.0885 ^ soc/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0739 0.2545 34.3430 ^ soc/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0158 soc/clknet_5_24_0_core_clk (net)
0.0739 0.0006 34.3437 ^ soc/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7340 0.6848 35.0284 ^ soc/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
32 0.2849 soc/clknet_5_24_1_core_clk (net)
0.7341 0.0079 35.0364 ^ soc/clkbuf_leaf_135_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1410 0.5272 35.5635 ^ soc/clkbuf_leaf_135_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.0693 soc/clknet_leaf_135_core_clk (net)
0.1410 0.0015 35.5651 ^ soc/_29154_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4651 clock uncertainty
1.2728 36.7379 clock reconvergence pessimism
-0.3459 36.3921 library setup time
36.3921 data required time
-------------------------------------------------------------------------------------
36.3921 data required time
-28.5412 data arrival time
-------------------------------------------------------------------------------------
7.8509 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29163_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3684 0.0247 24.4087 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.3827 0.5394 24.9481 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1215 soc/net1152 (net)
0.3848 0.0237 24.9719 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.1975 0.4157 25.3876 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0589 soc/net1149 (net)
0.1975 0.0002 25.3878 ^ soc/fanout1147/A (sky130_fd_sc_hd__buf_12)
0.3954 0.4845 25.8723 ^ soc/fanout1147/X (sky130_fd_sc_hd__buf_12)
40 0.2210 soc/net1147 (net)
0.3976 0.0252 25.8975 ^ soc/_15691_/S (sky130_fd_sc_hd__mux2_1)
0.1777 0.9272 26.8247 v soc/_15691_/X (sky130_fd_sc_hd__mux2_1)
1 0.0099 soc/_05678_ (net)
0.1777 0.0004 26.8251 v soc/_15692_/A1 (sky130_fd_sc_hd__mux2_1)
0.1168 0.7293 27.5544 v soc/_15692_/X (sky130_fd_sc_hd__mux2_1)
1 0.0034 soc/_05679_ (net)
0.1168 0.0000 27.5545 v soc/_15697_/A2 (sky130_fd_sc_hd__o211a_1)
0.0891 0.4301 27.9845 v soc/_15697_/X (sky130_fd_sc_hd__o211a_1)
1 0.0047 soc/_05684_ (net)
0.0891 0.0001 27.9846 v soc/_15726_/A2 (sky130_fd_sc_hd__o22a_1)
0.2459 0.5565 28.5411 v soc/_15726_/X (sky130_fd_sc_hd__o22a_1)
2 0.0213 soc/_00055_ (net)
0.2459 0.0015 28.5426 v soc/_29163_/D (sky130_fd_sc_hd__dfxtp_1)
28.5426 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0017 34.0037 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0666 0.2375 34.2412 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_19_0_core_clk (net)
0.0666 0.0003 34.2416 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7557 0.7002 34.9418 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2922 soc/clknet_5_19_1_core_clk (net)
0.7561 0.0145 34.9563 ^ soc/clkbuf_leaf_250_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.2041 0.5816 35.5379 ^ soc/clkbuf_leaf_250_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1154 soc/clknet_leaf_250_core_clk (net)
0.2041 0.0021 35.5400 ^ soc/_29163_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4400 clock uncertainty
1.2728 36.7128 clock reconvergence pessimism
-0.3058 36.4070 library setup time
36.4070 data required time
-------------------------------------------------------------------------------------
36.4070 data required time
-28.5426 data arrival time
-------------------------------------------------------------------------------------
7.8644 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29142_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3662 0.0070 24.3910 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2418 0.4320 24.8229 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.0926 soc/net1140 (net)
0.2418 0.0003 24.8232 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4377 0.5205 25.3437 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2465 soc/net1139 (net)
0.4458 0.0495 25.3932 ^ soc/_14924_/S (sky130_fd_sc_hd__mux2_1)
0.1219 0.8579 26.2510 v soc/_14924_/X (sky130_fd_sc_hd__mux2_1)
1 0.0035 soc/_04932_ (net)
0.1219 0.0000 26.2511 v soc/_14927_/A1 (sky130_fd_sc_hd__a211o_1)
0.0948 0.5350 26.7861 v soc/_14927_/X (sky130_fd_sc_hd__a211o_1)
1 0.0038 soc/_04935_ (net)
0.0948 0.0000 26.7862 v soc/_14928_/C1 (sky130_fd_sc_hd__o311a_1)
0.0927 0.2097 26.9959 v soc/_14928_/X (sky130_fd_sc_hd__o311a_1)
1 0.0029 soc/_04936_ (net)
0.0927 0.0000 26.9959 v soc/_14937_/C1 (sky130_fd_sc_hd__a311o_1)
0.3236 0.7850 27.7810 v soc/_14937_/X (sky130_fd_sc_hd__a311o_1)
2 0.0303 soc/_04945_ (net)
0.3236 0.0024 27.7833 v soc/_14938_/B1 (sky130_fd_sc_hd__o31a_1)
0.3139 0.5234 28.3067 v soc/_14938_/X (sky130_fd_sc_hd__o31a_1)
2 0.0322 soc/_00063_ (net)
0.3139 0.0023 28.3090 v soc/_29142_/D (sky130_fd_sc_hd__dfxtp_2)
28.3090 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0661 0.2389 34.2513 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_7_0_core_clk (net)
0.0661 0.0005 34.2517 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6080 0.5996 34.8513 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2334 soc/clknet_5_7_1_core_clk (net)
0.6084 0.0126 34.8639 ^ soc/clkbuf_leaf_131_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1471 0.4981 35.3620 ^ soc/clkbuf_leaf_131_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0755 soc/clknet_leaf_131_core_clk (net)
0.1471 0.0009 35.3629 ^ soc/_29142_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.2629 clock uncertainty
1.2728 36.5357 clock reconvergence pessimism
-0.3548 36.1809 library setup time
36.1809 data required time
-------------------------------------------------------------------------------------
36.1809 data required time
-28.3090 data arrival time
-------------------------------------------------------------------------------------
7.8719 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29680_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/wire1556/A (sky130_fd_sc_hd__buf_8)
0.2159 0.4184 22.4850 v soc/wire1556/X (sky130_fd_sc_hd__buf_8)
12 0.1684 soc/net1556 (net)
0.2235 0.0331 22.5181 v soc/fanout1550/A (sky130_fd_sc_hd__buf_6)
0.0509 0.3034 22.8215 v soc/fanout1550/X (sky130_fd_sc_hd__buf_6)
2 0.0156 soc/net1550 (net)
0.0509 0.0003 22.8218 v soc/fanout1549/A (sky130_fd_sc_hd__buf_12)
0.2057 0.3542 23.1760 v soc/fanout1549/X (sky130_fd_sc_hd__buf_12)
40 0.2373 soc/net1549 (net)
0.2057 0.0031 23.1791 v soc/_15777_/A2 (sky130_fd_sc_hd__o21ai_4)
1.3375 1.1633 24.3424 ^ soc/_15777_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.1235 soc/_05762_ (net)
1.3379 0.0206 24.3631 ^ soc/fanout932/A (sky130_fd_sc_hd__buf_6)
0.1419 0.6105 24.9735 ^ soc/fanout932/X (sky130_fd_sc_hd__buf_6)
4 0.0354 soc/net932 (net)
0.1419 0.0002 24.9737 ^ soc/max_length934/A (sky130_fd_sc_hd__buf_6)
0.3348 0.4140 25.3877 ^ soc/max_length934/X (sky130_fd_sc_hd__buf_6)
8 0.1052 soc/net934 (net)
0.3357 0.0152 25.4030 ^ soc/fanout929/A (sky130_fd_sc_hd__buf_12)
0.4025 0.5266 25.9296 ^ soc/fanout929/X (sky130_fd_sc_hd__buf_12)
40 0.2237 soc/net929 (net)
0.4025 0.0028 25.9324 ^ soc/fanout928/A (sky130_fd_sc_hd__clkbuf_16)
0.3130 0.5730 26.5055 ^ soc/fanout928/X (sky130_fd_sc_hd__clkbuf_16)
40 0.1979 soc/net928 (net)
0.3130 0.0017 26.5071 ^ soc/_16799_/C1 (sky130_fd_sc_hd__o221a_1)
0.1889 0.5431 27.0502 ^ soc/_16799_/X (sky130_fd_sc_hd__o221a_1)
1 0.0095 soc/_06757_ (net)
0.1889 0.0003 27.0505 ^ soc/_16802_/A2 (sky130_fd_sc_hd__o311a_1)
0.2179 0.5028 27.5533 ^ soc/_16802_/X (sky130_fd_sc_hd__o311a_1)
1 0.0119 soc/_06760_ (net)
0.2179 0.0005 27.5538 ^ soc/_16803_/B1 (sky130_fd_sc_hd__a31oi_1)
0.3486 0.2067 27.7605 v soc/_16803_/Y (sky130_fd_sc_hd__a31oi_1)
1 0.0125 soc/_06761_ (net)
0.3486 0.0004 27.7609 v soc/_16822_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.1431 0.6507 28.4115 ^ soc/_16822_/X (sky130_fd_sc_hd__o2bb2a_1)
1 0.0075 soc/_00019_ (net)
0.1431 0.0002 28.4118 ^ soc/_29680_/D (sky130_fd_sc_hd__dfxtp_2)
28.4118 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0661 0.2389 34.2513 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_7_0_core_clk (net)
0.0661 0.0005 34.2517 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6080 0.5996 34.8513 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2334 soc/clknet_5_7_1_core_clk (net)
0.6080 0.0018 34.8531 ^ soc/clkbuf_leaf_118_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1317 0.4855 35.3386 ^ soc/clkbuf_leaf_118_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0641 soc/clknet_leaf_118_core_clk (net)
0.1317 0.0004 35.3390 ^ soc/_29680_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.2390 clock uncertainty
1.2728 36.5118 clock reconvergence pessimism
-0.1495 36.3623 library setup time
36.3623 data required time
-------------------------------------------------------------------------------------
36.3623 data required time
-28.4118 data arrival time
-------------------------------------------------------------------------------------
7.9506 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29151_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3684 0.0247 24.4087 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.3827 0.5394 24.9481 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1215 soc/net1152 (net)
0.3848 0.0237 24.9719 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.1975 0.4157 25.3876 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0589 soc/net1149 (net)
0.1976 0.0044 25.3920 ^ soc/fanout1148/A (sky130_fd_sc_hd__buf_8)
0.3285 0.4356 25.8276 ^ soc/fanout1148/X (sky130_fd_sc_hd__buf_8)
26 0.1295 soc/net1148 (net)
0.3289 0.0099 25.8375 ^ soc/_15270_/S (sky130_fd_sc_hd__mux2_1)
0.1218 0.8319 26.6694 v soc/_15270_/X (sky130_fd_sc_hd__mux2_1)
1 0.0039 soc/_05269_ (net)
0.1218 0.0001 26.6695 v soc/_15271_/A2 (sky130_fd_sc_hd__a211o_1)
0.0936 0.5885 27.2580 v soc/_15271_/X (sky130_fd_sc_hd__a211o_1)
1 0.0037 soc/_05270_ (net)
0.0936 0.0000 27.2580 v soc/_15272_/B1 (sky130_fd_sc_hd__o311a_1)
0.2145 0.3625 27.6205 v soc/_15272_/X (sky130_fd_sc_hd__o311a_1)
1 0.0178 soc/_05271_ (net)
0.2145 0.0009 27.6213 v soc/_15273_/C1 (sky130_fd_sc_hd__a311oi_4)
0.4517 0.5054 28.1268 ^ soc/_15273_/Y (sky130_fd_sc_hd__a311oi_4)
1 0.0139 soc/_05272_ (net)
0.4517 0.0006 28.1274 ^ soc/_15274_/B1 (sky130_fd_sc_hd__a21oi_2)
0.2711 0.3079 28.4353 v soc/_15274_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.0306 soc/_00041_ (net)
0.2712 0.0029 28.4382 v soc/_29151_/D (sky130_fd_sc_hd__dfxtp_1)
28.4382 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0017 34.0037 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0666 0.2375 34.2412 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_19_0_core_clk (net)
0.0666 0.0003 34.2416 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7557 0.7002 34.9418 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2922 soc/clknet_5_19_1_core_clk (net)
0.7560 0.0117 34.9535 ^ soc/clkbuf_leaf_137_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.2080 0.5844 35.5379 ^ soc/clkbuf_leaf_137_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
46 0.1183 soc/clknet_leaf_137_core_clk (net)
0.2080 0.0011 35.5390 ^ soc/_29151_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4390 clock uncertainty
1.2728 36.7119 clock reconvergence pessimism
-0.3152 36.3966 library setup time
36.3966 data required time
-------------------------------------------------------------------------------------
36.3966 data required time
-28.4382 data arrival time
-------------------------------------------------------------------------------------
7.9585 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29672_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/wire1556/A (sky130_fd_sc_hd__buf_8)
0.2159 0.4184 22.4850 v soc/wire1556/X (sky130_fd_sc_hd__buf_8)
12 0.1684 soc/net1556 (net)
0.2235 0.0331 22.5181 v soc/fanout1550/A (sky130_fd_sc_hd__buf_6)
0.0509 0.3034 22.8215 v soc/fanout1550/X (sky130_fd_sc_hd__buf_6)
2 0.0156 soc/net1550 (net)
0.0509 0.0003 22.8218 v soc/fanout1549/A (sky130_fd_sc_hd__buf_12)
0.2057 0.3542 23.1760 v soc/fanout1549/X (sky130_fd_sc_hd__buf_12)
40 0.2373 soc/net1549 (net)
0.2057 0.0031 23.1791 v soc/_15777_/A2 (sky130_fd_sc_hd__o21ai_4)
1.3375 1.1633 24.3424 ^ soc/_15777_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.1235 soc/_05762_ (net)
1.3379 0.0206 24.3631 ^ soc/fanout932/A (sky130_fd_sc_hd__buf_6)
0.1419 0.6105 24.9735 ^ soc/fanout932/X (sky130_fd_sc_hd__buf_6)
4 0.0354 soc/net932 (net)
0.1419 0.0002 24.9737 ^ soc/max_length934/A (sky130_fd_sc_hd__buf_6)
0.3348 0.4140 25.3877 ^ soc/max_length934/X (sky130_fd_sc_hd__buf_6)
8 0.1052 soc/net934 (net)
0.3357 0.0152 25.4030 ^ soc/fanout929/A (sky130_fd_sc_hd__buf_12)
0.4025 0.5266 25.9296 ^ soc/fanout929/X (sky130_fd_sc_hd__buf_12)
40 0.2237 soc/net929 (net)
0.4025 0.0028 25.9324 ^ soc/fanout928/A (sky130_fd_sc_hd__clkbuf_16)
0.3130 0.5730 26.5055 ^ soc/fanout928/X (sky130_fd_sc_hd__clkbuf_16)
40 0.1979 soc/net928 (net)
0.3130 0.0012 26.5067 ^ soc/_16519_/B1 (sky130_fd_sc_hd__o311a_1)
0.3794 0.6781 27.1848 ^ soc/_16519_/X (sky130_fd_sc_hd__o311a_1)
2 0.0229 soc/_06485_ (net)
0.3794 0.0014 27.1863 ^ soc/_16522_/A2 (sky130_fd_sc_hd__o311a_1)
0.2286 0.5752 27.7615 ^ soc/_16522_/X (sky130_fd_sc_hd__o311a_1)
1 0.0128 soc/_06488_ (net)
0.2286 0.0003 27.7618 ^ soc/_16523_/B1 (sky130_fd_sc_hd__a31oi_4)
0.2895 0.1784 27.9402 v soc/_16523_/Y (sky130_fd_sc_hd__a31oi_4)
2 0.0340 soc/_06489_ (net)
0.2895 0.0027 27.9428 v soc/_16524_/B2 (sky130_fd_sc_hd__a2bb2oi_1)
0.1718 0.2976 28.2404 ^ soc/_16524_/Y (sky130_fd_sc_hd__a2bb2oi_1)
1 0.0024 soc/_00010_ (net)
0.1718 0.0000 28.2405 ^ soc/_29672_/D (sky130_fd_sc_hd__dfxtp_1)
28.2405 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1319 0.0030 33.7139 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1340 0.2968 34.0107 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0418 soc/clknet_4_2_0_core_clk (net)
0.1341 0.0022 34.0129 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0704 0.2424 34.2553 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0142 soc/clknet_5_4_0_core_clk (net)
0.0704 0.0005 34.2557 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4662 0.5002 34.7559 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1765 soc/clknet_5_4_1_core_clk (net)
0.4670 0.0159 34.7718 ^ soc/clkbuf_leaf_9_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1506 0.4462 35.2180 ^ soc/clkbuf_leaf_9_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0794 soc/clknet_leaf_9_core_clk (net)
0.1506 0.0019 35.2199 ^ soc/_29672_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1199 clock uncertainty
1.2728 36.3927 clock reconvergence pessimism
-0.1411 36.2516 library setup time
36.2516 data required time
-------------------------------------------------------------------------------------
36.2516 data required time
-28.2405 data arrival time
-------------------------------------------------------------------------------------
8.0111 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29671_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1975 0.0007 21.7933 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.2860 0.4054 22.1987 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0887 soc/net1751 (net)
0.2860 0.0007 22.1995 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.4876 0.6154 22.8149 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1110 soc/net1750 (net)
0.4886 0.0187 22.8336 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2142 0.4644 23.2980 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0646 soc/net1726 (net)
0.2142 0.0006 23.2986 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.3829 0.7201 24.0187 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0753 soc/_05755_ (net)
0.3833 0.0113 24.0300 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0695 0.3109 24.3409 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0140 soc/net1468 (net)
0.0695 0.0000 24.3409 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.3920 0.4191 24.7601 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1248 soc/net1470 (net)
0.3928 0.0157 24.7758 ^ soc/wire1469/A (sky130_fd_sc_hd__clkbuf_8)
0.3523 0.5932 25.3690 ^ soc/wire1469/X (sky130_fd_sc_hd__clkbuf_8)
6 0.1306 soc/net1469 (net)
0.3535 0.0179 25.3869 ^ soc/fanout1467/A (sky130_fd_sc_hd__buf_12)
0.4731 0.5867 25.9735 ^ soc/fanout1467/X (sky130_fd_sc_hd__buf_12)
40 0.2678 soc/net1467 (net)
0.4731 0.0018 25.9754 ^ soc/fanout1466/A (sky130_fd_sc_hd__buf_8)
0.3951 0.5801 26.5555 ^ soc/fanout1466/X (sky130_fd_sc_hd__buf_8)
32 0.1570 soc/net1466 (net)
0.3955 0.0105 26.5661 ^ soc/_16479_/B2 (sky130_fd_sc_hd__o221a_1)
0.1164 0.5129 27.0790 ^ soc/_16479_/X (sky130_fd_sc_hd__o221a_1)
1 0.0043 soc/_06446_ (net)
0.1164 0.0001 27.0790 ^ soc/_16486_/B1 (sky130_fd_sc_hd__o32a_1)
0.2468 0.4364 27.5154 ^ soc/_16486_/X (sky130_fd_sc_hd__o32a_1)
1 0.0138 soc/_06453_ (net)
0.2468 0.0005 27.5159 ^ soc/_16487_/A1 (sky130_fd_sc_hd__a22o_1)
0.1159 0.3787 27.8946 ^ soc/_16487_/X (sky130_fd_sc_hd__a22o_1)
1 0.0055 soc/_06454_ (net)
0.1159 0.0001 27.8947 ^ soc/_16488_/B2 (sky130_fd_sc_hd__o22a_1)
0.5020 0.5780 28.4727 ^ soc/_16488_/X (sky130_fd_sc_hd__o22a_1)
2 0.0324 soc/_00009_ (net)
0.5020 0.0028 28.4755 ^ soc/_29671_/D (sky130_fd_sc_hd__dfxtp_1)
28.4755 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0017 34.0037 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0666 0.2375 34.2412 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_19_0_core_clk (net)
0.0666 0.0003 34.2416 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7557 0.7002 34.9418 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2922 soc/clknet_5_19_1_core_clk (net)
0.7560 0.0117 34.9535 ^ soc/clkbuf_leaf_137_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.2080 0.5844 35.5379 ^ soc/clkbuf_leaf_137_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
46 0.1183 soc/clknet_leaf_137_core_clk (net)
0.2081 0.0033 35.5412 ^ soc/_29671_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4412 clock uncertainty
1.2728 36.7141 clock reconvergence pessimism
-0.2167 36.4973 library setup time
36.4973 data required time
-------------------------------------------------------------------------------------
36.4973 data required time
-28.4755 data arrival time
-------------------------------------------------------------------------------------
8.0219 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29667_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1975 0.0007 21.7933 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.2860 0.4054 22.1987 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0887 soc/net1751 (net)
0.2860 0.0007 22.1995 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.4876 0.6154 22.8149 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1110 soc/net1750 (net)
0.4886 0.0187 22.8336 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2142 0.4644 23.2980 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0646 soc/net1726 (net)
0.2142 0.0006 23.2986 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.3829 0.7201 24.0187 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0753 soc/_05755_ (net)
0.3833 0.0113 24.0300 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0695 0.3109 24.3409 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0140 soc/net1468 (net)
0.0695 0.0000 24.3409 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.3920 0.4191 24.7601 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1248 soc/net1470 (net)
0.3928 0.0157 24.7758 ^ soc/wire1469/A (sky130_fd_sc_hd__clkbuf_8)
0.3523 0.5932 25.3690 ^ soc/wire1469/X (sky130_fd_sc_hd__clkbuf_8)
6 0.1306 soc/net1469 (net)
0.3535 0.0179 25.3869 ^ soc/fanout1467/A (sky130_fd_sc_hd__buf_12)
0.4731 0.5867 25.9735 ^ soc/fanout1467/X (sky130_fd_sc_hd__buf_12)
40 0.2678 soc/net1467 (net)
0.4731 0.0018 25.9754 ^ soc/fanout1466/A (sky130_fd_sc_hd__buf_8)
0.3951 0.5801 26.5555 ^ soc/fanout1466/X (sky130_fd_sc_hd__buf_8)
32 0.1570 soc/net1466 (net)
0.3959 0.0157 26.5713 ^ soc/_16325_/A3 (sky130_fd_sc_hd__o311a_1)
0.3318 0.6138 27.1851 ^ soc/_16325_/X (sky130_fd_sc_hd__o311a_1)
2 0.0197 soc/_06296_ (net)
0.3319 0.0011 27.1862 ^ soc/_16328_/A2 (sky130_fd_sc_hd__o31a_1)
0.2231 0.4655 27.6517 ^ soc/_16328_/X (sky130_fd_sc_hd__o31a_1)
1 0.0122 soc/_06299_ (net)
0.2231 0.0005 27.6522 ^ soc/_16337_/B2 (sky130_fd_sc_hd__a32oi_2)
0.2337 0.2086 27.8608 v soc/_16337_/Y (sky130_fd_sc_hd__a32oi_2)
1 0.0105 soc/_06308_ (net)
0.2337 0.0004 27.8612 v soc/_16338_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.1701 0.6135 28.4747 ^ soc/_16338_/X (sky130_fd_sc_hd__o2bb2a_1)
1 0.0094 soc/_00005_ (net)
0.1701 0.0003 28.4751 ^ soc/_29667_/D (sky130_fd_sc_hd__dfxtp_1)
28.4751 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0049 32.4489 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2515 32.7004 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0009 32.7013 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.2800 32.9813 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 32.9828 ^ soc/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0794 0.2559 33.2387 ^ soc/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0183 soc/clknet_3_6_0_core_clk (net)
0.0794 0.0009 33.2396 ^ soc/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0771 0.2246 33.4642 ^ soc/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0173 soc/clknet_3_6_1_core_clk (net)
0.0771 0.0008 33.4650 ^ soc/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1629 0.2940 33.7590 ^ soc/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0538 soc/clknet_3_6_2_core_clk (net)
0.1629 0.0022 33.7612 ^ soc/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1538 0.3255 34.0866 ^ soc/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0499 soc/clknet_4_12_0_core_clk (net)
0.1538 0.0013 34.0880 ^ soc/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0687 0.2494 34.3373 ^ soc/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0134 soc/clknet_5_25_0_core_clk (net)
0.0687 0.0006 34.3379 ^ soc/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6721 0.6421 34.9800 ^ soc/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2599 soc/clknet_5_25_1_core_clk (net)
0.6727 0.0157 34.9957 ^ soc/clkbuf_leaf_139_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1034 0.4815 35.4772 ^ soc/clkbuf_leaf_139_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
11 0.0414 soc/clknet_leaf_139_core_clk (net)
0.1034 0.0003 35.4775 ^ soc/_29667_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.3775 clock uncertainty
1.2728 36.6504 clock reconvergence pessimism
-0.1487 36.5016 library setup time
36.5016 data required time
-------------------------------------------------------------------------------------
36.5016 data required time
-28.4751 data arrival time
-------------------------------------------------------------------------------------
8.0266 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29665_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/wire1556/A (sky130_fd_sc_hd__buf_8)
0.2159 0.4184 22.4850 v soc/wire1556/X (sky130_fd_sc_hd__buf_8)
12 0.1684 soc/net1556 (net)
0.2235 0.0331 22.5181 v soc/fanout1550/A (sky130_fd_sc_hd__buf_6)
0.0509 0.3034 22.8215 v soc/fanout1550/X (sky130_fd_sc_hd__buf_6)
2 0.0156 soc/net1550 (net)
0.0509 0.0003 22.8218 v soc/fanout1549/A (sky130_fd_sc_hd__buf_12)
0.2057 0.3542 23.1760 v soc/fanout1549/X (sky130_fd_sc_hd__buf_12)
40 0.2373 soc/net1549 (net)
0.2057 0.0031 23.1791 v soc/_15777_/A2 (sky130_fd_sc_hd__o21ai_4)
1.3375 1.1633 24.3424 ^ soc/_15777_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.1235 soc/_05762_ (net)
1.3379 0.0206 24.3631 ^ soc/fanout932/A (sky130_fd_sc_hd__buf_6)
0.1419 0.6105 24.9735 ^ soc/fanout932/X (sky130_fd_sc_hd__buf_6)
4 0.0354 soc/net932 (net)
0.1419 0.0002 24.9737 ^ soc/max_length934/A (sky130_fd_sc_hd__buf_6)
0.3348 0.4140 25.3877 ^ soc/max_length934/X (sky130_fd_sc_hd__buf_6)
8 0.1052 soc/net934 (net)
0.3357 0.0152 25.4030 ^ soc/fanout929/A (sky130_fd_sc_hd__buf_12)
0.4025 0.5266 25.9296 ^ soc/fanout929/X (sky130_fd_sc_hd__buf_12)
40 0.2237 soc/net929 (net)
0.4033 0.0158 25.9454 ^ soc/_16249_/S (sky130_fd_sc_hd__mux2_1)
0.1980 0.9526 26.8980 v soc/_16249_/X (sky130_fd_sc_hd__mux2_1)
1 0.0119 soc/_06222_ (net)
0.1980 0.0005 26.8985 v soc/_16254_/A2 (sky130_fd_sc_hd__o211a_1)
0.3220 0.6845 27.5830 v soc/_16254_/X (sky130_fd_sc_hd__o211a_1)
2 0.0325 soc/_06227_ (net)
0.3220 0.0025 27.5855 v soc/_16264_/B1 (sky130_fd_sc_hd__o22a_1)
0.1524 0.5430 28.1285 v soc/_16264_/X (sky130_fd_sc_hd__o22a_1)
1 0.0099 soc/_00003_ (net)
0.1524 0.0003 28.1288 v soc/_29665_/D (sky130_fd_sc_hd__dfxtp_1)
28.1288 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0661 0.2389 34.2513 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_7_0_core_clk (net)
0.0661 0.0005 34.2517 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6080 0.5996 34.8513 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2334 soc/clknet_5_7_1_core_clk (net)
0.6080 0.0025 34.8538 ^ soc/clkbuf_leaf_119_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0961 0.4551 35.3089 ^ soc/clkbuf_leaf_119_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
12 0.0366 soc/clknet_leaf_119_core_clk (net)
0.0961 0.0002 35.3091 ^ soc/_29665_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.2091 clock uncertainty
1.2728 36.4819 clock reconvergence pessimism
-0.2962 36.1857 library setup time
36.1857 data required time
-------------------------------------------------------------------------------------
36.1857 data required time
-28.1288 data arrival time
-------------------------------------------------------------------------------------
8.0569 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29670_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1975 0.0007 21.7933 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.2860 0.4054 22.1987 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0887 soc/net1751 (net)
0.2860 0.0007 22.1995 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.4876 0.6154 22.8149 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1110 soc/net1750 (net)
0.4886 0.0187 22.8336 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2142 0.4644 23.2980 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0646 soc/net1726 (net)
0.2142 0.0006 23.2986 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.3829 0.7201 24.0187 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0753 soc/_05755_ (net)
0.3829 0.0005 24.0193 ^ soc/fanout1457/A (sky130_fd_sc_hd__buf_6)
0.0845 0.3250 24.3443 ^ soc/fanout1457/X (sky130_fd_sc_hd__buf_6)
3 0.0195 soc/net1457 (net)
0.0845 0.0000 24.3443 ^ soc/wire1459/A (sky130_fd_sc_hd__buf_4)
0.4730 0.5201 24.8644 ^ soc/wire1459/X (sky130_fd_sc_hd__buf_4)
4 0.1082 soc/net1459 (net)
0.4734 0.0127 24.8771 ^ soc/fanout1456/A (sky130_fd_sc_hd__buf_12)
0.4436 0.6321 25.5092 ^ soc/fanout1456/X (sky130_fd_sc_hd__buf_12)
40 0.2536 soc/net1456 (net)
0.4440 0.0121 25.5213 ^ soc/fanout1455/A (sky130_fd_sc_hd__clkbuf_16)
0.3033 0.5942 26.1155 ^ soc/fanout1455/X (sky130_fd_sc_hd__clkbuf_16)
40 0.1915 soc/net1455 (net)
0.3036 0.0079 26.1233 ^ soc/_16442_/A3 (sky130_fd_sc_hd__o311a_1)
0.1820 0.4659 26.5892 ^ soc/_16442_/X (sky130_fd_sc_hd__o311a_1)
1 0.0094 soc/_06410_ (net)
0.1820 0.0003 26.5896 ^ soc/_16444_/C1 (sky130_fd_sc_hd__a211o_1)
0.1078 0.2460 26.8355 ^ soc/_16444_/X (sky130_fd_sc_hd__a211o_1)
1 0.0059 soc/_06412_ (net)
0.1078 0.0001 26.8357 ^ soc/_16449_/C1 (sky130_fd_sc_hd__o311a_1)
0.4226 0.6187 27.4544 ^ soc/_16449_/X (sky130_fd_sc_hd__o311a_1)
2 0.0258 soc/_06417_ (net)
0.4226 0.0016 27.4560 ^ soc/_16450_/B1 (sky130_fd_sc_hd__a31o_1)
0.3836 0.5417 27.9977 ^ soc/_16450_/X (sky130_fd_sc_hd__a31o_1)
2 0.0241 soc/_06418_ (net)
0.3836 0.0014 27.9991 ^ soc/_16451_/B2 (sky130_fd_sc_hd__o22a_1)
0.0706 0.3432 28.3422 ^ soc/_16451_/X (sky130_fd_sc_hd__o22a_1)
1 0.0024 soc/_00008_ (net)
0.0706 0.0000 28.3423 ^ soc/_29670_/D (sky130_fd_sc_hd__dfxtp_1)
28.3423 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0661 0.2389 34.2513 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_7_0_core_clk (net)
0.0661 0.0005 34.2517 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6080 0.5996 34.8513 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2334 soc/clknet_5_7_1_core_clk (net)
0.6084 0.0126 34.8639 ^ soc/clkbuf_leaf_131_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1471 0.4981 35.3620 ^ soc/clkbuf_leaf_131_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0755 soc/clknet_leaf_131_core_clk (net)
0.1471 0.0002 35.3621 ^ soc/_29670_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.2621 clock uncertainty
1.2728 36.5350 clock reconvergence pessimism
-0.1155 36.4195 library setup time
36.4195 data required time
-------------------------------------------------------------------------------------
36.4195 data required time
-28.3423 data arrival time
-------------------------------------------------------------------------------------
8.0772 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29682_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1975 0.0007 21.7933 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.2860 0.4054 22.1987 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0887 soc/net1751 (net)
0.2860 0.0007 22.1995 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.4876 0.6154 22.8149 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1110 soc/net1750 (net)
0.4886 0.0187 22.8336 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2142 0.4644 23.2980 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0646 soc/net1726 (net)
0.2142 0.0006 23.2986 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.3829 0.7201 24.0187 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0753 soc/_05755_ (net)
0.3833 0.0113 24.0300 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0695 0.3109 24.3409 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0140 soc/net1468 (net)
0.0695 0.0000 24.3409 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.3920 0.4191 24.7601 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1248 soc/net1470 (net)
0.3928 0.0157 24.7758 ^ soc/wire1469/A (sky130_fd_sc_hd__clkbuf_8)
0.3523 0.5932 25.3690 ^ soc/wire1469/X (sky130_fd_sc_hd__clkbuf_8)
6 0.1306 soc/net1469 (net)
0.3535 0.0179 25.3869 ^ soc/fanout1467/A (sky130_fd_sc_hd__buf_12)
0.4731 0.5867 25.9735 ^ soc/fanout1467/X (sky130_fd_sc_hd__buf_12)
40 0.2678 soc/net1467 (net)
0.4731 0.0006 25.9742 ^ soc/fanout1465/A (sky130_fd_sc_hd__clkbuf_16)
0.3157 0.6150 26.5892 ^ soc/fanout1465/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2001 soc/net1465 (net)
0.3160 0.0082 26.5973 ^ soc/_16888_/A3 (sky130_fd_sc_hd__o311a_1)
0.1266 0.4216 27.0189 ^ soc/_16888_/X (sky130_fd_sc_hd__o311a_1)
1 0.0055 soc/_06844_ (net)
0.1266 0.0001 27.0190 ^ soc/_16889_/B1 (sky130_fd_sc_hd__a211o_1)
0.0784 0.2014 27.2205 ^ soc/_16889_/X (sky130_fd_sc_hd__a211o_1)
1 0.0035 soc/_06845_ (net)
0.0784 0.0000 27.2205 ^ soc/_16894_/A2 (sky130_fd_sc_hd__a31o_1)
0.4520 0.5974 27.8179 ^ soc/_16894_/X (sky130_fd_sc_hd__a31o_1)
2 0.0288 soc/_06850_ (net)
0.4521 0.0022 27.8201 ^ soc/_16895_/B2 (sky130_fd_sc_hd__o22a_1)
0.1136 0.4089 28.2290 ^ soc/_16895_/X (sky130_fd_sc_hd__o22a_1)
1 0.0056 soc/_00021_ (net)
0.1136 0.0001 28.2291 ^ soc/_29682_/D (sky130_fd_sc_hd__dfxtp_1)
28.2291 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0733 0.2430 33.2139 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0156 soc/clknet_3_5_0_core_clk (net)
0.0733 0.0008 33.2147 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0688 0.2138 33.4285 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0135 soc/clknet_3_5_1_core_clk (net)
0.0688 0.0007 33.4292 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1055 0.2455 33.6746 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0291 soc/clknet_3_5_2_core_clk (net)
0.1055 0.0002 33.6749 ^ soc/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1175 0.2733 33.9482 ^ soc/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0350 soc/clknet_4_11_0_core_clk (net)
0.1176 0.0018 33.9500 ^ soc/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0694 0.2340 34.1840 ^ soc/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0138 soc/clknet_5_22_0_core_clk (net)
0.0694 0.0006 34.1846 ^ soc/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5995 0.5947 34.7792 ^ soc/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2300 soc/clknet_5_22_1_core_clk (net)
0.5998 0.0104 34.7896 ^ soc/clkbuf_leaf_253_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1314 0.4822 35.2718 ^ soc/clkbuf_leaf_253_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0640 soc/clknet_leaf_253_core_clk (net)
0.1314 0.0021 35.2739 ^ soc/_29682_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1740 clock uncertainty
1.2728 36.4468 clock reconvergence pessimism
-0.1293 36.3175 library setup time
36.3175 data required time
-------------------------------------------------------------------------------------
36.3175 data required time
-28.2291 data arrival time
-------------------------------------------------------------------------------------
8.0884 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29153_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3684 0.0247 24.4087 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.3827 0.5394 24.9481 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1215 soc/net1152 (net)
0.3848 0.0237 24.9719 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.1975 0.4157 25.3876 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0589 soc/net1149 (net)
0.1975 0.0002 25.3878 ^ soc/fanout1147/A (sky130_fd_sc_hd__buf_12)
0.3954 0.4845 25.8723 ^ soc/fanout1147/X (sky130_fd_sc_hd__buf_12)
40 0.2210 soc/net1147 (net)
0.3974 0.0238 25.8961 ^ soc/_15324_/S (sky130_fd_sc_hd__mux2_1)
0.1586 0.9037 26.7999 v soc/_15324_/X (sky130_fd_sc_hd__mux2_1)
1 0.0080 soc/_05321_ (net)
0.1586 0.0002 26.8001 v soc/_15327_/A2 (sky130_fd_sc_hd__a211o_1)
0.1045 0.6187 27.4188 v soc/_15327_/X (sky130_fd_sc_hd__a211o_1)
1 0.0048 soc/_05324_ (net)
0.1045 0.0001 27.4188 v soc/_15328_/A3 (sky130_fd_sc_hd__a31o_1)
0.0711 0.4191 27.8379 v soc/_15328_/X (sky130_fd_sc_hd__a31o_1)
1 0.0034 soc/_05325_ (net)
0.0711 0.0000 27.8380 v soc/_15348_/A1 (sky130_fd_sc_hd__o22a_1)
0.1371 0.4583 28.2963 v soc/_15348_/X (sky130_fd_sc_hd__o22a_1)
1 0.0083 soc/_00044_ (net)
0.1371 0.0003 28.2966 v soc/_29153_/D (sky130_fd_sc_hd__dfxtp_1)
28.2966 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0017 34.0037 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0666 0.2375 34.2412 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_19_0_core_clk (net)
0.0666 0.0003 34.2416 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7557 0.7002 34.9418 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2922 soc/clknet_5_19_1_core_clk (net)
0.7558 0.0050 34.9468 ^ soc/clkbuf_leaf_262_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1614 0.5485 35.4953 ^ soc/clkbuf_leaf_262_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0843 soc/clknet_leaf_262_core_clk (net)
0.1614 0.0006 35.4959 ^ soc/_29153_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.3959 clock uncertainty
1.2728 36.6687 clock reconvergence pessimism
-0.2722 36.3965 library setup time
36.3965 data required time
-------------------------------------------------------------------------------------
36.3965 data required time
-28.2966 data arrival time
-------------------------------------------------------------------------------------
8.1000 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29138_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3662 0.0070 24.3910 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2418 0.4320 24.8229 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.0926 soc/net1140 (net)
0.2418 0.0003 24.8232 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4377 0.5205 25.3437 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2465 soc/net1139 (net)
0.4467 0.0520 25.3957 ^ soc/_14782_/S (sky130_fd_sc_hd__mux2_1)
0.1895 0.9551 26.3508 v soc/_14782_/X (sky130_fd_sc_hd__mux2_1)
1 0.0111 soc/_04794_ (net)
0.1895 0.0002 26.3510 v soc/_14785_/A2 (sky130_fd_sc_hd__a211o_1)
0.1035 0.6296 26.9806 v soc/_14785_/X (sky130_fd_sc_hd__a211o_1)
1 0.0046 soc/_04797_ (net)
0.1035 0.0001 26.9807 v soc/_14786_/A3 (sky130_fd_sc_hd__a31o_1)
0.0679 0.4146 27.3953 v soc/_14786_/X (sky130_fd_sc_hd__a31o_1)
1 0.0030 soc/_04798_ (net)
0.0679 0.0000 27.3953 v soc/_14787_/A1 (sky130_fd_sc_hd__o22a_1)
0.3252 0.6420 28.0373 v soc/_14787_/X (sky130_fd_sc_hd__o22a_1)
2 0.0303 soc/_00059_ (net)
0.3253 0.0037 28.0410 v soc/_29138_/D (sky130_fd_sc_hd__dfxtp_1)
28.0410 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0661 0.2389 34.2513 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_7_0_core_clk (net)
0.0661 0.0005 34.2517 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6080 0.5996 34.8513 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2334 soc/clknet_5_7_1_core_clk (net)
0.6080 0.0027 34.8540 ^ soc/clkbuf_leaf_120_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1573 0.5057 35.3597 ^ soc/clkbuf_leaf_120_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0830 soc/clknet_leaf_120_core_clk (net)
0.1573 0.0007 35.3605 ^ soc/_29138_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.2605 clock uncertainty
1.2728 36.5333 clock reconvergence pessimism
-0.3514 36.1819 library setup time
36.1819 data required time
-------------------------------------------------------------------------------------
36.1819 data required time
-28.0410 data arrival time
-------------------------------------------------------------------------------------
8.1409 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29675_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1975 0.0007 21.7933 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.2860 0.4054 22.1987 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0887 soc/net1751 (net)
0.2860 0.0007 22.1995 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.4876 0.6154 22.8149 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1110 soc/net1750 (net)
0.4886 0.0187 22.8336 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2142 0.4644 23.2980 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0646 soc/net1726 (net)
0.2142 0.0006 23.2986 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.3829 0.7201 24.0187 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0753 soc/_05755_ (net)
0.3833 0.0113 24.0300 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0695 0.3109 24.3409 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0140 soc/net1468 (net)
0.0695 0.0000 24.3409 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.3920 0.4191 24.7601 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1248 soc/net1470 (net)
0.3928 0.0157 24.7758 ^ soc/wire1469/A (sky130_fd_sc_hd__clkbuf_8)
0.3523 0.5932 25.3690 ^ soc/wire1469/X (sky130_fd_sc_hd__clkbuf_8)
6 0.1306 soc/net1469 (net)
0.3535 0.0179 25.3869 ^ soc/fanout1467/A (sky130_fd_sc_hd__buf_12)
0.4731 0.5867 25.9735 ^ soc/fanout1467/X (sky130_fd_sc_hd__buf_12)
40 0.2678 soc/net1467 (net)
0.4731 0.0006 25.9742 ^ soc/fanout1465/A (sky130_fd_sc_hd__clkbuf_16)
0.3157 0.6150 26.5892 ^ soc/fanout1465/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2001 soc/net1465 (net)
0.3157 0.0007 26.5898 ^ soc/_16630_/B2 (sky130_fd_sc_hd__o221a_1)
0.3013 0.6449 27.2347 ^ soc/_16630_/X (sky130_fd_sc_hd__o221a_1)
1 0.0173 soc/_06593_ (net)
0.3013 0.0007 27.2354 ^ soc/_16633_/A2 (sky130_fd_sc_hd__o311ai_4)
0.3312 0.4224 27.6577 v soc/_16633_/Y (sky130_fd_sc_hd__o311ai_4)
2 0.0336 soc/_06596_ (net)
0.3313 0.0024 27.6601 v soc/_16634_/C1 (sky130_fd_sc_hd__o211ai_2)
0.3871 0.2929 27.9531 ^ soc/_16634_/Y (sky130_fd_sc_hd__o211ai_2)
1 0.0162 soc/_06597_ (net)
0.3871 0.0009 27.9540 ^ soc/_16635_/B1 (sky130_fd_sc_hd__o31a_1)
0.1326 0.4239 28.3779 ^ soc/_16635_/X (sky130_fd_sc_hd__o31a_1)
1 0.0062 soc/_00014_ (net)
0.1326 0.0002 28.3781 ^ soc/_29675_/D (sky130_fd_sc_hd__dfxtp_1)
28.3781 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0049 32.4489 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2515 32.7004 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0009 32.7013 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.2800 32.9813 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 32.9828 ^ soc/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0794 0.2559 33.2387 ^ soc/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0183 soc/clknet_3_6_0_core_clk (net)
0.0794 0.0009 33.2396 ^ soc/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0771 0.2246 33.4642 ^ soc/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0173 soc/clknet_3_6_1_core_clk (net)
0.0771 0.0008 33.4650 ^ soc/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1629 0.2940 33.7590 ^ soc/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0538 soc/clknet_3_6_2_core_clk (net)
0.1629 0.0022 33.7612 ^ soc/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1538 0.3255 34.0866 ^ soc/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0499 soc/clknet_4_12_0_core_clk (net)
0.1538 0.0013 34.0880 ^ soc/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0687 0.2494 34.3373 ^ soc/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0134 soc/clknet_5_25_0_core_clk (net)
0.0687 0.0006 34.3379 ^ soc/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6721 0.6421 34.9800 ^ soc/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2599 soc/clknet_5_25_1_core_clk (net)
0.6726 0.0140 34.9940 ^ soc/clkbuf_leaf_247_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1278 0.5017 35.4957 ^ soc/clkbuf_leaf_247_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0603 soc/clknet_leaf_247_core_clk (net)
0.1278 0.0008 35.4965 ^ soc/_29675_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.3965 clock uncertainty
1.2728 36.6694 clock reconvergence pessimism
-0.1348 36.5345 library setup time
36.5345 data required time
-------------------------------------------------------------------------------------
36.5345 data required time
-28.3781 data arrival time
-------------------------------------------------------------------------------------
8.1565 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29141_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3662 0.0070 24.3910 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2418 0.4320 24.8229 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.0926 soc/net1140 (net)
0.2418 0.0003 24.8232 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4377 0.5205 25.3437 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2465 soc/net1139 (net)
0.4377 0.0011 25.3448 ^ soc/fanout1138/A (sky130_fd_sc_hd__buf_12)
0.3807 0.5640 25.9088 ^ soc/fanout1138/X (sky130_fd_sc_hd__buf_12)
40 0.2123 soc/net1138 (net)
0.3823 0.0214 25.9302 ^ soc/_14890_/S (sky130_fd_sc_hd__mux2_1)
0.2178 0.9788 26.9090 v soc/_14890_/X (sky130_fd_sc_hd__mux2_1)
1 0.0151 soc/_04899_ (net)
0.2178 0.0008 26.9097 v soc/_14893_/A1 (sky130_fd_sc_hd__a211o_1)
0.1255 0.6158 27.5256 v soc/_14893_/X (sky130_fd_sc_hd__a211o_1)
1 0.0073 soc/_04902_ (net)
0.1255 0.0002 27.5258 v soc/_14898_/C1 (sky130_fd_sc_hd__o311a_1)
0.0975 0.2261 27.7519 v soc/_14898_/X (sky130_fd_sc_hd__o311a_1)
1 0.0030 soc/_04907_ (net)
0.0975 0.0000 27.7519 v soc/_14899_/A2 (sky130_fd_sc_hd__o21ai_1)
0.2679 0.2948 28.0467 ^ soc/_14899_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.0066 soc/_04908_ (net)
0.2679 0.0002 28.0469 ^ soc/_14901_/A (sky130_fd_sc_hd__nand2_1)
0.1280 0.2154 28.2623 v soc/_14901_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0056 soc/_00062_ (net)
0.1280 0.0001 28.2624 v soc/_29141_/D (sky130_fd_sc_hd__dfxtp_2)
28.2624 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0691 0.2421 34.2544 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_6_0_core_clk (net)
0.0691 0.0005 34.2549 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7758 0.7147 34.9697 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3002 soc/clknet_5_6_1_core_clk (net)
0.7758 0.0054 34.9751 ^ soc/clkbuf_leaf_12_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1632 0.5549 35.5300 ^ soc/clkbuf_leaf_12_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0853 soc/clknet_leaf_12_core_clk (net)
0.1632 0.0015 35.5315 ^ soc/_29141_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.4315 clock uncertainty
1.2728 36.7044 clock reconvergence pessimism
-0.2732 36.4312 library setup time
36.4312 data required time
-------------------------------------------------------------------------------------
36.4312 data required time
-28.2624 data arrival time
-------------------------------------------------------------------------------------
8.1688 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29150_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3662 0.0070 24.3910 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2418 0.4320 24.8229 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.0926 soc/net1140 (net)
0.2418 0.0003 24.8232 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4377 0.5205 25.3437 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2465 soc/net1139 (net)
0.4407 0.0307 25.3744 ^ soc/_15234_/S (sky130_fd_sc_hd__mux2_1)
0.1758 0.9371 26.3115 v soc/_15234_/X (sky130_fd_sc_hd__mux2_1)
1 0.0098 soc/_05234_ (net)
0.1758 0.0003 26.3119 v soc/_15235_/A2 (sky130_fd_sc_hd__a211o_1)
0.1320 0.6603 26.9722 v soc/_15235_/X (sky130_fd_sc_hd__a211o_1)
1 0.0081 soc/_05235_ (net)
0.1320 0.0003 26.9724 v soc/_15236_/A3 (sky130_fd_sc_hd__a31o_1)
0.2363 0.5960 27.5684 v soc/_15236_/X (sky130_fd_sc_hd__a31o_1)
2 0.0245 soc/_05236_ (net)
0.2364 0.0017 27.5701 v soc/_15237_/A1 (sky130_fd_sc_hd__o22a_1)
0.1321 0.5217 28.0918 v soc/_15237_/X (sky130_fd_sc_hd__o22a_1)
1 0.0078 soc/_00040_ (net)
0.1321 0.0002 28.0920 v soc/_29150_/D (sky130_fd_sc_hd__dfxtp_1)
28.0920 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0661 0.2389 34.2513 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_7_0_core_clk (net)
0.0661 0.0005 34.2517 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6080 0.5996 34.8513 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2334 soc/clknet_5_7_1_core_clk (net)
0.6084 0.0133 34.8646 ^ soc/clkbuf_leaf_129_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1589 0.5071 35.3717 ^ soc/clkbuf_leaf_129_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0844 soc/clknet_leaf_129_core_clk (net)
0.1590 0.0020 35.3736 ^ soc/_29150_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.2736 clock uncertainty
1.2728 36.5465 clock reconvergence pessimism
-0.2708 36.2757 library setup time
36.2757 data required time
-------------------------------------------------------------------------------------
36.2757 data required time
-28.0920 data arrival time
-------------------------------------------------------------------------------------
8.1837 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29149_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3662 0.0070 24.3910 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2418 0.4320 24.8229 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.0926 soc/net1140 (net)
0.2418 0.0003 24.8232 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4377 0.5205 25.3437 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2465 soc/net1139 (net)
0.4377 0.0011 25.3448 ^ soc/fanout1138/A (sky130_fd_sc_hd__buf_12)
0.3807 0.5640 25.9088 ^ soc/fanout1138/X (sky130_fd_sc_hd__buf_12)
40 0.2123 soc/net1138 (net)
0.3823 0.0208 25.9296 ^ soc/_15176_/B2 (sky130_fd_sc_hd__o221a_1)
0.0991 0.4846 26.4142 ^ soc/_15176_/X (sky130_fd_sc_hd__o221a_1)
1 0.0029 soc/_05177_ (net)
0.0991 0.0000 26.4143 ^ soc/_15177_/B1 (sky130_fd_sc_hd__o21a_1)
0.1639 0.3143 26.7286 ^ soc/_15177_/X (sky130_fd_sc_hd__o21a_1)
1 0.0095 soc/_05178_ (net)
0.1639 0.0003 26.7289 ^ soc/_15178_/A3 (sky130_fd_sc_hd__o31a_1)
0.4702 0.5610 27.2898 ^ soc/_15178_/X (sky130_fd_sc_hd__o31a_1)
2 0.0280 soc/_05179_ (net)
0.4702 0.0019 27.2917 ^ soc/_15179_/B2 (sky130_fd_sc_hd__a32o_1)
0.4386 0.6728 27.9646 ^ soc/_15179_/X (sky130_fd_sc_hd__a32o_1)
2 0.0259 soc/_05180_ (net)
0.4386 0.0019 27.9664 ^ soc/_15198_/A2 (sky130_fd_sc_hd__o22a_1)
0.1264 0.4334 28.3998 ^ soc/_15198_/X (sky130_fd_sc_hd__o22a_1)
1 0.0066 soc/_00039_ (net)
0.1264 0.0002 28.4000 ^ soc/_29149_/D (sky130_fd_sc_hd__dfxtp_1)
28.4000 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0019 34.0039 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0690 0.2399 34.2438 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_18_0_core_clk (net)
0.0690 0.0006 34.2444 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8416 0.7613 35.0057 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3266 soc/clknet_5_18_1_core_clk (net)
0.8417 0.0075 35.0131 ^ soc/clkbuf_leaf_125_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1182 0.5344 35.5475 ^ soc/clkbuf_leaf_125_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0498 soc/clknet_leaf_125_core_clk (net)
0.1182 0.0014 35.5489 ^ soc/_29149_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4489 clock uncertainty
1.2728 36.7217 clock reconvergence pessimism
-0.1349 36.5869 library setup time
36.5869 data required time
-------------------------------------------------------------------------------------
36.5869 data required time
-28.4000 data arrival time
-------------------------------------------------------------------------------------
8.1869 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29677_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1975 0.0007 21.7933 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.2860 0.4054 22.1987 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0887 soc/net1751 (net)
0.2860 0.0007 22.1995 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.4876 0.6154 22.8149 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1110 soc/net1750 (net)
0.4886 0.0187 22.8336 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2142 0.4644 23.2980 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0646 soc/net1726 (net)
0.2142 0.0006 23.2986 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.3829 0.7201 24.0187 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0753 soc/_05755_ (net)
0.3833 0.0113 24.0300 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0695 0.3109 24.3409 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0140 soc/net1468 (net)
0.0695 0.0000 24.3409 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.3920 0.4191 24.7601 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1248 soc/net1470 (net)
0.3928 0.0157 24.7758 ^ soc/wire1469/A (sky130_fd_sc_hd__clkbuf_8)
0.3523 0.5932 25.3690 ^ soc/wire1469/X (sky130_fd_sc_hd__clkbuf_8)
6 0.1306 soc/net1469 (net)
0.3535 0.0179 25.3869 ^ soc/fanout1467/A (sky130_fd_sc_hd__buf_12)
0.4731 0.5867 25.9735 ^ soc/fanout1467/X (sky130_fd_sc_hd__buf_12)
40 0.2678 soc/net1467 (net)
0.4731 0.0006 25.9742 ^ soc/fanout1465/A (sky130_fd_sc_hd__clkbuf_16)
0.3157 0.6150 26.5892 ^ soc/fanout1465/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2001 soc/net1465 (net)
0.3163 0.0116 26.6007 ^ soc/_16701_/A3 (sky130_fd_sc_hd__o311a_1)
0.1337 0.4279 27.0286 ^ soc/_16701_/X (sky130_fd_sc_hd__o311a_1)
1 0.0060 soc/_06662_ (net)
0.1337 0.0001 27.0288 ^ soc/_16703_/C1 (sky130_fd_sc_hd__a211o_1)
0.0813 0.2007 27.2294 ^ soc/_16703_/X (sky130_fd_sc_hd__a211o_1)
1 0.0037 soc/_06664_ (net)
0.0813 0.0000 27.2295 ^ soc/_16708_/A2 (sky130_fd_sc_hd__a31o_1)
0.2357 0.4359 27.6653 ^ soc/_16708_/X (sky130_fd_sc_hd__a31o_1)
1 0.0140 soc/_06669_ (net)
0.2357 0.0007 27.6661 ^ soc/_16709_/B1 (sky130_fd_sc_hd__a21oi_1)
0.1647 0.1745 27.8406 v soc/_16709_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.0069 soc/_06670_ (net)
0.1647 0.0002 27.8408 v soc/_16710_/B1 (sky130_fd_sc_hd__a21oi_1)
0.1940 0.2458 28.0865 ^ soc/_16710_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.0035 soc/_00016_ (net)
0.1940 0.0001 28.0866 ^ soc/_29677_/D (sky130_fd_sc_hd__dfxtp_1)
28.0866 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0733 0.2430 33.2139 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0156 soc/clknet_3_5_0_core_clk (net)
0.0733 0.0008 33.2147 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0688 0.2138 33.4285 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0135 soc/clknet_3_5_1_core_clk (net)
0.0688 0.0007 33.4292 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1055 0.2455 33.6746 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0291 soc/clknet_3_5_2_core_clk (net)
0.1055 0.0002 33.6749 ^ soc/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1175 0.2733 33.9482 ^ soc/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0350 soc/clknet_4_11_0_core_clk (net)
0.1176 0.0018 33.9500 ^ soc/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0694 0.2340 34.1840 ^ soc/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0138 soc/clknet_5_22_0_core_clk (net)
0.0694 0.0006 34.1846 ^ soc/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5995 0.5947 34.7792 ^ soc/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2300 soc/clknet_5_22_1_core_clk (net)
0.5996 0.0077 34.7869 ^ soc/clkbuf_leaf_275_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1123 0.4669 35.2538 ^ soc/clkbuf_leaf_275_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0494 soc/clknet_leaf_275_core_clk (net)
0.1124 0.0013 35.2552 ^ soc/_29677_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1552 clock uncertainty
1.2728 36.4280 clock reconvergence pessimism
-0.1534 36.2746 library setup time
36.2746 data required time
-------------------------------------------------------------------------------------
36.2746 data required time
-28.0866 data arrival time
-------------------------------------------------------------------------------------
8.1880 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29137_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0766 0.3301 22.3967 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0348 soc/net1546 (net)
0.0766 0.0005 22.3972 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1791 0.3383 22.7355 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1079 soc/net1548 (net)
0.1791 0.0029 22.7385 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1845 0.3918 23.1303 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.1965 soc/net1543 (net)
0.1884 0.0222 23.1525 v soc/_14548_/A2 (sky130_fd_sc_hd__o21ai_1)
0.3914 0.4319 23.5845 ^ soc/_14548_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.0108 soc/_04565_ (net)
0.3914 0.0002 23.5847 ^ soc/fanout1169/A (sky130_fd_sc_hd__buf_8)
0.2740 0.4691 24.0538 ^ soc/fanout1169/X (sky130_fd_sc_hd__buf_8)
12 0.1067 soc/net1169 (net)
0.2740 0.0004 24.0542 ^ soc/wire1172/A (sky130_fd_sc_hd__buf_6)
0.3839 0.5046 24.5588 ^ soc/wire1172/X (sky130_fd_sc_hd__buf_6)
10 0.1219 soc/net1172 (net)
0.3846 0.0138 24.5726 ^ soc/wire1171/A (sky130_fd_sc_hd__buf_6)
0.4132 0.5594 25.1320 ^ soc/wire1171/X (sky130_fd_sc_hd__buf_6)
10 0.1314 soc/net1171 (net)
0.4163 0.0301 25.1620 ^ soc/fanout1155/A (sky130_fd_sc_hd__buf_6)
0.2572 0.4707 25.6327 ^ soc/fanout1155/X (sky130_fd_sc_hd__buf_6)
12 0.0795 soc/net1155 (net)
0.2572 0.0012 25.6339 ^ soc/fanout1154/A (sky130_fd_sc_hd__buf_8)
0.4113 0.5100 26.1439 ^ soc/fanout1154/X (sky130_fd_sc_hd__buf_8)
40 0.1637 soc/net1154 (net)
0.4135 0.0257 26.1697 ^ soc/_14713_/A2 (sky130_fd_sc_hd__o221ai_1)
0.3060 0.4543 26.6240 v soc/_14713_/Y (sky130_fd_sc_hd__o221ai_1)
1 0.0073 soc/_04726_ (net)
0.3060 0.0002 26.6242 v soc/_14718_/A3 (sky130_fd_sc_hd__a31oi_1)
0.2967 0.4499 27.0740 ^ soc/_14718_/Y (sky130_fd_sc_hd__a31oi_1)
1 0.0060 soc/_04731_ (net)
0.2967 0.0002 27.0742 ^ soc/_14719_/B1 (sky130_fd_sc_hd__o31a_1)
0.1327 0.3885 27.4626 ^ soc/_14719_/X (sky130_fd_sc_hd__o31a_1)
1 0.0062 soc/_04732_ (net)
0.1327 0.0001 27.4627 ^ soc/_14728_/B1 (sky130_fd_sc_hd__a31o_1)
0.1153 0.2251 27.6879 ^ soc/_14728_/X (sky130_fd_sc_hd__a31o_1)
1 0.0053 soc/_04741_ (net)
0.1153 0.0001 27.6879 ^ soc/_14748_/A2 (sky130_fd_sc_hd__o22a_1)
0.4507 0.5644 28.2523 ^ soc/_14748_/X (sky130_fd_sc_hd__o22a_1)
2 0.0289 soc/_00058_ (net)
0.4507 0.0022 28.2545 ^ soc/_29137_/D (sky130_fd_sc_hd__dfxtp_1)
28.2545 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0691 0.2421 34.2544 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_6_0_core_clk (net)
0.0691 0.0005 34.2549 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7758 0.7147 34.9697 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3002 soc/clknet_5_6_1_core_clk (net)
0.7759 0.0077 34.9774 ^ soc/clkbuf_leaf_107_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1357 0.5330 35.5104 ^ soc/clkbuf_leaf_107_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0646 soc/clknet_leaf_107_core_clk (net)
0.1357 0.0009 35.5113 ^ soc/_29137_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4113 clock uncertainty
1.2728 36.6841 clock reconvergence pessimism
-0.2160 36.4681 library setup time
36.4681 data required time
-------------------------------------------------------------------------------------
36.4681 data required time
-28.2545 data arrival time
-------------------------------------------------------------------------------------
8.2136 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29683_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1975 0.0007 21.7933 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.2860 0.4054 22.1987 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0887 soc/net1751 (net)
0.2860 0.0007 22.1995 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.4876 0.6154 22.8149 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1110 soc/net1750 (net)
0.4886 0.0187 22.8336 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2142 0.4644 23.2980 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0646 soc/net1726 (net)
0.2142 0.0006 23.2986 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.3829 0.7201 24.0187 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0753 soc/_05755_ (net)
0.3833 0.0113 24.0300 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0695 0.3109 24.3409 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0140 soc/net1468 (net)
0.0695 0.0000 24.3409 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.3920 0.4191 24.7601 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1248 soc/net1470 (net)
0.3928 0.0157 24.7758 ^ soc/wire1469/A (sky130_fd_sc_hd__clkbuf_8)
0.3523 0.5932 25.3690 ^ soc/wire1469/X (sky130_fd_sc_hd__clkbuf_8)
6 0.1306 soc/net1469 (net)
0.3535 0.0179 25.3869 ^ soc/fanout1467/A (sky130_fd_sc_hd__buf_12)
0.4731 0.5867 25.9735 ^ soc/fanout1467/X (sky130_fd_sc_hd__buf_12)
40 0.2678 soc/net1467 (net)
0.4731 0.0006 25.9742 ^ soc/fanout1465/A (sky130_fd_sc_hd__clkbuf_16)
0.3157 0.6150 26.5892 ^ soc/fanout1465/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2001 soc/net1465 (net)
0.3157 0.0017 26.5908 ^ soc/_16897_/A3 (sky130_fd_sc_hd__o311a_1)
0.3150 0.5769 27.1678 ^ soc/_16897_/X (sky130_fd_sc_hd__o311a_1)
1 0.0186 soc/_06852_ (net)
0.3150 0.0007 27.1685 ^ soc/_16899_/C1 (sky130_fd_sc_hd__a211o_1)
0.0890 0.2795 27.4480 ^ soc/_16899_/X (sky130_fd_sc_hd__a211o_1)
1 0.0044 soc/_06854_ (net)
0.0890 0.0000 27.4480 ^ soc/_16913_/A2 (sky130_fd_sc_hd__a32o_1)
0.2524 0.4958 27.9438 ^ soc/_16913_/X (sky130_fd_sc_hd__a32o_1)
1 0.0137 soc/_06868_ (net)
0.2524 0.0006 27.9444 ^ soc/_16932_/B2 (sky130_fd_sc_hd__o22a_1)
0.0825 0.3085 28.2529 ^ soc/_16932_/X (sky130_fd_sc_hd__o22a_1)
1 0.0033 soc/_00023_ (net)
0.0825 0.0000 28.2529 ^ soc/_29683_/D (sky130_fd_sc_hd__dfxtp_1)
28.2529 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0017 34.0037 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0666 0.2375 34.2412 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_19_0_core_clk (net)
0.0666 0.0003 34.2416 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7557 0.7002 34.9418 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2922 soc/clknet_5_19_1_core_clk (net)
0.7560 0.0109 34.9527 ^ soc/clkbuf_leaf_249_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1715 0.5564 35.5092 ^ soc/clkbuf_leaf_249_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
36 0.0918 soc/clknet_leaf_249_core_clk (net)
0.1715 0.0009 35.5101 ^ soc/_29683_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4101 clock uncertainty
1.2728 36.6829 clock reconvergence pessimism
-0.1145 36.5685 library setup time
36.5685 data required time
-------------------------------------------------------------------------------------
36.5685 data required time
-28.2529 data arrival time
-------------------------------------------------------------------------------------
8.3155 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29666_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1975 0.0007 21.7933 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.2860 0.4054 22.1987 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0887 soc/net1751 (net)
0.2860 0.0007 22.1995 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.4876 0.6154 22.8149 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1110 soc/net1750 (net)
0.4886 0.0187 22.8336 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2142 0.4644 23.2980 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0646 soc/net1726 (net)
0.2142 0.0006 23.2986 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.3829 0.7201 24.0187 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0753 soc/_05755_ (net)
0.3833 0.0113 24.0300 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0695 0.3109 24.3409 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0140 soc/net1468 (net)
0.0695 0.0000 24.3409 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.3920 0.4191 24.7601 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1248 soc/net1470 (net)
0.3928 0.0157 24.7758 ^ soc/fanout1464/A (sky130_fd_sc_hd__buf_12)
0.3942 0.5493 25.3251 ^ soc/fanout1464/X (sky130_fd_sc_hd__buf_12)
40 0.2196 soc/net1464 (net)
0.3942 0.0014 25.3266 ^ soc/fanout1463/A (sky130_fd_sc_hd__clkbuf_16)
0.3019 0.5667 25.8932 ^ soc/fanout1463/X (sky130_fd_sc_hd__clkbuf_16)
40 0.1897 soc/net1463 (net)
0.3019 0.0041 25.8974 ^ soc/_16267_/B2 (sky130_fd_sc_hd__o221a_1)
0.1933 0.5494 26.4468 ^ soc/_16267_/X (sky130_fd_sc_hd__o221a_1)
1 0.0098 soc/_06239_ (net)
0.1933 0.0003 26.4470 ^ soc/_16268_/B1 (sky130_fd_sc_hd__a211oi_1)
0.2442 0.1984 26.6454 v soc/_16268_/Y (sky130_fd_sc_hd__a211oi_1)
1 0.0117 soc/_06240_ (net)
0.2442 0.0003 26.6458 v soc/_16282_/A2 (sky130_fd_sc_hd__o32a_1)
0.2962 0.9263 27.5721 v soc/_16282_/X (sky130_fd_sc_hd__o32a_1)
2 0.0236 soc/_06254_ (net)
0.2962 0.0010 27.5731 v soc/_16302_/A1 (sky130_fd_sc_hd__a21oi_1)
0.4783 0.5556 28.1287 ^ soc/_16302_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.0130 soc/_00004_ (net)
0.4783 0.0004 28.1291 ^ soc/_29666_/D (sky130_fd_sc_hd__dfxtp_1)
28.1291 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0019 34.0039 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0690 0.2399 34.2438 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_18_0_core_clk (net)
0.0690 0.0006 34.2444 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8416 0.7613 35.0057 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3266 soc/clknet_5_18_1_core_clk (net)
0.8417 0.0070 35.0127 ^ soc/clkbuf_leaf_268_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1242 0.5395 35.5521 ^ soc/clkbuf_leaf_268_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.0545 soc/clknet_leaf_268_core_clk (net)
0.1242 0.0002 35.5524 ^ soc/_29666_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4524 clock uncertainty
1.2728 36.7252 clock reconvergence pessimism
-0.2252 36.5000 library setup time
36.5000 data required time
-------------------------------------------------------------------------------------
36.5000 data required time
-28.1291 data arrival time
-------------------------------------------------------------------------------------
8.3709 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29146_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3684 0.0247 24.4087 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.3827 0.5394 24.9481 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1215 soc/net1152 (net)
0.3842 0.0200 24.9681 ^ soc/fanout1145/A (sky130_fd_sc_hd__buf_12)
0.4167 0.5761 25.5442 ^ soc/fanout1145/X (sky130_fd_sc_hd__buf_12)
40 0.2360 soc/net1145 (net)
0.4167 0.0037 25.5480 ^ soc/_15085_/S (sky130_fd_sc_hd__mux2_1)
0.1202 0.8541 26.4021 v soc/_15085_/X (sky130_fd_sc_hd__mux2_1)
1 0.0037 soc/_05089_ (net)
0.1202 0.0001 26.4022 v soc/_15086_/A1 (sky130_fd_sc_hd__a211o_1)
0.1758 0.6374 27.0395 v soc/_15086_/X (sky130_fd_sc_hd__a211o_1)
1 0.0136 soc/_05090_ (net)
0.1758 0.0006 27.0401 v soc/_15087_/A3 (sky130_fd_sc_hd__a31o_1)
0.1402 0.5282 27.5683 v soc/_15087_/X (sky130_fd_sc_hd__a31o_1)
1 0.0117 soc/_05091_ (net)
0.1402 0.0003 27.5686 v soc/_15088_/A1 (sky130_fd_sc_hd__o22a_1)
0.1448 0.4966 28.0651 v soc/_15088_/X (sky130_fd_sc_hd__o22a_1)
1 0.0091 soc/_00036_ (net)
0.1448 0.0003 28.0654 v soc/_29146_/D (sky130_fd_sc_hd__dfxtp_1)
28.0654 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0019 34.0039 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0690 0.2399 34.2438 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_18_0_core_clk (net)
0.0690 0.0006 34.2444 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8416 0.7613 35.0057 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3266 soc/clknet_5_18_1_core_clk (net)
0.8417 0.0093 35.0150 ^ soc/clkbuf_leaf_355_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1304 0.5448 35.5598 ^ soc/clkbuf_leaf_355_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0594 soc/clknet_leaf_355_core_clk (net)
0.1305 0.0006 35.5604 ^ soc/_29146_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4604 clock uncertainty
1.2728 36.7332 clock reconvergence pessimism
-0.2837 36.4495 library setup time
36.4495 data required time
-------------------------------------------------------------------------------------
36.4495 data required time
-28.0654 data arrival time
-------------------------------------------------------------------------------------
8.3841 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29658_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/wire1556/A (sky130_fd_sc_hd__buf_8)
0.2159 0.4184 22.4850 v soc/wire1556/X (sky130_fd_sc_hd__buf_8)
12 0.1684 soc/net1556 (net)
0.2235 0.0331 22.5181 v soc/fanout1550/A (sky130_fd_sc_hd__buf_6)
0.0509 0.3034 22.8215 v soc/fanout1550/X (sky130_fd_sc_hd__buf_6)
2 0.0156 soc/net1550 (net)
0.0509 0.0003 22.8218 v soc/fanout1549/A (sky130_fd_sc_hd__buf_12)
0.2057 0.3542 23.1760 v soc/fanout1549/X (sky130_fd_sc_hd__buf_12)
40 0.2373 soc/net1549 (net)
0.2057 0.0031 23.1791 v soc/_15777_/A2 (sky130_fd_sc_hd__o21ai_4)
1.3375 1.1633 24.3424 ^ soc/_15777_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.1235 soc/_05762_ (net)
1.3379 0.0206 24.3631 ^ soc/fanout932/A (sky130_fd_sc_hd__buf_6)
0.1419 0.6105 24.9735 ^ soc/fanout932/X (sky130_fd_sc_hd__buf_6)
4 0.0354 soc/net932 (net)
0.1419 0.0002 24.9737 ^ soc/max_length934/A (sky130_fd_sc_hd__buf_6)
0.3348 0.4140 25.3877 ^ soc/max_length934/X (sky130_fd_sc_hd__buf_6)
8 0.1052 soc/net934 (net)
0.3357 0.0152 25.4030 ^ soc/fanout929/A (sky130_fd_sc_hd__buf_12)
0.4025 0.5266 25.9296 ^ soc/fanout929/X (sky130_fd_sc_hd__buf_12)
40 0.2237 soc/net929 (net)
0.4057 0.0306 25.9602 ^ soc/_15991_/C1 (sky130_fd_sc_hd__o221a_1)
0.2584 0.6424 26.6026 ^ soc/_15991_/X (sky130_fd_sc_hd__o221a_1)
1 0.0144 soc/_05971_ (net)
0.2584 0.0007 26.6033 ^ soc/_15994_/B1 (sky130_fd_sc_hd__o32a_1)
0.2183 0.4758 27.0791 ^ soc/_15994_/X (sky130_fd_sc_hd__o32a_1)
1 0.0118 soc/_05974_ (net)
0.2183 0.0005 27.0796 ^ soc/_16004_/B1 (sky130_fd_sc_hd__a22oi_1)
0.1742 0.2132 27.2927 v soc/_16004_/Y (sky130_fd_sc_hd__a22oi_1)
1 0.0047 soc/_05984_ (net)
0.1742 0.0001 27.2928 v soc/_16005_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.2888 0.6781 27.9709 ^ soc/_16005_/X (sky130_fd_sc_hd__o2bb2a_1)
1 0.0179 soc/_00027_ (net)
0.2888 0.0008 27.9717 ^ soc/_29658_/D (sky130_fd_sc_hd__dfxtp_1)
27.9717 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0661 0.2389 34.2513 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_7_0_core_clk (net)
0.0661 0.0005 34.2517 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6080 0.5996 34.8513 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2334 soc/clknet_5_7_1_core_clk (net)
0.6081 0.0072 34.8584 ^ soc/clkbuf_leaf_109_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1458 0.4970 35.3555 ^ soc/clkbuf_leaf_109_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0747 soc/clknet_leaf_109_core_clk (net)
0.1458 0.0004 35.3559 ^ soc/_29658_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.2559 clock uncertainty
1.2728 36.5287 clock reconvergence pessimism
-0.1723 36.3564 library setup time
36.3564 data required time
-------------------------------------------------------------------------------------
36.3564 data required time
-27.9717 data arrival time
-------------------------------------------------------------------------------------
8.3847 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30450_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1939 0.0065 24.1829 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5057 0.5965 24.7794 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1156 soc/net1413 (net)
0.5066 0.0187 24.7981 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3015 0.5312 25.3293 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.0939 soc/net1412 (net)
0.3024 0.0137 25.3430 ^ soc/wire1411/A (sky130_fd_sc_hd__buf_6)
0.2903 0.4499 25.7929 ^ soc/wire1411/X (sky130_fd_sc_hd__buf_6)
14 0.0902 soc/net1411 (net)
0.2909 0.0111 25.8040 ^ soc/_23527_/S (sky130_fd_sc_hd__mux2_1)
0.1218 0.8213 26.6253 v soc/_23527_/X (sky130_fd_sc_hd__mux2_1)
1 0.0039 soc/_10957_ (net)
0.1218 0.0001 26.6253 v soc/_23528_/A1 (sky130_fd_sc_hd__mux2_1)
0.1321 0.7289 27.3542 v soc/_23528_/X (sky130_fd_sc_hd__mux2_1)
1 0.0048 soc/_02529_ (net)
0.1321 0.0001 27.3543 v soc/_30450_/D (sky130_fd_sc_hd__dfxtp_4)
27.3543 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0019 33.6795 ^ soc/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0704 0.2320 33.9115 ^ soc/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0143 soc/clknet_4_1_0_core_clk (net)
0.0704 0.0003 33.9119 ^ soc/clkbuf_5_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0641 0.2077 34.1196 ^ soc/clkbuf_5_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0112 soc/clknet_5_3_0_core_clk (net)
0.0641 0.0003 34.1199 ^ soc/clkbuf_5_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3548 0.4279 34.5478 ^ soc/clkbuf_5_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1324 soc/clknet_5_3_1_core_clk (net)
0.3548 0.0017 34.5495 ^ soc/clkbuf_leaf_375_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1006 0.3642 34.9137 ^ soc/clkbuf_leaf_375_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.0434 soc/clknet_leaf_375_core_clk (net)
0.1006 0.0007 34.9144 ^ soc/_30450_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 34.8144 clock uncertainty
1.2728 36.0873 clock reconvergence pessimism
-0.2902 35.7971 library setup time
35.7971 data required time
-------------------------------------------------------------------------------------
35.7971 data required time
-27.3543 data arrival time
-------------------------------------------------------------------------------------
8.4428 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30447_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1939 0.0065 24.1829 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5057 0.5965 24.7794 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1156 soc/net1413 (net)
0.5066 0.0187 24.7981 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3015 0.5312 25.3293 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.0939 soc/net1412 (net)
0.3024 0.0137 25.3430 ^ soc/wire1411/A (sky130_fd_sc_hd__buf_6)
0.2903 0.4499 25.7929 ^ soc/wire1411/X (sky130_fd_sc_hd__buf_6)
14 0.0902 soc/net1411 (net)
0.2906 0.0082 25.8011 ^ soc/_23521_/S (sky130_fd_sc_hd__mux2_1)
0.1328 0.8372 26.6383 v soc/_23521_/X (sky130_fd_sc_hd__mux2_1)
1 0.0049 soc/_10954_ (net)
0.1328 0.0001 26.6384 v soc/_23522_/A1 (sky130_fd_sc_hd__mux2_1)
0.1243 0.7224 27.3607 v soc/_23522_/X (sky130_fd_sc_hd__mux2_1)
1 0.0041 soc/_02526_ (net)
0.1243 0.0001 27.3608 v soc/_30447_/D (sky130_fd_sc_hd__dfxtp_1)
27.3608 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0019 33.6795 ^ soc/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0704 0.2320 33.9115 ^ soc/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0143 soc/clknet_4_1_0_core_clk (net)
0.0704 0.0003 33.9119 ^ soc/clkbuf_5_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0641 0.2077 34.1196 ^ soc/clkbuf_5_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0112 soc/clknet_5_3_0_core_clk (net)
0.0641 0.0003 34.1199 ^ soc/clkbuf_5_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3548 0.4279 34.5478 ^ soc/clkbuf_5_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1324 soc/clknet_5_3_1_core_clk (net)
0.3548 0.0017 34.5496 ^ soc/clkbuf_leaf_373_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1281 0.3857 34.9353 ^ soc/clkbuf_leaf_373_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0641 soc/clknet_leaf_373_core_clk (net)
0.1281 0.0014 34.9367 ^ soc/_30447_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 34.8367 clock uncertainty
1.2728 36.1095 clock reconvergence pessimism
-0.2759 35.8336 library setup time
35.8336 data required time
-------------------------------------------------------------------------------------
35.8336 data required time
-27.3608 data arrival time
-------------------------------------------------------------------------------------
8.4728 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29663_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1975 0.0007 21.7933 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.2860 0.4054 22.1987 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0887 soc/net1751 (net)
0.2860 0.0007 22.1995 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.4876 0.6154 22.8149 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1110 soc/net1750 (net)
0.4886 0.0187 22.8336 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2142 0.4644 23.2980 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0646 soc/net1726 (net)
0.2142 0.0006 23.2986 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.3829 0.7201 24.0187 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0753 soc/_05755_ (net)
0.3829 0.0005 24.0193 ^ soc/fanout1457/A (sky130_fd_sc_hd__buf_6)
0.0845 0.3250 24.3443 ^ soc/fanout1457/X (sky130_fd_sc_hd__buf_6)
3 0.0195 soc/net1457 (net)
0.0845 0.0000 24.3443 ^ soc/wire1459/A (sky130_fd_sc_hd__buf_4)
0.4730 0.5201 24.8644 ^ soc/wire1459/X (sky130_fd_sc_hd__buf_4)
4 0.1082 soc/net1459 (net)
0.4734 0.0127 24.8771 ^ soc/fanout1456/A (sky130_fd_sc_hd__buf_12)
0.4436 0.6321 25.5092 ^ soc/fanout1456/X (sky130_fd_sc_hd__buf_12)
40 0.2536 soc/net1456 (net)
0.4440 0.0121 25.5213 ^ soc/fanout1455/A (sky130_fd_sc_hd__clkbuf_16)
0.3033 0.5942 26.1155 ^ soc/fanout1455/X (sky130_fd_sc_hd__clkbuf_16)
40 0.1915 soc/net1455 (net)
0.3038 0.0108 26.1263 ^ soc/_16163_/B2 (sky130_fd_sc_hd__o221a_1)
0.1267 0.4895 26.6157 ^ soc/_16163_/X (sky130_fd_sc_hd__o221a_1)
1 0.0051 soc/_06138_ (net)
0.1267 0.0001 26.6158 ^ soc/_16170_/A2 (sky130_fd_sc_hd__o311a_1)
0.2509 0.5089 27.1247 ^ soc/_16170_/X (sky130_fd_sc_hd__o311a_1)
1 0.0143 soc/_06145_ (net)
0.2509 0.0006 27.1253 ^ soc/_16171_/B1 (sky130_fd_sc_hd__a31o_1)
0.2143 0.3553 27.4806 ^ soc/_16171_/X (sky130_fd_sc_hd__a31o_1)
1 0.0124 soc/_06146_ (net)
0.2143 0.0004 27.4810 ^ soc/_16190_/B2 (sky130_fd_sc_hd__o22a_1)
0.4190 0.5592 28.0402 ^ soc/_16190_/X (sky130_fd_sc_hd__o22a_1)
2 0.0268 soc/_00001_ (net)
0.4190 0.0016 28.0418 ^ soc/_29663_/D (sky130_fd_sc_hd__dfxtp_1)
28.0418 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0019 34.0039 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0690 0.2399 34.2438 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_18_0_core_clk (net)
0.0690 0.0006 34.2444 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8416 0.7613 35.0057 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3266 soc/clknet_5_18_1_core_clk (net)
0.8418 0.0099 35.0155 ^ soc/clkbuf_leaf_128_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1336 0.5473 35.5628 ^ soc/clkbuf_leaf_128_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0619 soc/clknet_leaf_128_core_clk (net)
0.1336 0.0010 35.5638 ^ soc/_29663_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4638 clock uncertainty
1.2728 36.7367 clock reconvergence pessimism
-0.2081 36.5285 library setup time
36.5285 data required time
-------------------------------------------------------------------------------------
36.5285 data required time
-28.0418 data arrival time
-------------------------------------------------------------------------------------
8.4868 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29143_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3662 0.0070 24.3910 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2418 0.4320 24.8229 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.0926 soc/net1140 (net)
0.2418 0.0003 24.8232 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4377 0.5205 25.3437 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2465 soc/net1139 (net)
0.4471 0.0529 25.3967 ^ soc/_14952_/S (sky130_fd_sc_hd__mux2_1)
0.1181 0.8523 26.2489 v soc/_14952_/X (sky130_fd_sc_hd__mux2_1)
1 0.0032 soc/_04959_ (net)
0.1181 0.0000 26.2490 v soc/_14955_/A2 (sky130_fd_sc_hd__a211o_1)
0.1579 0.6656 26.9145 v soc/_14955_/X (sky130_fd_sc_hd__a211o_1)
1 0.0110 soc/_04962_ (net)
0.1579 0.0002 26.9147 v soc/_14956_/A3 (sky130_fd_sc_hd__a31oi_2)
0.3551 0.4672 27.3819 ^ soc/_14956_/Y (sky130_fd_sc_hd__a31oi_2)
1 0.0129 soc/_04963_ (net)
0.3551 0.0003 27.3822 ^ soc/_14977_/A2 (sky130_fd_sc_hd__o21ai_2)
0.2633 0.3472 27.7295 v soc/_14977_/Y (sky130_fd_sc_hd__o21ai_2)
2 0.0270 soc/_00033_ (net)
0.2634 0.0023 27.7317 v soc/_29143_/D (sky130_fd_sc_hd__dfxtp_1)
27.7317 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0661 0.2389 34.2513 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_7_0_core_clk (net)
0.0661 0.0005 34.2517 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6080 0.5996 34.8513 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2334 soc/clknet_5_7_1_core_clk (net)
0.6084 0.0133 34.8646 ^ soc/clkbuf_leaf_129_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1589 0.5071 35.3717 ^ soc/clkbuf_leaf_129_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0844 soc/clknet_leaf_129_core_clk (net)
0.1590 0.0020 35.3736 ^ soc/_29143_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.2736 clock uncertainty
1.2728 36.5465 clock reconvergence pessimism
-0.3253 36.2212 library setup time
36.2212 data required time
-------------------------------------------------------------------------------------
36.2212 data required time
-27.7317 data arrival time
-------------------------------------------------------------------------------------
8.4895 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29673_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1975 0.0007 21.7933 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.2860 0.4054 22.1987 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0887 soc/net1751 (net)
0.2860 0.0007 22.1995 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.4876 0.6154 22.8149 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1110 soc/net1750 (net)
0.4886 0.0187 22.8336 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2142 0.4644 23.2980 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0646 soc/net1726 (net)
0.2142 0.0006 23.2986 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.3829 0.7201 24.0187 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0753 soc/_05755_ (net)
0.3833 0.0113 24.0300 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0695 0.3109 24.3409 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0140 soc/net1468 (net)
0.0695 0.0000 24.3409 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.3920 0.4191 24.7601 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1248 soc/net1470 (net)
0.3928 0.0157 24.7758 ^ soc/fanout1464/A (sky130_fd_sc_hd__buf_12)
0.3942 0.5493 25.3251 ^ soc/fanout1464/X (sky130_fd_sc_hd__buf_12)
40 0.2196 soc/net1464 (net)
0.3942 0.0014 25.3266 ^ soc/fanout1463/A (sky130_fd_sc_hd__clkbuf_16)
0.3019 0.5667 25.8932 ^ soc/fanout1463/X (sky130_fd_sc_hd__clkbuf_16)
40 0.1897 soc/net1463 (net)
0.3022 0.0086 25.9018 ^ soc/_16548_/A3 (sky130_fd_sc_hd__o311a_1)
0.0963 0.3839 26.2857 ^ soc/_16548_/X (sky130_fd_sc_hd__o311a_1)
1 0.0031 soc/_06513_ (net)
0.0963 0.0000 26.2857 ^ soc/_16551_/A2 (sky130_fd_sc_hd__o31a_1)
0.2142 0.3726 26.6583 ^ soc/_16551_/X (sky130_fd_sc_hd__o31a_1)
1 0.0116 soc/_06516_ (net)
0.2142 0.0004 26.6587 ^ soc/_16560_/B2 (sky130_fd_sc_hd__a32o_1)
0.5640 0.6793 27.3381 ^ soc/_16560_/X (sky130_fd_sc_hd__a32o_1)
2 0.0340 soc/_06525_ (net)
0.5640 0.0024 27.3404 ^ soc/_16561_/B2 (sky130_fd_sc_hd__o22a_1)
0.3268 0.6172 27.9577 ^ soc/_16561_/X (sky130_fd_sc_hd__o22a_1)
2 0.0205 soc/_00012_ (net)
0.3268 0.0012 27.9589 ^ soc/_29673_/D (sky130_fd_sc_hd__dfxtp_1)
27.9589 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0017 34.0037 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0666 0.2375 34.2412 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_19_0_core_clk (net)
0.0666 0.0003 34.2416 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7557 0.7002 34.9418 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2922 soc/clknet_5_19_1_core_clk (net)
0.7558 0.0082 34.9500 ^ soc/clkbuf_leaf_258_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1296 0.5232 35.4732 ^ soc/clkbuf_leaf_258_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0603 soc/clknet_leaf_258_core_clk (net)
0.1296 0.0006 35.4738 ^ soc/_29673_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.3738 clock uncertainty
1.2728 36.6466 clock reconvergence pessimism
-0.1849 36.4617 library setup time
36.4617 data required time
-------------------------------------------------------------------------------------
36.4617 data required time
-27.9589 data arrival time
-------------------------------------------------------------------------------------
8.5028 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29156_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3684 0.0247 24.4087 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.3827 0.5394 24.9481 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1215 soc/net1152 (net)
0.3842 0.0200 24.9681 ^ soc/fanout1145/A (sky130_fd_sc_hd__buf_12)
0.4167 0.5761 25.5442 ^ soc/fanout1145/X (sky130_fd_sc_hd__buf_12)
40 0.2360 soc/net1145 (net)
0.4170 0.0095 25.5537 ^ soc/_15446_/S (sky130_fd_sc_hd__mux2_1)
0.1329 0.8725 26.4262 v soc/_15446_/X (sky130_fd_sc_hd__mux2_1)
1 0.0049 soc/_05440_ (net)
0.1329 0.0001 26.4262 v soc/_15449_/A2 (sky130_fd_sc_hd__a211o_1)
0.1804 0.7041 27.1304 v soc/_15449_/X (sky130_fd_sc_hd__a211o_1)
1 0.0143 soc/_05443_ (net)
0.1804 0.0004 27.1308 v soc/_15450_/C1 (sky130_fd_sc_hd__o311ai_4)
0.8171 0.2698 27.4006 ^ soc/_15450_/Y (sky130_fd_sc_hd__o311ai_4)
2 0.0401 soc/_05444_ (net)
0.8171 0.0038 27.4044 ^ soc/_15461_/A2 (sky130_fd_sc_hd__a31oi_1)
0.2477 0.4890 27.8933 v soc/_15461_/Y (sky130_fd_sc_hd__a31oi_1)
1 0.0059 soc/_00047_ (net)
0.2477 0.0001 27.8935 v soc/_29156_/D (sky130_fd_sc_hd__dfxtp_1)
27.8935 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0019 34.0039 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0690 0.2399 34.2438 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_18_0_core_clk (net)
0.0690 0.0006 34.2444 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8416 0.7613 35.0057 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3266 soc/clknet_5_18_1_core_clk (net)
0.8416 0.0051 35.0108 ^ soc/clkbuf_leaf_265_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1434 0.5552 35.5660 ^ soc/clkbuf_leaf_265_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0695 soc/clknet_leaf_265_core_clk (net)
0.1435 0.0020 35.5680 ^ soc/_29156_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4680 clock uncertainty
1.2728 36.7408 clock reconvergence pessimism
-0.3230 36.4179 library setup time
36.4179 data required time
-------------------------------------------------------------------------------------
36.4179 data required time
-27.8935 data arrival time
-------------------------------------------------------------------------------------
8.5244 slack (MET)
Startpoint: soc/_31022_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_7147_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1267 0.0018 10.3225 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1371 0.3203 10.6428 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0431 soc/clknet_4_14_0_core_clk (net)
0.1371 0.0021 10.6449 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0729 0.2654 10.9103 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0154 soc/clknet_5_28_0_core_clk (net)
0.0729 0.0007 10.9110 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6708 0.6937 11.6046 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2585 soc/clknet_5_28_1_core_clk (net)
0.6713 0.0167 11.6213 ^ soc/clkbuf_leaf_219_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1272 0.5397 12.1610 ^ soc/clkbuf_leaf_219_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0598 soc/clknet_leaf_219_core_clk (net)
0.1272 0.0010 12.1620 ^ soc/_31022_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1469 0.7134 12.8754 v soc/_31022_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0153 soc/core.grant[1] (net)
0.1469 0.0008 12.8762 v soc/fanout3540/A (sky130_fd_sc_hd__buf_6)
0.1284 0.3385 13.2146 v soc/fanout3540/X (sky130_fd_sc_hd__buf_6)
6 0.0729 soc/net3540 (net)
0.1284 0.0008 13.2155 v soc/fanout3539/A (sky130_fd_sc_hd__buf_12)
0.1849 0.3830 13.5984 v soc/fanout3539/X (sky130_fd_sc_hd__buf_12)
26 0.2015 soc/net3539 (net)
0.1866 0.0148 13.6132 v soc/_13829_/A (sky130_fd_sc_hd__inv_2)
0.0985 0.1609 13.7741 ^ soc/_13829_/Y (sky130_fd_sc_hd__inv_2)
1 0.0101 soc/_13525_ (net)
0.0985 0.0002 13.7743 ^ soc/fanout3375/A (sky130_fd_sc_hd__buf_8)
0.2410 0.3297 14.1040 ^ soc/fanout3375/X (sky130_fd_sc_hd__buf_8)
10 0.0931 soc/net3375 (net)
0.2411 0.0034 14.1074 ^ soc/fanout3374/A (sky130_fd_sc_hd__buf_8)
0.4176 0.5119 14.6193 ^ soc/fanout3374/X (sky130_fd_sc_hd__buf_8)
36 0.1666 soc/net3374 (net)
0.4197 0.0246 14.6439 ^ soc/_14126_/A (sky130_fd_sc_hd__nand2_1)
0.2474 0.3752 15.0191 v soc/_14126_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0128 soc/_13628_ (net)
0.2474 0.0003 15.0195 v soc/fanout3129/A (sky130_fd_sc_hd__buf_12)
0.2177 0.4704 15.4899 v soc/fanout3129/X (sky130_fd_sc_hd__buf_12)
40 0.2540 soc/net3129 (net)
0.2230 0.0279 15.5178 v soc/fanout3128/A (sky130_fd_sc_hd__buf_12)
0.1919 0.4341 15.9519 v soc/fanout3128/X (sky130_fd_sc_hd__buf_12)
40 0.2096 soc/net3128 (net)
0.1927 0.0111 15.9630 v soc/_14173_/A2 (sky130_fd_sc_hd__o21ai_1)
0.1536 0.2366 16.1996 ^ soc/_14173_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.0023 soc/_13652_ (net)
0.1536 0.0000 16.1996 ^ soc/hold141/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0948 1.1134 17.3130 ^ soc/hold141/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0027 soc/net4360 (net)
0.0948 0.0000 17.3130 ^ soc/wire2679/A (sky130_fd_sc_hd__buf_4)
0.4635 0.5122 17.8252 ^ soc/wire2679/X (sky130_fd_sc_hd__buf_4)
6 0.1057 soc/net2679 (net)
0.4655 0.0258 17.8510 ^ soc/wire2678/A (sky130_fd_sc_hd__buf_4)
0.6203 0.7856 18.6366 ^ soc/wire2678/X (sky130_fd_sc_hd__buf_4)
8 0.1424 soc/net2678 (net)
0.6229 0.0346 18.6712 ^ soc/wire2677/A (sky130_fd_sc_hd__buf_8)
0.4098 0.6229 19.2941 ^ soc/wire2677/X (sky130_fd_sc_hd__buf_8)
10 0.1614 soc/net2677 (net)
0.4172 0.0457 19.3398 ^ soc/_14174_/A (sky130_fd_sc_hd__clkinv_16)
0.1469 0.2563 19.5961 v soc/_14174_/Y (sky130_fd_sc_hd__clkinv_16)
7 0.0832 soc/net650 (net)
0.1470 0.0029 19.5990 v soc/wire2384/A (sky130_fd_sc_hd__buf_4)
0.2416 0.4652 20.0642 v soc/wire2384/X (sky130_fd_sc_hd__buf_4)
4 0.1053 soc/net2384 (net)
0.2454 0.0251 20.0893 v soc/wire2383/A (sky130_fd_sc_hd__buf_4)
0.2121 0.4939 20.5832 v soc/wire2383/X (sky130_fd_sc_hd__buf_4)
2 0.0914 soc/net2383 (net)
0.2149 0.0202 20.6035 v soc/wire2382/A (sky130_fd_sc_hd__buf_12)
0.1426 0.3768 20.9803 v soc/wire2382/X (sky130_fd_sc_hd__buf_12)
5 0.1431 soc/net2382 (net)
0.1627 0.0425 21.0227 v soc/wire2381/A (sky130_fd_sc_hd__buf_6)
0.1513 0.3561 21.3788 v soc/wire2381/X (sky130_fd_sc_hd__buf_6)
2 0.0874 soc/net2381 (net)
0.1550 0.0196 21.3984 v soc/output650/A (sky130_fd_sc_hd__buf_12)
0.1166 0.3441 21.7424 v soc/output650/X (sky130_fd_sc_hd__buf_12)
3 0.1135 mprj_dat_o_core[14] (net)
0.1175 0.0084 21.7509 v housekeeping/input137/A (sky130_fd_sc_hd__clkbuf_1)
0.0763 0.2130 21.9639 v housekeeping/input137/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0054 housekeeping/net137 (net)
0.0763 0.0001 21.9640 v housekeeping/_6341_/B1 (sky130_fd_sc_hd__a22o_1)
0.0783 0.3493 22.3132 v housekeeping/_6341_/X (sky130_fd_sc_hd__a22o_1)
1 0.0036 housekeeping/_3155_ (net)
0.0783 0.0000 22.3133 v housekeeping/_6342_/C1 (sky130_fd_sc_hd__a221o_1)
0.0889 0.5038 22.8171 v housekeeping/_6342_/X (sky130_fd_sc_hd__a221o_1)
1 0.0025 housekeeping/_3156_ (net)
0.0889 0.0000 22.8171 v housekeeping/_6343_/A0 (sky130_fd_sc_hd__mux2_1)
0.1101 0.6534 23.4706 v housekeeping/_6343_/X (sky130_fd_sc_hd__mux2_1)
1 0.0025 housekeeping/_0790_ (net)
0.1101 0.0000 23.4706 v housekeeping/_7147_/D (sky130_fd_sc_hd__dfrtp_1)
23.4706 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0159 29.7210 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3468 30.0678 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 30.0687 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2119 30.2805 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0002 30.2808 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2527 30.5335 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0014 30.5349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2418 30.7767 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 30.7773 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.2385 0.3485 31.1258 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0844 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.2385 0.0018 31.1276 ^ housekeeping/_7147_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.0276 clock uncertainty
1.2728 32.3004 clock reconvergence pessimism
-0.2483 32.0521 library setup time
32.0521 data required time
-------------------------------------------------------------------------------------
32.0521 data required time
-23.4706 data arrival time
-------------------------------------------------------------------------------------
8.5815 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30421_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1939 0.0065 24.1829 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5057 0.5965 24.7794 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1156 soc/net1413 (net)
0.5066 0.0187 24.7981 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3015 0.5312 25.3293 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.0939 soc/net1412 (net)
0.3024 0.0137 25.3430 ^ soc/wire1411/A (sky130_fd_sc_hd__buf_6)
0.2903 0.4499 25.7929 ^ soc/wire1411/X (sky130_fd_sc_hd__buf_6)
14 0.0902 soc/net1411 (net)
0.2910 0.0126 25.8056 ^ soc/_23469_/S (sky130_fd_sc_hd__mux2_1)
0.2270 0.9630 26.7686 v soc/_23469_/X (sky130_fd_sc_hd__mux2_1)
2 0.0163 soc/_10928_ (net)
0.2270 0.0009 26.7695 v soc/_23470_/A1 (sky130_fd_sc_hd__mux2_1)
0.1065 0.7307 27.5003 v soc/_23470_/X (sky130_fd_sc_hd__mux2_1)
1 0.0024 soc/_02500_ (net)
0.1065 0.0000 27.5003 v soc/_30421_/D (sky130_fd_sc_hd__dfxtp_2)
27.5003 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0014 33.9556 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0608 0.2243 34.1799 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0097 soc/clknet_5_1_0_core_clk (net)
0.0608 0.0003 34.1802 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5977 0.5904 34.7706 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2293 soc/clknet_5_1_1_core_clk (net)
0.5980 0.0112 34.7818 ^ soc/clkbuf_leaf_398_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1147 0.4684 35.2502 ^ soc/clkbuf_leaf_398_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0513 soc/clknet_leaf_398_core_clk (net)
0.1147 0.0010 35.2512 ^ soc/_30421_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.1512 clock uncertainty
1.2728 36.4241 clock reconvergence pessimism
-0.2774 36.1467 library setup time
36.1467 data required time
-------------------------------------------------------------------------------------
36.1467 data required time
-27.5003 data arrival time
-------------------------------------------------------------------------------------
8.6464 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29678_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2104 0.0377 22.3517 v soc/_15773_/A2 (sky130_fd_sc_hd__o21ai_1)
0.4350 0.4752 22.8269 ^ soc/_15773_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.0123 soc/_05758_ (net)
0.4350 0.0004 22.8273 ^ soc/fanout980/A (sky130_fd_sc_hd__buf_8)
0.2687 0.4809 23.3082 ^ soc/fanout980/X (sky130_fd_sc_hd__buf_8)
12 0.1043 soc/net980 (net)
0.2688 0.0051 23.3132 ^ soc/wire981/A (sky130_fd_sc_hd__buf_6)
0.4760 0.5596 23.8728 ^ soc/wire981/X (sky130_fd_sc_hd__buf_6)
8 0.1522 soc/net981 (net)
0.4777 0.0243 23.8971 ^ soc/fanout974/A (sky130_fd_sc_hd__buf_12)
0.4070 0.6003 24.4974 ^ soc/fanout974/X (sky130_fd_sc_hd__buf_12)
40 0.2285 soc/net974 (net)
0.4088 0.0232 24.5206 ^ soc/fanout973/A (sky130_fd_sc_hd__buf_12)
0.3510 0.5334 25.0540 ^ soc/fanout973/X (sky130_fd_sc_hd__buf_12)
40 0.1947 soc/net973 (net)
0.3523 0.0184 25.0724 ^ soc/_16734_/S (sky130_fd_sc_hd__mux2_1)
0.1350 0.8578 25.9303 v soc/_16734_/X (sky130_fd_sc_hd__mux2_1)
1 0.0052 soc/_06694_ (net)
0.1350 0.0001 25.9304 v soc/_16736_/A0 (sky130_fd_sc_hd__mux2_1)
0.1778 0.7730 26.7034 v soc/_16736_/X (sky130_fd_sc_hd__mux2_1)
1 0.0099 soc/_06696_ (net)
0.1778 0.0003 26.7038 v soc/_16737_/A2 (sky130_fd_sc_hd__o211a_1)
0.0902 0.4583 27.1621 v soc/_16737_/X (sky130_fd_sc_hd__o211a_1)
1 0.0048 soc/_06697_ (net)
0.0902 0.0001 27.1621 v soc/_16747_/A2 (sky130_fd_sc_hd__o22a_1)
0.2458 0.5573 27.7195 v soc/_16747_/X (sky130_fd_sc_hd__o22a_1)
2 0.0213 soc/_00017_ (net)
0.2458 0.0008 27.7203 v soc/_29678_/D (sky130_fd_sc_hd__dfxtp_1)
27.7203 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0017 34.0037 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0666 0.2375 34.2412 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_19_0_core_clk (net)
0.0666 0.0003 34.2416 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7557 0.7002 34.9418 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2922 soc/clknet_5_19_1_core_clk (net)
0.7560 0.0117 34.9535 ^ soc/clkbuf_leaf_137_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.2080 0.5844 35.5379 ^ soc/clkbuf_leaf_137_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
46 0.1183 soc/clknet_leaf_137_core_clk (net)
0.2080 0.0009 35.5389 ^ soc/_29678_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4389 clock uncertainty
1.2728 36.7117 clock reconvergence pessimism
-0.3047 36.4070 library setup time
36.4070 data required time
-------------------------------------------------------------------------------------
36.4070 data required time
-27.7203 data arrival time
-------------------------------------------------------------------------------------
8.6867 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1267 0.0018 10.3225 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1371 0.3203 10.6428 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0431 soc/clknet_4_14_0_core_clk (net)
0.1371 0.0021 10.6449 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0729 0.2654 10.9103 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0154 soc/clknet_5_28_0_core_clk (net)
0.0729 0.0007 10.9110 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6708 0.6937 11.6046 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2585 soc/clknet_5_28_1_core_clk (net)
0.6713 0.0155 11.6202 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1597 0.5673 12.1875 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0842 soc/clknet_leaf_243_core_clk (net)
0.1597 0.0009 12.1884 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1789 0.7810 12.9694 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0109 soc/core.grant[0] (net)
0.1789 0.0002 12.9696 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2484 0.3725 13.3421 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.0963 soc/net3544 (net)
0.2484 0.0005 13.3426 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3464 0.4707 13.8133 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1089 soc/net3546 (net)
0.3479 0.0189 13.8322 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5011 0.6569 14.4891 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1144 soc/net3545 (net)
0.5024 0.0222 14.5112 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1375 0.2604 14.7716 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0068 soc/_13629_ (net)
0.1375 0.0001 14.7717 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0800 0.2935 15.0652 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0374 soc/net3233 (net)
0.0800 0.0017 15.0669 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.1876 0.3412 15.4081 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1444 soc/net3235 (net)
0.1938 0.0280 15.4361 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1081 0.3452 15.7813 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0582 soc/net3231 (net)
0.1084 0.0046 15.7859 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
0.1875 0.3674 16.1534 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
30 0.2024 soc/net3232 (net)
0.1885 0.0121 16.1655 v soc/_14232_/B2 (sky130_fd_sc_hd__a221oi_4)
0.8551 0.9169 17.0824 ^ soc/_14232_/Y (sky130_fd_sc_hd__a221oi_4)
2 0.0440 soc/_13691_ (net)
0.8552 0.0057 17.0881 ^ soc/wire3127/A (sky130_fd_sc_hd__buf_6)
0.2934 0.6202 17.7083 ^ soc/wire3127/X (sky130_fd_sc_hd__buf_6)
8 0.0904 soc/net3127 (net)
0.2941 0.0122 17.7205 ^ soc/_14233_/A (sky130_fd_sc_hd__inv_6)
0.2069 0.2886 18.0091 v soc/_14233_/Y (sky130_fd_sc_hd__inv_6)
8 0.1305 soc/net636 (net)
0.2070 0.0033 18.0124 v soc/_17879_/A (sky130_fd_sc_hd__nor2_2)
0.8530 0.8028 18.8152 ^ soc/_17879_/Y (sky130_fd_sc_hd__nor2_2)
12 0.0484 soc/_07728_ (net)
0.8531 0.0036 18.8188 ^ soc/_17896_/C (sky130_fd_sc_hd__and4_1)
0.3081 0.8254 19.6442 ^ soc/_17896_/X (sky130_fd_sc_hd__and4_1)
2 0.0181 soc/net228 (net)
0.3081 0.0011 19.6453 ^ soc/wire1842/A (sky130_fd_sc_hd__buf_4)
0.3182 0.5059 20.1512 ^ soc/wire1842/X (sky130_fd_sc_hd__buf_4)
2 0.0707 soc/net1842 (net)
0.3194 0.0171 20.1683 ^ soc/output228/A (sky130_fd_sc_hd__buf_12)
0.1461 0.3478 20.5161 ^ soc/output228/X (sky130_fd_sc_hd__buf_12)
1 0.0720 hk_cyc_o (net)
0.1516 0.0227 20.5388 ^ housekeeping/input131/A (sky130_fd_sc_hd__clkbuf_1)
0.4592 0.4794 21.0183 ^ housekeeping/input131/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0241 housekeeping/net131 (net)
0.4592 0.0016 21.0199 ^ housekeeping/_3899_/C (sky130_fd_sc_hd__and4bb_1)
0.1425 0.5654 21.5853 ^ housekeeping/_3899_/X (sky130_fd_sc_hd__and4bb_1)
1 0.0057 housekeeping/_1463_ (net)
0.1425 0.0001 21.5855 ^ housekeeping/_3901_/C (sky130_fd_sc_hd__and4b_1)
0.1429 0.4680 22.0534 ^ housekeeping/_3901_/X (sky130_fd_sc_hd__and4b_1)
1 0.0058 housekeeping/_1465_ (net)
0.1429 0.0001 22.0535 ^ housekeeping/_3907_/A (sky130_fd_sc_hd__nand4_2)
0.3911 0.3636 22.4171 v housekeeping/_3907_/Y (sky130_fd_sc_hd__nand4_2)
2 0.0188 housekeeping/_1471_ (net)
0.3911 0.0009 22.4180 v housekeeping/_3909_/B (sky130_fd_sc_hd__nand2_1)
0.2151 0.3455 22.7635 ^ housekeeping/_3909_/Y (sky130_fd_sc_hd__nand2_1)
3 0.0113 housekeeping/_1473_ (net)
0.2151 0.0002 22.7637 ^ housekeeping/_6305_/B1 (sky130_fd_sc_hd__o31ai_1)
0.1137 0.2011 22.9648 v housekeeping/_6305_/Y (sky130_fd_sc_hd__o31ai_1)
1 0.0030 housekeeping/_3134_ (net)
0.1137 0.0000 22.9648 v housekeeping/_6306_/B1 (sky130_fd_sc_hd__a22o_1)
0.0682 0.3505 23.3153 v housekeeping/_6306_/X (sky130_fd_sc_hd__a22o_1)
1 0.0025 housekeeping/_0775_ (net)
0.0682 0.0000 23.3153 v housekeeping/_7132_/D (sky130_fd_sc_hd__dfrtp_4)
23.3153 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0159 29.7210 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3468 30.0678 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 30.0687 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2119 30.2805 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0002 30.2808 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2527 30.5335 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0014 30.5349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2418 30.7767 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 30.7773 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3010 31.0783 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0021 31.0804 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.1000 30.9804 clock uncertainty
1.2728 32.2533 clock reconvergence pessimism
-0.2468 32.0065 library setup time
32.0065 data required time
-------------------------------------------------------------------------------------
32.0065 data required time
-23.3153 data arrival time
-------------------------------------------------------------------------------------
8.6911 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29661_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/wire1556/A (sky130_fd_sc_hd__buf_8)
0.2159 0.4184 22.4850 v soc/wire1556/X (sky130_fd_sc_hd__buf_8)
12 0.1684 soc/net1556 (net)
0.2235 0.0331 22.5181 v soc/fanout1550/A (sky130_fd_sc_hd__buf_6)
0.0509 0.3034 22.8215 v soc/fanout1550/X (sky130_fd_sc_hd__buf_6)
2 0.0156 soc/net1550 (net)
0.0509 0.0003 22.8218 v soc/fanout1549/A (sky130_fd_sc_hd__buf_12)
0.2057 0.3542 23.1760 v soc/fanout1549/X (sky130_fd_sc_hd__buf_12)
40 0.2373 soc/net1549 (net)
0.2057 0.0031 23.1791 v soc/_15777_/A2 (sky130_fd_sc_hd__o21ai_4)
1.3375 1.1633 24.3424 ^ soc/_15777_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.1235 soc/_05762_ (net)
1.3379 0.0206 24.3631 ^ soc/fanout932/A (sky130_fd_sc_hd__buf_6)
0.1419 0.6105 24.9735 ^ soc/fanout932/X (sky130_fd_sc_hd__buf_6)
4 0.0354 soc/net932 (net)
0.1419 0.0002 24.9737 ^ soc/max_length934/A (sky130_fd_sc_hd__buf_6)
0.3348 0.4140 25.3877 ^ soc/max_length934/X (sky130_fd_sc_hd__buf_6)
8 0.1052 soc/net934 (net)
0.3357 0.0152 25.4030 ^ soc/fanout929/A (sky130_fd_sc_hd__buf_12)
0.4025 0.5266 25.9296 ^ soc/fanout929/X (sky130_fd_sc_hd__buf_12)
40 0.2237 soc/net929 (net)
0.4025 0.0028 25.9324 ^ soc/fanout928/A (sky130_fd_sc_hd__clkbuf_16)
0.3130 0.5730 26.5055 ^ soc/fanout928/X (sky130_fd_sc_hd__clkbuf_16)
40 0.1979 soc/net928 (net)
0.3179 0.0325 26.5379 ^ soc/_16082_/C1 (sky130_fd_sc_hd__o221a_1)
0.1283 0.4900 27.0280 ^ soc/_16082_/X (sky130_fd_sc_hd__o221a_1)
1 0.0052 soc/_06059_ (net)
0.1283 0.0001 27.0281 ^ soc/_16083_/B1 (sky130_fd_sc_hd__a211o_1)
0.0765 0.2009 27.2289 ^ soc/_16083_/X (sky130_fd_sc_hd__a211o_1)
1 0.0033 soc/_06060_ (net)
0.0765 0.0000 27.2290 ^ soc/_16088_/C (sky130_fd_sc_hd__and3_1)
0.1142 0.3471 27.5761 ^ soc/_16088_/X (sky130_fd_sc_hd__and3_1)
1 0.0049 soc/_06065_ (net)
0.1142 0.0001 27.5761 ^ soc/_16117_/A2 (sky130_fd_sc_hd__o22a_1)
0.0709 0.2639 27.8400 ^ soc/_16117_/X (sky130_fd_sc_hd__o22a_1)
1 0.0024 soc/_00030_ (net)
0.0709 0.0000 27.8400 ^ soc/_29661_/D (sky130_fd_sc_hd__dfxtp_1)
27.8400 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1318 0.0008 33.7117 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1363 0.2987 34.0104 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0428 soc/clknet_4_3_0_core_clk (net)
0.1363 0.0019 34.0123 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0691 0.2421 34.2544 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_6_0_core_clk (net)
0.0691 0.0005 34.2549 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7758 0.7147 34.9697 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3002 soc/clknet_5_6_1_core_clk (net)
0.7760 0.0099 34.9796 ^ soc/clkbuf_leaf_104_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1668 0.5578 35.5374 ^ soc/clkbuf_leaf_104_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
36 0.0878 soc/clknet_leaf_104_core_clk (net)
0.1668 0.0004 35.5378 ^ soc/_29661_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4378 clock uncertainty
1.2728 36.7107 clock reconvergence pessimism
-0.1122 36.5984 library setup time
36.5984 data required time
-------------------------------------------------------------------------------------
36.5984 data required time
-27.8400 data arrival time
-------------------------------------------------------------------------------------
8.7584 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29664_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2104 0.0377 22.3517 v soc/_15773_/A2 (sky130_fd_sc_hd__o21ai_1)
0.4350 0.4752 22.8269 ^ soc/_15773_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.0123 soc/_05758_ (net)
0.4350 0.0004 22.8273 ^ soc/fanout980/A (sky130_fd_sc_hd__buf_8)
0.2687 0.4809 23.3082 ^ soc/fanout980/X (sky130_fd_sc_hd__buf_8)
12 0.1043 soc/net980 (net)
0.2688 0.0051 23.3132 ^ soc/wire981/A (sky130_fd_sc_hd__buf_6)
0.4760 0.5596 23.8728 ^ soc/wire981/X (sky130_fd_sc_hd__buf_6)
8 0.1522 soc/net981 (net)
0.4777 0.0243 23.8971 ^ soc/fanout974/A (sky130_fd_sc_hd__buf_12)
0.4070 0.6003 24.4974 ^ soc/fanout974/X (sky130_fd_sc_hd__buf_12)
40 0.2285 soc/net974 (net)
0.4088 0.0232 24.5206 ^ soc/fanout973/A (sky130_fd_sc_hd__buf_12)
0.3510 0.5334 25.0540 ^ soc/fanout973/X (sky130_fd_sc_hd__buf_12)
40 0.1947 soc/net973 (net)
0.3514 0.0106 25.0646 ^ soc/_16195_/S (sky130_fd_sc_hd__mux2_1)
0.1477 0.8764 25.9410 v soc/_16195_/X (sky130_fd_sc_hd__mux2_1)
1 0.0067 soc/_06169_ (net)
0.1477 0.0001 25.9411 v soc/_16198_/A1 (sky130_fd_sc_hd__a211o_1)
0.1486 0.6120 26.5531 v soc/_16198_/X (sky130_fd_sc_hd__a211o_1)
1 0.0100 soc/_06172_ (net)
0.1486 0.0004 26.5535 v soc/_16199_/B1 (sky130_fd_sc_hd__o31a_1)
0.1242 0.2688 26.8223 v soc/_16199_/X (sky130_fd_sc_hd__o31a_1)
1 0.0074 soc/_06173_ (net)
0.1242 0.0002 26.8225 v soc/_16209_/A2 (sky130_fd_sc_hd__a211o_1)
0.0996 0.5981 27.4207 v soc/_16209_/X (sky130_fd_sc_hd__a211o_1)
1 0.0043 soc/_06183_ (net)
0.0996 0.0001 27.4207 v soc/_16228_/B1 (sky130_fd_sc_hd__o31a_1)
0.1640 0.2856 27.7063 v soc/_16228_/X (sky130_fd_sc_hd__o31a_1)
1 0.0124 soc/_00002_ (net)
0.1640 0.0006 27.7069 v soc/_29664_/D (sky130_fd_sc_hd__dfxtp_1)
27.7069 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0049 32.4489 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2515 32.7004 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0009 32.7013 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.2800 32.9813 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 32.9828 ^ soc/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0794 0.2559 33.2387 ^ soc/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0183 soc/clknet_3_6_0_core_clk (net)
0.0794 0.0009 33.2396 ^ soc/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0771 0.2246 33.4642 ^ soc/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0173 soc/clknet_3_6_1_core_clk (net)
0.0771 0.0008 33.4650 ^ soc/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1629 0.2940 33.7590 ^ soc/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0538 soc/clknet_3_6_2_core_clk (net)
0.1629 0.0022 33.7612 ^ soc/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1538 0.3255 34.0866 ^ soc/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0499 soc/clknet_4_12_0_core_clk (net)
0.1538 0.0019 34.0885 ^ soc/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0739 0.2545 34.3430 ^ soc/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0158 soc/clknet_5_24_0_core_clk (net)
0.0739 0.0006 34.3437 ^ soc/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7340 0.6848 35.0284 ^ soc/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
32 0.2849 soc/clknet_5_24_1_core_clk (net)
0.7341 0.0076 35.0361 ^ soc/clkbuf_leaf_133_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1580 0.5407 35.5767 ^ soc/clkbuf_leaf_133_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0819 soc/clknet_leaf_133_core_clk (net)
0.1580 0.0014 35.5781 ^ soc/_29664_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4781 clock uncertainty
1.2728 36.7510 clock reconvergence pessimism
-0.2843 36.4667 library setup time
36.4667 data required time
-------------------------------------------------------------------------------------
36.4667 data required time
-27.7069 data arrival time
-------------------------------------------------------------------------------------
8.7597 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29668_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0766 0.3301 22.3967 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0348 soc/net1546 (net)
0.0766 0.0005 22.3972 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1791 0.3383 22.7355 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1079 soc/net1548 (net)
0.1791 0.0029 22.7385 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1845 0.3918 23.1303 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.1965 soc/net1543 (net)
0.1862 0.0153 23.1456 v soc/_15776_/A2 (sky130_fd_sc_hd__o21ai_4)
1.1735 1.0366 24.1822 ^ soc/_15776_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1074 soc/_05761_ (net)
1.1735 0.0011 24.1832 ^ soc/fanout957/A (sky130_fd_sc_hd__buf_6)
0.2295 0.6453 24.8285 ^ soc/fanout957/X (sky130_fd_sc_hd__buf_6)
8 0.0676 soc/net957 (net)
0.2295 0.0004 24.8289 ^ soc/fanout954/A (sky130_fd_sc_hd__buf_12)
0.4148 0.4994 25.3282 ^ soc/fanout954/X (sky130_fd_sc_hd__buf_12)
40 0.2311 soc/net954 (net)
0.4151 0.0096 25.3378 ^ soc/fanout953/A (sky130_fd_sc_hd__clkbuf_16)
0.3042 0.5711 25.9089 ^ soc/fanout953/X (sky130_fd_sc_hd__clkbuf_16)
40 0.1913 soc/net953 (net)
0.3086 0.0303 25.9392 ^ soc/_16340_/C1 (sky130_fd_sc_hd__o221a_1)
0.2615 0.6051 26.5443 ^ soc/_16340_/X (sky130_fd_sc_hd__o221a_1)
1 0.0146 soc/_06310_ (net)
0.2615 0.0006 26.5449 ^ soc/_16342_/C1 (sky130_fd_sc_hd__a211o_1)
0.0942 0.2667 26.8116 ^ soc/_16342_/X (sky130_fd_sc_hd__a211o_1)
1 0.0048 soc/_06312_ (net)
0.0942 0.0001 26.8117 ^ soc/_16356_/A2 (sky130_fd_sc_hd__a32o_1)
0.2526 0.4977 27.3094 ^ soc/_16356_/X (sky130_fd_sc_hd__a32o_1)
1 0.0137 soc/_06326_ (net)
0.2526 0.0005 27.3100 ^ soc/_16375_/A2 (sky130_fd_sc_hd__o22a_1)
0.0656 0.3139 27.6238 ^ soc/_16375_/X (sky130_fd_sc_hd__o22a_1)
1 0.0021 soc/_00006_ (net)
0.0656 0.0000 27.6238 ^ soc/_29668_/D (sky130_fd_sc_hd__dfxtp_1)
27.6238 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1319 0.0030 33.7139 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1340 0.2968 34.0107 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0418 soc/clknet_4_2_0_core_clk (net)
0.1341 0.0029 34.0136 ^ soc/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0694 0.2413 34.2549 ^ soc/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_5_0_core_clk (net)
0.0694 0.0006 34.2555 ^ soc/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5689 0.5733 34.8288 ^ soc/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2178 soc/clknet_5_5_1_core_clk (net)
0.5690 0.0068 34.8356 ^ soc/clkbuf_leaf_8_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1752 0.5041 35.3397 ^ soc/clkbuf_leaf_8_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0967 soc/clknet_leaf_8_core_clk (net)
0.1753 0.0025 35.3423 ^ soc/_29668_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.2423 clock uncertainty
1.2728 36.5151 clock reconvergence pessimism
-0.1095 36.4056 library setup time
36.4056 data required time
-------------------------------------------------------------------------------------
36.4056 data required time
-27.6238 data arrival time
-------------------------------------------------------------------------------------
8.7818 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30434_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1938 0.0002 24.1766 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2180 0.3591 24.5357 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0665 soc/net1407 (net)
0.2180 0.0011 24.5368 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2750 0.4025 24.9393 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1070 soc/net1409 (net)
0.2759 0.0130 24.9522 ^ soc/_23495_/S (sky130_fd_sc_hd__mux2_1)
0.1298 0.8281 25.7803 v soc/_23495_/X (sky130_fd_sc_hd__mux2_1)
1 0.0045 soc/_10941_ (net)
0.1298 0.0001 25.7804 v soc/_23496_/A1 (sky130_fd_sc_hd__mux2_1)
0.1257 0.7228 26.5033 v soc/_23496_/X (sky130_fd_sc_hd__mux2_1)
1 0.0042 soc/_02513_ (net)
0.1257 0.0001 26.5033 v soc/hold258/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1130 1.2039 27.7072 v soc/hold258/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0034 soc/net4477 (net)
0.1130 0.0000 27.7073 v soc/_30434_/D (sky130_fd_sc_hd__dfxtp_2)
27.7073 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1210 0.0029 33.7132 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1396 0.2967 34.0099 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0442 soc/clknet_4_8_0_core_clk (net)
0.1397 0.0015 34.0114 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0698 0.2443 34.2556 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0140 soc/clknet_5_16_0_core_clk (net)
0.0698 0.0006 34.2562 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8384 0.7571 35.0133 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3252 soc/clknet_5_16_1_core_clk (net)
0.8389 0.0153 35.0286 ^ soc/clkbuf_leaf_325_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1584 0.5668 35.5954 ^ soc/clkbuf_leaf_325_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0808 soc/clknet_leaf_325_core_clk (net)
0.1584 0.0018 35.5972 ^ soc/_30434_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.4972 clock uncertainty
1.2728 36.7700 clock reconvergence pessimism
-0.2683 36.5017 library setup time
36.5017 data required time
-------------------------------------------------------------------------------------
36.5017 data required time
-27.7073 data arrival time
-------------------------------------------------------------------------------------
8.7945 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30432_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1938 0.0002 24.1766 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2180 0.3591 24.5357 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0665 soc/net1407 (net)
0.2180 0.0011 24.5368 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2750 0.4025 24.9393 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1070 soc/net1409 (net)
0.2761 0.0148 24.9540 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.3798 0.5053 25.4593 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1206 soc/net1408 (net)
0.3808 0.0169 25.4762 ^ soc/_23491_/S (sky130_fd_sc_hd__mux2_1)
0.1066 0.8165 26.2927 v soc/_23491_/X (sky130_fd_sc_hd__mux2_1)
1 0.0022 soc/_10939_ (net)
0.1066 0.0000 26.2927 v soc/_23492_/A1 (sky130_fd_sc_hd__mux2_1)
0.3196 0.9420 27.2347 v soc/_23492_/X (sky130_fd_sc_hd__mux2_1)
2 0.0277 soc/_02511_ (net)
0.3196 0.0024 27.2371 v soc/_30432_/D (sky130_fd_sc_hd__dfxtp_4)
27.2371 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0014 33.9556 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0608 0.2243 34.1799 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0097 soc/clknet_5_1_0_core_clk (net)
0.0608 0.0003 34.1802 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5977 0.5904 34.7706 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2293 soc/clknet_5_1_1_core_clk (net)
0.5980 0.0112 34.7818 ^ soc/clkbuf_leaf_398_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1147 0.4684 35.2502 ^ soc/clkbuf_leaf_398_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0513 soc/clknet_leaf_398_core_clk (net)
0.1147 0.0011 35.2513 ^ soc/_30432_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.1513 clock uncertainty
1.2728 36.4241 clock reconvergence pessimism
-0.3634 36.0607 library setup time
36.0607 data required time
-------------------------------------------------------------------------------------
36.0607 data required time
-27.2371 data arrival time
-------------------------------------------------------------------------------------
8.8236 slack (MET)
Startpoint: soc/_31022_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_7142_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1267 0.0018 10.3225 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1371 0.3203 10.6428 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0431 soc/clknet_4_14_0_core_clk (net)
0.1371 0.0021 10.6449 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0729 0.2654 10.9103 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0154 soc/clknet_5_28_0_core_clk (net)
0.0729 0.0007 10.9110 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6708 0.6937 11.6046 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2585 soc/clknet_5_28_1_core_clk (net)
0.6713 0.0167 11.6213 ^ soc/clkbuf_leaf_219_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1272 0.5397 12.1610 ^ soc/clkbuf_leaf_219_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0598 soc/clknet_leaf_219_core_clk (net)
0.1272 0.0010 12.1620 ^ soc/_31022_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1469 0.7134 12.8754 v soc/_31022_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0153 soc/core.grant[1] (net)
0.1469 0.0008 12.8762 v soc/fanout3540/A (sky130_fd_sc_hd__buf_6)
0.1284 0.3385 13.2146 v soc/fanout3540/X (sky130_fd_sc_hd__buf_6)
6 0.0729 soc/net3540 (net)
0.1284 0.0008 13.2155 v soc/fanout3539/A (sky130_fd_sc_hd__buf_12)
0.1849 0.3830 13.5984 v soc/fanout3539/X (sky130_fd_sc_hd__buf_12)
26 0.2015 soc/net3539 (net)
0.1866 0.0148 13.6132 v soc/_13829_/A (sky130_fd_sc_hd__inv_2)
0.0985 0.1609 13.7741 ^ soc/_13829_/Y (sky130_fd_sc_hd__inv_2)
1 0.0101 soc/_13525_ (net)
0.0985 0.0002 13.7743 ^ soc/fanout3375/A (sky130_fd_sc_hd__buf_8)
0.2410 0.3297 14.1040 ^ soc/fanout3375/X (sky130_fd_sc_hd__buf_8)
10 0.0931 soc/net3375 (net)
0.2411 0.0034 14.1074 ^ soc/fanout3374/A (sky130_fd_sc_hd__buf_8)
0.4176 0.5119 14.6193 ^ soc/fanout3374/X (sky130_fd_sc_hd__buf_8)
36 0.1666 soc/net3374 (net)
0.4197 0.0246 14.6439 ^ soc/_14126_/A (sky130_fd_sc_hd__nand2_1)
0.2474 0.3752 15.0191 v soc/_14126_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0128 soc/_13628_ (net)
0.2474 0.0003 15.0195 v soc/fanout3129/A (sky130_fd_sc_hd__buf_12)
0.2177 0.4704 15.4899 v soc/fanout3129/X (sky130_fd_sc_hd__buf_12)
40 0.2540 soc/net3129 (net)
0.2230 0.0279 15.5178 v soc/fanout3128/A (sky130_fd_sc_hd__buf_12)
0.1919 0.4341 15.9519 v soc/fanout3128/X (sky130_fd_sc_hd__buf_12)
40 0.2096 soc/net3128 (net)
0.1947 0.0196 15.9715 v soc/_14158_/A2 (sky130_fd_sc_hd__o21ai_4)
0.5418 0.5551 16.5266 ^ soc/_14158_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0445 soc/_13648_ (net)
0.5418 0.0037 16.5302 ^ soc/hold132/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3206 1.4523 17.9825 ^ soc/hold132/X (sky130_fd_sc_hd__dlygate4sd3_1)
2 0.0195 soc/net4351 (net)
0.3206 0.0013 17.9838 ^ soc/wire2721/A (sky130_fd_sc_hd__buf_4)
0.5795 0.6952 18.6791 ^ soc/wire2721/X (sky130_fd_sc_hd__buf_4)
6 0.1326 soc/net2721 (net)
0.5822 0.0341 18.7132 ^ soc/wire2720/A (sky130_fd_sc_hd__clkbuf_16)
0.2819 0.6047 19.3180 ^ soc/wire2720/X (sky130_fd_sc_hd__clkbuf_16)
6 0.1681 soc/net2720 (net)
0.2949 0.0492 19.3671 ^ soc/_14159_/A (sky130_fd_sc_hd__clkinv_16)
0.1880 0.2547 19.6218 v soc/_14159_/Y (sky130_fd_sc_hd__clkinv_16)
7 0.1441 soc/net662 (net)
0.1880 0.0006 19.6225 v soc/max_length2392/A (sky130_fd_sc_hd__buf_6)
0.1349 0.3593 19.9818 v soc/max_length2392/X (sky130_fd_sc_hd__buf_6)
4 0.0764 soc/net2392 (net)
0.1371 0.0142 19.9960 v soc/wire2391/A (sky130_fd_sc_hd__buf_4)
0.2147 0.4347 20.4307 v soc/wire2391/X (sky130_fd_sc_hd__buf_4)
2 0.0881 soc/net2391 (net)
0.2171 0.0192 20.4499 v soc/wire2390/A (sky130_fd_sc_hd__buf_12)
0.1641 0.4020 20.8519 v soc/wire2390/X (sky130_fd_sc_hd__buf_12)
5 0.1710 soc/net2390 (net)
0.1696 0.0246 20.8765 v soc/wire2389/A (sky130_fd_sc_hd__buf_6)
0.1507 0.3714 21.2478 v soc/wire2389/X (sky130_fd_sc_hd__buf_6)
2 0.0898 soc/net2389 (net)
0.1510 0.0055 21.2533 v soc/output662/A (sky130_fd_sc_hd__buf_12)
0.0965 0.3230 21.5763 v soc/output662/X (sky130_fd_sc_hd__buf_12)
3 0.0862 mprj_dat_o_core[25] (net)
0.1003 0.0152 21.5915 v housekeeping/input149/A (sky130_fd_sc_hd__clkbuf_1)
0.1191 0.2397 21.8311 v housekeeping/input149/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0095 housekeeping/net149 (net)
0.1191 0.0002 21.8314 v housekeeping/_6327_/A2 (sky130_fd_sc_hd__a221o_1)
0.1218 0.7166 22.5480 v housekeeping/_6327_/X (sky130_fd_sc_hd__a221o_1)
1 0.0059 housekeeping/_3146_ (net)
0.1218 0.0002 22.5482 v housekeeping/_6328_/A0 (sky130_fd_sc_hd__mux2_1)
0.1075 0.6628 23.2109 v housekeeping/_6328_/X (sky130_fd_sc_hd__mux2_1)
1 0.0023 housekeeping/_0785_ (net)
0.1075 0.0000 23.2109 v housekeeping/_7142_/D (sky130_fd_sc_hd__dfrtp_1)
23.2109 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0159 29.7210 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3468 30.0678 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 30.0687 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2119 30.2805 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0002 30.2808 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2527 30.5335 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0014 30.5349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2418 30.7767 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 30.7773 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.2385 0.3485 31.1258 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0844 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.2385 0.0017 31.1275 ^ housekeeping/_7142_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.0275 clock uncertainty
1.2728 32.3003 clock reconvergence pessimism
-0.2472 32.0531 library setup time
32.0531 data required time
-------------------------------------------------------------------------------------
32.0531 data required time
-23.2109 data arrival time
-------------------------------------------------------------------------------------
8.8421 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29674_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2104 0.0377 22.3517 v soc/_15773_/A2 (sky130_fd_sc_hd__o21ai_1)
0.4350 0.4752 22.8269 ^ soc/_15773_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.0123 soc/_05758_ (net)
0.4350 0.0004 22.8273 ^ soc/fanout980/A (sky130_fd_sc_hd__buf_8)
0.2687 0.4809 23.3082 ^ soc/fanout980/X (sky130_fd_sc_hd__buf_8)
12 0.1043 soc/net980 (net)
0.2688 0.0051 23.3132 ^ soc/wire981/A (sky130_fd_sc_hd__buf_6)
0.4760 0.5596 23.8728 ^ soc/wire981/X (sky130_fd_sc_hd__buf_6)
8 0.1522 soc/net981 (net)
0.4777 0.0243 23.8971 ^ soc/fanout974/A (sky130_fd_sc_hd__buf_12)
0.4070 0.6003 24.4974 ^ soc/fanout974/X (sky130_fd_sc_hd__buf_12)
40 0.2285 soc/net974 (net)
0.4088 0.0232 24.5206 ^ soc/fanout973/A (sky130_fd_sc_hd__buf_12)
0.3510 0.5334 25.0540 ^ soc/fanout973/X (sky130_fd_sc_hd__buf_12)
40 0.1947 soc/net973 (net)
0.3513 0.0091 25.0631 ^ soc/_16564_/S (sky130_fd_sc_hd__mux2_1)
0.1178 0.8252 25.8883 v soc/_16564_/X (sky130_fd_sc_hd__mux2_1)
1 0.0031 soc/_06528_ (net)
0.1178 0.0000 25.8884 v soc/_16565_/A1 (sky130_fd_sc_hd__a211o_1)
0.1715 0.6233 26.5117 v soc/_16565_/X (sky130_fd_sc_hd__a211o_1)
1 0.0124 soc/_06529_ (net)
0.1715 0.0006 26.5122 v soc/_16579_/A3 (sky130_fd_sc_hd__a32o_1)
0.0704 0.5158 27.0281 v soc/_16579_/X (sky130_fd_sc_hd__a32o_1)
1 0.0027 soc/_06543_ (net)
0.0704 0.0000 27.0281 v soc/_16598_/A2 (sky130_fd_sc_hd__o22a_1)
0.2287 0.5334 27.5615 v soc/_16598_/X (sky130_fd_sc_hd__o22a_1)
2 0.0193 soc/_00013_ (net)
0.2287 0.0011 27.5626 v soc/_29674_/D (sky130_fd_sc_hd__dfxtp_1)
27.5626 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0049 32.4489 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2515 32.7004 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0009 32.7013 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.2800 32.9813 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 32.9828 ^ soc/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0794 0.2559 33.2387 ^ soc/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0183 soc/clknet_3_6_0_core_clk (net)
0.0794 0.0009 33.2396 ^ soc/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0771 0.2246 33.4642 ^ soc/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0173 soc/clknet_3_6_1_core_clk (net)
0.0771 0.0008 33.4650 ^ soc/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1629 0.2940 33.7590 ^ soc/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0538 soc/clknet_3_6_2_core_clk (net)
0.1629 0.0022 33.7612 ^ soc/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1538 0.3255 34.0866 ^ soc/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0499 soc/clknet_4_12_0_core_clk (net)
0.1538 0.0019 34.0885 ^ soc/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0739 0.2545 34.3430 ^ soc/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0158 soc/clknet_5_24_0_core_clk (net)
0.0739 0.0006 34.3437 ^ soc/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7340 0.6848 35.0284 ^ soc/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
32 0.2849 soc/clknet_5_24_1_core_clk (net)
0.7341 0.0079 35.0364 ^ soc/clkbuf_leaf_135_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1410 0.5272 35.5635 ^ soc/clkbuf_leaf_135_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.0693 soc/clknet_leaf_135_core_clk (net)
0.1410 0.0015 35.5651 ^ soc/_29674_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4651 clock uncertainty
1.2728 36.7379 clock reconvergence pessimism
-0.3158 36.4222 library setup time
36.4222 data required time
-------------------------------------------------------------------------------------
36.4222 data required time
-27.5626 data arrival time
-------------------------------------------------------------------------------------
8.8595 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29676_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1975 0.0007 21.7933 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.2860 0.4054 22.1987 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0887 soc/net1751 (net)
0.2860 0.0007 22.1995 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.4876 0.6154 22.8149 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1110 soc/net1750 (net)
0.4886 0.0187 22.8336 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2142 0.4644 23.2980 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0646 soc/net1726 (net)
0.2142 0.0006 23.2986 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.3829 0.7201 24.0187 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0753 soc/_05755_ (net)
0.3833 0.0113 24.0300 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0695 0.3109 24.3409 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0140 soc/net1468 (net)
0.0695 0.0000 24.3409 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.3920 0.4191 24.7601 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1248 soc/net1470 (net)
0.3928 0.0157 24.7758 ^ soc/fanout1464/A (sky130_fd_sc_hd__buf_12)
0.3942 0.5493 25.3251 ^ soc/fanout1464/X (sky130_fd_sc_hd__buf_12)
40 0.2196 soc/net1464 (net)
0.3942 0.0014 25.3266 ^ soc/fanout1463/A (sky130_fd_sc_hd__clkbuf_16)
0.3019 0.5667 25.8932 ^ soc/fanout1463/X (sky130_fd_sc_hd__clkbuf_16)
40 0.1897 soc/net1463 (net)
0.3019 0.0035 25.8968 ^ soc/_16655_/A3 (sky130_fd_sc_hd__o311a_1)
0.1151 0.4069 26.3037 ^ soc/_16655_/X (sky130_fd_sc_hd__o311a_1)
1 0.0047 soc/_06617_ (net)
0.1151 0.0001 26.3038 ^ soc/_16657_/B1 (sky130_fd_sc_hd__a211o_1)
0.3226 0.3857 26.6895 ^ soc/_16657_/X (sky130_fd_sc_hd__a211o_1)
2 0.0229 soc/_06619_ (net)
0.3226 0.0016 26.6911 ^ soc/_16671_/A1 (sky130_fd_sc_hd__a32oi_1)
0.1732 0.3004 26.9914 v soc/_16671_/Y (sky130_fd_sc_hd__a32oi_1)
1 0.0030 soc/_06633_ (net)
0.1732 0.0000 26.9915 v soc/_16672_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.2870 0.6764 27.6678 ^ soc/_16672_/X (sky130_fd_sc_hd__o2bb2a_1)
1 0.0178 soc/_00015_ (net)
0.2870 0.0007 27.6686 ^ soc/_29676_/D (sky130_fd_sc_hd__dfxtp_1)
27.6686 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0019 34.0039 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0690 0.2399 34.2438 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_18_0_core_clk (net)
0.0690 0.0006 34.2444 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8416 0.7613 35.0057 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3266 soc/clknet_5_18_1_core_clk (net)
0.8416 0.0044 35.0100 ^ soc/clkbuf_leaf_264_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1464 0.5573 35.5674 ^ soc/clkbuf_leaf_264_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.0717 soc/clknet_leaf_264_core_clk (net)
0.1464 0.0024 35.5698 ^ soc/_29676_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4698 clock uncertainty
1.2728 36.7426 clock reconvergence pessimism
-0.1717 36.5709 library setup time
36.5709 data required time
-------------------------------------------------------------------------------------
36.5709 data required time
-27.6686 data arrival time
-------------------------------------------------------------------------------------
8.9023 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30451_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1938 0.0002 24.1766 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2180 0.3591 24.5357 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0665 soc/net1407 (net)
0.2180 0.0011 24.5368 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2750 0.4025 24.9393 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1070 soc/net1409 (net)
0.2761 0.0148 24.9540 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.3798 0.5053 25.4593 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1206 soc/net1408 (net)
0.3801 0.0096 25.4689 ^ soc/_23529_/S (sky130_fd_sc_hd__mux2_1)
0.2590 1.0208 26.4898 v soc/_23529_/X (sky130_fd_sc_hd__mux2_1)
2 0.0204 soc/_10958_ (net)
0.2590 0.0014 26.4911 v soc/_23530_/A1 (sky130_fd_sc_hd__mux2_1)
0.1079 0.7481 27.2392 v soc/_23530_/X (sky130_fd_sc_hd__mux2_1)
1 0.0025 soc/_02530_ (net)
0.1079 0.0000 27.2392 v soc/_30451_/D (sky130_fd_sc_hd__dfxtp_4)
27.2392 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0014 33.9556 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0608 0.2243 34.1799 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0097 soc/clknet_5_1_0_core_clk (net)
0.0608 0.0003 34.1802 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5977 0.5904 34.7706 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2293 soc/clknet_5_1_1_core_clk (net)
0.5978 0.0050 34.7756 ^ soc/clkbuf_leaf_396_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1308 0.4812 35.2568 ^ soc/clkbuf_leaf_396_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0635 soc/clknet_leaf_396_core_clk (net)
0.1308 0.0008 35.2577 ^ soc/_30451_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.1577 clock uncertainty
1.2728 36.4305 clock reconvergence pessimism
-0.2723 36.1582 library setup time
36.1582 data required time
-------------------------------------------------------------------------------------
36.1582 data required time
-27.2392 data arrival time
-------------------------------------------------------------------------------------
8.9190 slack (MET)
Startpoint: soc/_31022_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_7141_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1267 0.0018 10.3225 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1371 0.3203 10.6428 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0431 soc/clknet_4_14_0_core_clk (net)
0.1371 0.0021 10.6449 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0729 0.2654 10.9103 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0154 soc/clknet_5_28_0_core_clk (net)
0.0729 0.0007 10.9110 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6708 0.6937 11.6046 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2585 soc/clknet_5_28_1_core_clk (net)
0.6713 0.0167 11.6213 ^ soc/clkbuf_leaf_219_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1272 0.5397 12.1610 ^ soc/clkbuf_leaf_219_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0598 soc/clknet_leaf_219_core_clk (net)
0.1272 0.0010 12.1620 ^ soc/_31022_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1469 0.7134 12.8754 v soc/_31022_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0153 soc/core.grant[1] (net)
0.1469 0.0008 12.8762 v soc/fanout3540/A (sky130_fd_sc_hd__buf_6)
0.1284 0.3385 13.2146 v soc/fanout3540/X (sky130_fd_sc_hd__buf_6)
6 0.0729 soc/net3540 (net)
0.1284 0.0008 13.2155 v soc/fanout3539/A (sky130_fd_sc_hd__buf_12)
0.1849 0.3830 13.5984 v soc/fanout3539/X (sky130_fd_sc_hd__buf_12)
26 0.2015 soc/net3539 (net)
0.1866 0.0148 13.6132 v soc/_13829_/A (sky130_fd_sc_hd__inv_2)
0.0985 0.1609 13.7741 ^ soc/_13829_/Y (sky130_fd_sc_hd__inv_2)
1 0.0101 soc/_13525_ (net)
0.0985 0.0002 13.7743 ^ soc/fanout3375/A (sky130_fd_sc_hd__buf_8)
0.2410 0.3297 14.1040 ^ soc/fanout3375/X (sky130_fd_sc_hd__buf_8)
10 0.0931 soc/net3375 (net)
0.2411 0.0034 14.1074 ^ soc/fanout3374/A (sky130_fd_sc_hd__buf_8)
0.4176 0.5119 14.6193 ^ soc/fanout3374/X (sky130_fd_sc_hd__buf_8)
36 0.1666 soc/net3374 (net)
0.4197 0.0246 14.6439 ^ soc/_14126_/A (sky130_fd_sc_hd__nand2_1)
0.2474 0.3752 15.0191 v soc/_14126_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0128 soc/_13628_ (net)
0.2474 0.0003 15.0195 v soc/fanout3129/A (sky130_fd_sc_hd__buf_12)
0.2177 0.4704 15.4899 v soc/fanout3129/X (sky130_fd_sc_hd__buf_12)
40 0.2540 soc/net3129 (net)
0.2230 0.0279 15.5178 v soc/fanout3128/A (sky130_fd_sc_hd__buf_12)
0.1919 0.4341 15.9519 v soc/fanout3128/X (sky130_fd_sc_hd__buf_12)
40 0.2096 soc/net3128 (net)
0.1958 0.0227 15.9746 v soc/_14191_/A2 (sky130_fd_sc_hd__o21ai_1)
0.1519 0.2365 16.2110 ^ soc/_14191_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.0022 soc/_13664_ (net)
0.1519 0.0000 16.2110 ^ soc/hold157/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1003 1.1190 17.3300 ^ soc/hold157/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0031 soc/net4376 (net)
0.1003 0.0000 17.3300 ^ soc/wire2656/A (sky130_fd_sc_hd__buf_4)
0.5141 0.5489 17.8790 ^ soc/wire2656/X (sky130_fd_sc_hd__buf_4)
8 0.1176 soc/net2656 (net)
0.5168 0.0310 17.9100 ^ soc/wire2655/A (sky130_fd_sc_hd__buf_6)
0.5338 0.6748 18.5848 ^ soc/wire2655/X (sky130_fd_sc_hd__buf_6)
8 0.1706 soc/net2655 (net)
0.5458 0.0661 18.6509 ^ soc/wire2654/A (sky130_fd_sc_hd__buf_6)
0.4694 0.6676 19.3185 ^ soc/wire2654/X (sky130_fd_sc_hd__buf_6)
12 0.1506 soc/net2654 (net)
0.4699 0.0133 19.3318 ^ soc/_14192_/A (sky130_fd_sc_hd__inv_12)
0.1131 0.1957 19.5275 v soc/_14192_/Y (sky130_fd_sc_hd__inv_12)
4 0.0514 soc/net675 (net)
0.1132 0.0038 19.5313 v soc/wire2358/A (sky130_fd_sc_hd__buf_4)
0.2173 0.4304 19.9616 v soc/wire2358/X (sky130_fd_sc_hd__buf_4)
4 0.0933 soc/net2358 (net)
0.2209 0.0230 19.9846 v soc/wire2357/A (sky130_fd_sc_hd__buf_4)
0.2125 0.4810 20.4656 v soc/wire2357/X (sky130_fd_sc_hd__buf_4)
2 0.0916 soc/net2357 (net)
0.2159 0.0222 20.4878 v soc/wire2356/A (sky130_fd_sc_hd__buf_12)
0.1306 0.3729 20.8607 v soc/wire2356/X (sky130_fd_sc_hd__buf_12)
5 0.1286 soc/net2356 (net)
0.1427 0.0317 20.8924 v soc/wire2355/A (sky130_fd_sc_hd__buf_6)
0.1472 0.3429 21.2353 v soc/wire2355/X (sky130_fd_sc_hd__buf_6)
2 0.0846 soc/net2355 (net)
0.1509 0.0194 21.2546 v soc/output675/A (sky130_fd_sc_hd__buf_12)
0.1072 0.3255 21.5801 v soc/output675/X (sky130_fd_sc_hd__buf_12)
3 0.1009 mprj_dat_o_core[8] (net)
0.1200 0.0291 21.6092 v housekeeping/input162/A (sky130_fd_sc_hd__clkbuf_1)
0.0693 0.2084 21.8176 v housekeeping/input162/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0047 housekeeping/net162 (net)
0.0693 0.0001 21.8177 v housekeeping/_6324_/B1 (sky130_fd_sc_hd__a221o_1)
0.1072 0.5812 22.3989 v housekeeping/_6324_/X (sky130_fd_sc_hd__a221o_1)
1 0.0042 housekeeping/_3144_ (net)
0.1072 0.0001 22.3990 v housekeeping/_6325_/A0 (sky130_fd_sc_hd__mux2_1)
0.1094 0.6599 23.0589 v housekeeping/_6325_/X (sky130_fd_sc_hd__mux2_1)
1 0.0024 housekeeping/_0784_ (net)
0.1094 0.0000 23.0589 v housekeeping/_7141_/D (sky130_fd_sc_hd__dfrtp_1)
23.0589 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0159 29.7210 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3468 30.0678 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 30.0687 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2119 30.2805 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0002 30.2808 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2527 30.5335 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0014 30.5349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2418 30.7767 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 30.7773 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3010 31.0783 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0021 31.0804 ^ housekeeping/_7141_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 30.9804 clock uncertainty
1.2728 32.2533 clock reconvergence pessimism
-0.2657 31.9876 library setup time
31.9876 data required time
-------------------------------------------------------------------------------------
31.9876 data required time
-23.0589 data arrival time
-------------------------------------------------------------------------------------
8.9287 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30430_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1938 0.0002 24.1766 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2180 0.3591 24.5357 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0665 soc/net1407 (net)
0.2180 0.0011 24.5368 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2750 0.4025 24.9393 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1070 soc/net1409 (net)
0.2761 0.0148 24.9540 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.3798 0.5053 25.4593 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1206 soc/net1408 (net)
0.3811 0.0189 25.4783 ^ soc/_23487_/S (sky130_fd_sc_hd__mux2_1)
0.1994 0.9481 26.4263 v soc/_23487_/X (sky130_fd_sc_hd__mux2_1)
1 0.0121 soc/_10937_ (net)
0.1994 0.0004 26.4267 v soc/_23488_/A1 (sky130_fd_sc_hd__mux2_1)
0.1223 0.7464 27.1731 v soc/_23488_/X (sky130_fd_sc_hd__mux2_1)
1 0.0039 soc/_02509_ (net)
0.1223 0.0001 27.1732 v soc/_30430_/D (sky130_fd_sc_hd__dfxtp_4)
27.1732 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0014 33.9556 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0608 0.2243 34.1799 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0097 soc/clknet_5_1_0_core_clk (net)
0.0608 0.0003 34.1802 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5977 0.5904 34.7706 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2293 soc/clknet_5_1_1_core_clk (net)
0.5977 0.0015 34.7721 ^ soc/clkbuf_leaf_377_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1153 0.4687 35.2408 ^ soc/clkbuf_leaf_377_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.0518 soc/clknet_leaf_377_core_clk (net)
0.1153 0.0007 35.2414 ^ soc/_30430_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.1414 clock uncertainty
1.2728 36.4143 clock reconvergence pessimism
-0.2823 36.1320 library setup time
36.1320 data required time
-------------------------------------------------------------------------------------
36.1320 data required time
-27.1732 data arrival time
-------------------------------------------------------------------------------------
8.9588 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30438_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1939 0.0065 24.1829 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5057 0.5965 24.7794 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1156 soc/net1413 (net)
0.5066 0.0187 24.7981 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3015 0.5312 25.3293 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.0939 soc/net1412 (net)
0.3024 0.0137 25.3430 ^ soc/_23503_/S (sky130_fd_sc_hd__mux2_1)
0.1180 0.8187 26.1617 v soc/_23503_/X (sky130_fd_sc_hd__mux2_1)
1 0.0035 soc/_10945_ (net)
0.1180 0.0000 26.1618 v soc/_23504_/A1 (sky130_fd_sc_hd__mux2_1)
0.1048 0.6829 26.8446 v soc/_23504_/X (sky130_fd_sc_hd__mux2_1)
1 0.0022 soc/_02517_ (net)
0.1048 0.0000 26.8447 v soc/_30438_/D (sky130_fd_sc_hd__dfxtp_4)
26.8447 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0019 33.6795 ^ soc/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0704 0.2320 33.9115 ^ soc/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0143 soc/clknet_4_1_0_core_clk (net)
0.0704 0.0003 33.9119 ^ soc/clkbuf_5_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0641 0.2077 34.1196 ^ soc/clkbuf_5_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0112 soc/clknet_5_3_0_core_clk (net)
0.0641 0.0003 34.1199 ^ soc/clkbuf_5_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3548 0.4279 34.5478 ^ soc/clkbuf_5_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1324 soc/clknet_5_3_1_core_clk (net)
0.3549 0.0039 34.5517 ^ soc/clkbuf_leaf_370_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1079 0.3701 34.9219 ^ soc/clkbuf_leaf_370_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0490 soc/clknet_leaf_370_core_clk (net)
0.1079 0.0003 34.9222 ^ soc/_30438_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 34.8222 clock uncertainty
1.2728 36.0950 clock reconvergence pessimism
-0.2770 35.8180 library setup time
35.8180 data required time
-------------------------------------------------------------------------------------
35.8180 data required time
-26.8447 data arrival time
-------------------------------------------------------------------------------------
8.9733 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29158_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1277 0.2954 21.9492 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0731 soc/net1540 (net)
0.1277 0.0002 21.9494 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.1995 0.3645 22.3139 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1536 soc/net1541 (net)
0.2071 0.0318 22.3457 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0910 0.3382 22.6840 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0459 soc/net1538 (net)
0.0910 0.0007 22.6847 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.1932 0.3651 23.0497 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2107 soc/net1535 (net)
0.1933 0.0031 23.0528 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7165 0.6915 23.7443 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0621 soc/_04566_ (net)
0.7165 0.0007 23.7450 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3660 0.6390 24.3840 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1157 soc/net1153 (net)
0.3684 0.0247 24.4087 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.3827 0.5394 24.9481 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1215 soc/net1152 (net)
0.3834 0.0134 24.9615 ^ soc/fanout1146/A (sky130_fd_sc_hd__buf_12)
0.3236 0.5072 25.4687 ^ soc/fanout1146/X (sky130_fd_sc_hd__buf_12)
36 0.1787 soc/net1146 (net)
0.3236 0.0008 25.4695 ^ soc/_15510_/S (sky130_fd_sc_hd__mux2_1)
0.1200 0.8281 26.2976 v soc/_15510_/X (sky130_fd_sc_hd__mux2_1)
1 0.0037 soc/_05502_ (net)
0.1200 0.0000 26.2976 v soc/_15513_/A2 (sky130_fd_sc_hd__a211o_1)
0.1318 0.6367 26.9343 v soc/_15513_/X (sky130_fd_sc_hd__a211o_1)
1 0.0081 soc/_05505_ (net)
0.1318 0.0001 26.9345 v soc/_15518_/A2 (sky130_fd_sc_hd__a32o_1)
0.1729 0.5934 27.5278 v soc/_15518_/X (sky130_fd_sc_hd__a32o_1)
1 0.0159 soc/_05510_ (net)
0.1729 0.0007 27.5285 v soc/_15536_/B2 (sky130_fd_sc_hd__o22a_1)
0.0972 0.3797 27.9082 v soc/_15536_/X (sky130_fd_sc_hd__o22a_1)
1 0.0040 soc/_00049_ (net)
0.0972 0.0001 27.9082 v soc/_29158_/D (sky130_fd_sc_hd__dfxtp_1)
27.9082 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0019 34.0039 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0690 0.2399 34.2438 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_18_0_core_clk (net)
0.0690 0.0006 34.2444 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8416 0.7613 35.0057 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3266 soc/clknet_5_18_1_core_clk (net)
0.8417 0.0066 35.0123 ^ soc/clkbuf_opt_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0795 0.4909 35.5032 ^ soc/clkbuf_opt_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0184 soc/clknet_opt_17_0_core_clk (net)
0.0795 0.0009 35.5041 ^ soc/clkbuf_opt_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0600 0.2092 35.7133 ^ soc/clkbuf_opt_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0141 soc/clknet_opt_17_1_core_clk (net)
0.0600 0.0005 35.7138 ^ soc/clkbuf_leaf_126_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1659 0.2916 36.0053 ^ soc/clkbuf_leaf_126_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
36 0.0928 soc/clknet_leaf_126_core_clk (net)
0.1659 0.0007 36.0061 ^ soc/_29158_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.9061 clock uncertainty
1.2728 37.1789 clock reconvergence pessimism
-0.2544 36.9245 library setup time
36.9245 data required time
-------------------------------------------------------------------------------------
36.9245 data required time
-27.9082 data arrival time
-------------------------------------------------------------------------------------
9.0163 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30424_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1938 0.0002 24.1766 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2180 0.3591 24.5357 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0665 soc/net1407 (net)
0.2180 0.0011 24.5368 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2750 0.4025 24.9393 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1070 soc/net1409 (net)
0.2761 0.0148 24.9540 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.3798 0.5053 25.4593 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1206 soc/net1408 (net)
0.3810 0.0180 25.4773 ^ soc/_23475_/S (sky130_fd_sc_hd__mux2_1)
0.1681 0.9109 26.3883 v soc/_23475_/X (sky130_fd_sc_hd__mux2_1)
1 0.0090 soc/_10931_ (net)
0.1681 0.0003 26.3886 v soc/_23476_/A1 (sky130_fd_sc_hd__mux2_1)
0.1117 0.7103 27.0988 v soc/_23476_/X (sky130_fd_sc_hd__mux2_1)
1 0.0026 soc/_02503_ (net)
0.1117 0.0000 27.0989 v soc/_30424_/D (sky130_fd_sc_hd__dfxtp_2)
27.0989 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0014 33.9556 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0608 0.2243 34.1799 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0097 soc/clknet_5_1_0_core_clk (net)
0.0608 0.0003 34.1802 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5977 0.5904 34.7706 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2293 soc/clknet_5_1_1_core_clk (net)
0.5977 0.0011 34.7717 ^ soc/clkbuf_leaf_386_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1388 0.4870 35.2587 ^ soc/clkbuf_leaf_386_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0695 soc/clknet_leaf_386_core_clk (net)
0.1389 0.0026 35.2614 ^ soc/_30424_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.1614 clock uncertainty
1.2728 36.4342 clock reconvergence pessimism
-0.2730 36.1612 library setup time
36.1612 data required time
-------------------------------------------------------------------------------------
36.1612 data required time
-27.0989 data arrival time
-------------------------------------------------------------------------------------
9.0623 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30446_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1939 0.0065 24.1829 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5057 0.5965 24.7794 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1156 soc/net1413 (net)
0.5066 0.0187 24.7981 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3015 0.5312 25.3293 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.0939 soc/net1412 (net)
0.3023 0.0131 25.3425 ^ soc/_23519_/S (sky130_fd_sc_hd__mux2_1)
0.1188 0.8138 26.1563 v soc/_23519_/X (sky130_fd_sc_hd__mux2_1)
1 0.0033 soc/_10953_ (net)
0.1188 0.0000 26.1563 v soc/_23520_/A1 (sky130_fd_sc_hd__mux2_1)
0.1100 0.6875 26.8438 v soc/_23520_/X (sky130_fd_sc_hd__mux2_1)
1 0.0025 soc/_02525_ (net)
0.1100 0.0000 26.8439 v soc/_30446_/D (sky130_fd_sc_hd__dfxtp_1)
26.8439 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0005 33.9547 ^ soc/clkbuf_5_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0644 0.2279 34.1826 ^ soc/clkbuf_5_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0114 soc/clknet_5_0_0_core_clk (net)
0.0644 0.0005 34.1830 ^ soc/clkbuf_5_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3937 0.4526 34.6356 ^ soc/clkbuf_5_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1478 soc/clknet_5_0_1_core_clk (net)
0.3937 0.0020 34.6376 ^ soc/clkbuf_leaf_369_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1258 0.3990 35.0366 ^ soc/clkbuf_leaf_369_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0620 soc/clknet_leaf_369_core_clk (net)
0.1258 0.0004 35.0370 ^ soc/_30446_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 34.9370 clock uncertainty
1.2728 36.2098 clock reconvergence pessimism
-0.2706 35.9393 library setup time
35.9393 data required time
-------------------------------------------------------------------------------------
35.9393 data required time
-26.8439 data arrival time
-------------------------------------------------------------------------------------
9.0954 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6635_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1267 0.0018 10.3225 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1371 0.3203 10.6428 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0431 soc/clknet_4_14_0_core_clk (net)
0.1371 0.0021 10.6449 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0729 0.2654 10.9103 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0154 soc/clknet_5_28_0_core_clk (net)
0.0729 0.0007 10.9110 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6708 0.6937 11.6046 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2585 soc/clknet_5_28_1_core_clk (net)
0.6713 0.0155 11.6202 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1597 0.5673 12.1875 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0842 soc/clknet_leaf_243_core_clk (net)
0.1597 0.0009 12.1884 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1789 0.7810 12.9694 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0109 soc/core.grant[0] (net)
0.1789 0.0002 12.9696 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2484 0.3725 13.3421 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.0963 soc/net3544 (net)
0.2484 0.0005 13.3426 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3464 0.4707 13.8133 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1089 soc/net3546 (net)
0.3479 0.0189 13.8322 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5011 0.6569 14.4891 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1144 soc/net3545 (net)
0.5024 0.0222 14.5112 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1375 0.2604 14.7716 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0068 soc/_13629_ (net)
0.1375 0.0001 14.7717 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0800 0.2935 15.0652 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0374 soc/net3233 (net)
0.0800 0.0017 15.0669 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.1876 0.3412 15.4081 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1444 soc/net3235 (net)
0.1938 0.0280 15.4361 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1081 0.3452 15.7813 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0582 soc/net3231 (net)
0.1084 0.0046 15.7859 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
0.1875 0.3674 16.1534 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
30 0.2024 soc/net3232 (net)
0.1885 0.0121 16.1655 v soc/_14232_/B2 (sky130_fd_sc_hd__a221oi_4)
0.8551 0.9169 17.0824 ^ soc/_14232_/Y (sky130_fd_sc_hd__a221oi_4)
2 0.0440 soc/_13691_ (net)
0.8552 0.0057 17.0881 ^ soc/wire3127/A (sky130_fd_sc_hd__buf_6)
0.2934 0.6202 17.7083 ^ soc/wire3127/X (sky130_fd_sc_hd__buf_6)
8 0.0904 soc/net3127 (net)
0.2941 0.0122 17.7205 ^ soc/_14233_/A (sky130_fd_sc_hd__inv_6)
0.2069 0.2886 18.0091 v soc/_14233_/Y (sky130_fd_sc_hd__inv_6)
8 0.1305 soc/net636 (net)
0.2070 0.0033 18.0124 v soc/_17879_/A (sky130_fd_sc_hd__nor2_2)
0.8530 0.8028 18.8152 ^ soc/_17879_/Y (sky130_fd_sc_hd__nor2_2)
12 0.0484 soc/_07728_ (net)
0.8531 0.0036 18.8188 ^ soc/_17896_/C (sky130_fd_sc_hd__and4_1)
0.3081 0.8254 19.6442 ^ soc/_17896_/X (sky130_fd_sc_hd__and4_1)
2 0.0181 soc/net228 (net)
0.3081 0.0011 19.6453 ^ soc/wire1842/A (sky130_fd_sc_hd__buf_4)
0.3182 0.5059 20.1512 ^ soc/wire1842/X (sky130_fd_sc_hd__buf_4)
2 0.0707 soc/net1842 (net)
0.3194 0.0171 20.1683 ^ soc/output228/A (sky130_fd_sc_hd__buf_12)
0.1461 0.3478 20.5161 ^ soc/output228/X (sky130_fd_sc_hd__buf_12)
1 0.0720 hk_cyc_o (net)
0.1516 0.0227 20.5388 ^ housekeeping/input131/A (sky130_fd_sc_hd__clkbuf_1)
0.4592 0.4794 21.0183 ^ housekeeping/input131/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0241 housekeeping/net131 (net)
0.4592 0.0016 21.0199 ^ housekeeping/_3899_/C (sky130_fd_sc_hd__and4bb_1)
0.1425 0.5654 21.5853 ^ housekeeping/_3899_/X (sky130_fd_sc_hd__and4bb_1)
1 0.0057 housekeeping/_1463_ (net)
0.1425 0.0001 21.5855 ^ housekeeping/_3901_/C (sky130_fd_sc_hd__and4b_1)
0.1429 0.4680 22.0534 ^ housekeeping/_3901_/X (sky130_fd_sc_hd__and4b_1)
1 0.0058 housekeeping/_1465_ (net)
0.1429 0.0001 22.0535 ^ housekeeping/_3907_/A (sky130_fd_sc_hd__nand4_2)
0.3911 0.3636 22.4171 v housekeeping/_3907_/Y (sky130_fd_sc_hd__nand4_2)
2 0.0188 housekeeping/_1471_ (net)
0.3911 0.0009 22.4180 v housekeeping/_3909_/B (sky130_fd_sc_hd__nand2_1)
0.2151 0.3455 22.7635 ^ housekeeping/_3909_/Y (sky130_fd_sc_hd__nand2_1)
3 0.0113 housekeeping/_1473_ (net)
0.2151 0.0001 22.7635 ^ housekeeping/_3910_/B (sky130_fd_sc_hd__nand2_1)
0.0802 0.1676 22.9311 v housekeeping/_3910_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0030 housekeeping/_0009_ (net)
0.0802 0.0000 22.9312 v housekeeping/_6635_/D (sky130_fd_sc_hd__dfstp_1)
22.9312 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0159 29.7210 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3468 30.0678 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 30.0687 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2119 30.2805 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0002 30.2808 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2527 30.5335 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0014 30.5349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2418 30.7767 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 30.7773 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3010 31.0783 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0021 31.0804 ^ housekeeping/_6635_/CLK (sky130_fd_sc_hd__dfstp_1)
-0.1000 30.9804 clock uncertainty
1.2728 32.2533 clock reconvergence pessimism
-0.1255 32.1278 library setup time
32.1278 data required time
-------------------------------------------------------------------------------------
32.1278 data required time
-22.9312 data arrival time
-------------------------------------------------------------------------------------
9.1966 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30436_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1939 0.0065 24.1829 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5057 0.5965 24.7794 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1156 soc/net1413 (net)
0.5066 0.0187 24.7981 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3015 0.5312 25.3293 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.0939 soc/net1412 (net)
0.3022 0.0118 25.3411 ^ soc/_23499_/S (sky130_fd_sc_hd__mux2_1)
0.1646 0.8846 26.2258 v soc/_23499_/X (sky130_fd_sc_hd__mux2_1)
1 0.0086 soc/_10943_ (net)
0.1646 0.0002 26.2260 v soc/_23500_/A1 (sky130_fd_sc_hd__mux2_1)
0.1015 0.6936 26.9196 v soc/_23500_/X (sky130_fd_sc_hd__mux2_1)
1 0.0018 soc/_02515_ (net)
0.1015 0.0000 26.9196 v soc/_30436_/D (sky130_fd_sc_hd__dfxtp_4)
26.9196 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1319 0.0030 33.7139 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1340 0.2968 34.0107 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0418 soc/clknet_4_2_0_core_clk (net)
0.1341 0.0022 34.0129 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0704 0.2424 34.2553 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0142 soc/clknet_5_4_0_core_clk (net)
0.0704 0.0005 34.2557 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4662 0.5002 34.7559 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1765 soc/clknet_5_4_1_core_clk (net)
0.4662 0.0011 34.7570 ^ soc/clkbuf_leaf_4_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1598 0.4522 35.2092 ^ soc/clkbuf_leaf_4_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0864 soc/clknet_leaf_4_core_clk (net)
0.1599 0.0029 35.2121 ^ soc/_30436_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.1121 clock uncertainty
1.2728 36.3849 clock reconvergence pessimism
-0.2620 36.1229 library setup time
36.1229 data required time
-------------------------------------------------------------------------------------
36.1229 data required time
-26.9196 data arrival time
-------------------------------------------------------------------------------------
9.2033 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29361_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1939 0.0065 24.1829 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5057 0.5965 24.7794 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1156 soc/net1413 (net)
0.5066 0.0187 24.7981 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3015 0.5312 25.3293 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.0939 soc/net1412 (net)
0.3024 0.0137 25.3430 ^ soc/wire1411/A (sky130_fd_sc_hd__buf_6)
0.2903 0.4499 25.7929 ^ soc/wire1411/X (sky130_fd_sc_hd__buf_6)
14 0.0902 soc/net1411 (net)
0.2906 0.0082 25.8011 ^ soc/_20865_/S (sky130_fd_sc_hd__mux2_1)
0.1076 0.7974 26.5984 v soc/_20865_/X (sky130_fd_sc_hd__mux2_1)
1 0.0025 soc/_01593_ (net)
0.1076 0.0000 26.5985 v soc/_29361_/D (sky130_fd_sc_hd__dfxtp_4)
26.5985 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0019 33.6795 ^ soc/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0704 0.2320 33.9115 ^ soc/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0143 soc/clknet_4_1_0_core_clk (net)
0.0704 0.0003 33.9119 ^ soc/clkbuf_5_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0641 0.2077 34.1196 ^ soc/clkbuf_5_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0112 soc/clknet_5_3_0_core_clk (net)
0.0641 0.0003 34.1199 ^ soc/clkbuf_5_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3548 0.4279 34.5478 ^ soc/clkbuf_5_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1324 soc/clknet_5_3_1_core_clk (net)
0.3548 0.0017 34.5495 ^ soc/clkbuf_leaf_375_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1006 0.3642 34.9137 ^ soc/clkbuf_leaf_375_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.0434 soc/clknet_leaf_375_core_clk (net)
0.1006 0.0007 34.9144 ^ soc/_29361_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 34.8144 clock uncertainty
1.2728 36.0873 clock reconvergence pessimism
-0.2801 35.8072 library setup time
35.8072 data required time
-------------------------------------------------------------------------------------
35.8072 data required time
-26.5985 data arrival time
-------------------------------------------------------------------------------------
9.2087 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29669_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0002 20.1631 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2434 0.6481 20.8112 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0725 soc/net1803 (net)
0.2437 0.0079 20.8191 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.4608 0.4149 21.2340 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0248 soc/_04555_ (net)
0.4608 0.0014 21.2354 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0572 0.4182 21.6536 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0172 soc/net1553 (net)
0.0572 0.0002 21.6538 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2129 0.3917 22.0455 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0869 soc/net1557 (net)
0.2158 0.0211 22.0666 v soc/wire1556/A (sky130_fd_sc_hd__buf_8)
0.2159 0.4184 22.4850 v soc/wire1556/X (sky130_fd_sc_hd__buf_8)
12 0.1684 soc/net1556 (net)
0.2235 0.0331 22.5181 v soc/fanout1550/A (sky130_fd_sc_hd__buf_6)
0.0509 0.3034 22.8215 v soc/fanout1550/X (sky130_fd_sc_hd__buf_6)
2 0.0156 soc/net1550 (net)
0.0509 0.0003 22.8218 v soc/fanout1549/A (sky130_fd_sc_hd__buf_12)
0.2057 0.3542 23.1760 v soc/fanout1549/X (sky130_fd_sc_hd__buf_12)
40 0.2373 soc/net1549 (net)
0.2057 0.0031 23.1791 v soc/_15777_/A2 (sky130_fd_sc_hd__o21ai_4)
1.3375 1.1633 24.3424 ^ soc/_15777_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.1235 soc/_05762_ (net)
1.3379 0.0206 24.3631 ^ soc/fanout932/A (sky130_fd_sc_hd__buf_6)
0.1419 0.6105 24.9735 ^ soc/fanout932/X (sky130_fd_sc_hd__buf_6)
4 0.0354 soc/net932 (net)
0.1419 0.0002 24.9737 ^ soc/max_length934/A (sky130_fd_sc_hd__buf_6)
0.3348 0.4140 25.3877 ^ soc/max_length934/X (sky130_fd_sc_hd__buf_6)
8 0.1052 soc/net934 (net)
0.3348 0.0017 25.3894 ^ soc/fanout930/A (sky130_fd_sc_hd__clkbuf_16)
0.3140 0.5484 25.9378 ^ soc/fanout930/X (sky130_fd_sc_hd__clkbuf_16)
40 0.1983 soc/net930 (net)
0.3154 0.0176 25.9554 ^ soc/_16386_/B1 (sky130_fd_sc_hd__a211o_1)
0.1352 0.3262 26.2816 ^ soc/_16386_/X (sky130_fd_sc_hd__a211o_1)
1 0.0081 soc/_06355_ (net)
0.1352 0.0002 26.2819 ^ soc/_16389_/A1 (sky130_fd_sc_hd__a21o_1)
0.2982 0.4206 26.7025 ^ soc/_16389_/X (sky130_fd_sc_hd__a21o_1)
2 0.0213 soc/_06358_ (net)
0.2982 0.0012 26.7037 ^ soc/_16395_/A1 (sky130_fd_sc_hd__a211o_1)
0.4304 0.6097 27.3133 ^ soc/_16395_/X (sky130_fd_sc_hd__a211o_1)
2 0.0311 soc/_06364_ (net)
0.4304 0.0029 27.3163 ^ soc/_16414_/B1 (sky130_fd_sc_hd__o31a_1)
0.2049 0.5004 27.8166 ^ soc/_16414_/X (sky130_fd_sc_hd__o31a_1)
1 0.0110 soc/_00007_ (net)
0.2049 0.0003 27.8169 ^ soc/_29669_/D (sky130_fd_sc_hd__dfxtp_1)
27.8169 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0796 0.2490 33.2200 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0184 soc/clknet_3_4_0_core_clk (net)
0.0797 0.0010 33.2210 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2253 33.4463 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_4_1_core_clk (net)
0.0777 0.0008 33.4471 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1209 0.2631 33.7103 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0364 soc/clknet_3_4_2_core_clk (net)
0.1209 0.0011 33.7114 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2906 34.0020 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_4_9_0_core_clk (net)
0.1318 0.0019 34.0039 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0690 0.2399 34.2438 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0136 soc/clknet_5_18_0_core_clk (net)
0.0690 0.0006 34.2444 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8416 0.7613 35.0057 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3266 soc/clknet_5_18_1_core_clk (net)
0.8417 0.0066 35.0123 ^ soc/clkbuf_opt_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0795 0.4909 35.5032 ^ soc/clkbuf_opt_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0184 soc/clknet_opt_17_0_core_clk (net)
0.0795 0.0009 35.5041 ^ soc/clkbuf_opt_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0600 0.2092 35.7133 ^ soc/clkbuf_opt_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0141 soc/clknet_opt_17_1_core_clk (net)
0.0600 0.0005 35.7138 ^ soc/clkbuf_leaf_126_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1659 0.2916 36.0053 ^ soc/clkbuf_leaf_126_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
36 0.0928 soc/clknet_leaf_126_core_clk (net)
0.1660 0.0022 36.0075 ^ soc/_29669_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.9075 clock uncertainty
1.2728 37.1803 clock reconvergence pessimism
-0.1471 37.0332 library setup time
37.0332 data required time
-------------------------------------------------------------------------------------
37.0332 data required time
-27.8169 data arrival time
-------------------------------------------------------------------------------------
9.2163 slack (MET)
Startpoint: soc/_31022_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_7148_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1267 0.0018 10.3225 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1371 0.3203 10.6428 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0431 soc/clknet_4_14_0_core_clk (net)
0.1371 0.0021 10.6449 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0729 0.2654 10.9103 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0154 soc/clknet_5_28_0_core_clk (net)
0.0729 0.0007 10.9110 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6708 0.6937 11.6046 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2585 soc/clknet_5_28_1_core_clk (net)
0.6713 0.0167 11.6213 ^ soc/clkbuf_leaf_219_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1272 0.5397 12.1610 ^ soc/clkbuf_leaf_219_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0598 soc/clknet_leaf_219_core_clk (net)
0.1272 0.0010 12.1620 ^ soc/_31022_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1469 0.7134 12.8754 v soc/_31022_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0153 soc/core.grant[1] (net)
0.1469 0.0008 12.8762 v soc/fanout3540/A (sky130_fd_sc_hd__buf_6)
0.1284 0.3385 13.2146 v soc/fanout3540/X (sky130_fd_sc_hd__buf_6)
6 0.0729 soc/net3540 (net)
0.1284 0.0008 13.2155 v soc/fanout3539/A (sky130_fd_sc_hd__buf_12)
0.1849 0.3830 13.5984 v soc/fanout3539/X (sky130_fd_sc_hd__buf_12)
26 0.2015 soc/net3539 (net)
0.1866 0.0148 13.6132 v soc/_13829_/A (sky130_fd_sc_hd__inv_2)
0.0985 0.1609 13.7741 ^ soc/_13829_/Y (sky130_fd_sc_hd__inv_2)
1 0.0101 soc/_13525_ (net)
0.0985 0.0002 13.7743 ^ soc/fanout3375/A (sky130_fd_sc_hd__buf_8)
0.2410 0.3297 14.1040 ^ soc/fanout3375/X (sky130_fd_sc_hd__buf_8)
10 0.0931 soc/net3375 (net)
0.2411 0.0034 14.1074 ^ soc/fanout3374/A (sky130_fd_sc_hd__buf_8)
0.4176 0.5119 14.6193 ^ soc/fanout3374/X (sky130_fd_sc_hd__buf_8)
36 0.1666 soc/net3374 (net)
0.4197 0.0246 14.6439 ^ soc/_14126_/A (sky130_fd_sc_hd__nand2_1)
0.2474 0.3752 15.0191 v soc/_14126_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0128 soc/_13628_ (net)
0.2474 0.0003 15.0195 v soc/fanout3129/A (sky130_fd_sc_hd__buf_12)
0.2177 0.4704 15.4899 v soc/fanout3129/X (sky130_fd_sc_hd__buf_12)
40 0.2540 soc/net3129 (net)
0.2230 0.0279 15.5178 v soc/fanout3128/A (sky130_fd_sc_hd__buf_12)
0.1919 0.4341 15.9519 v soc/fanout3128/X (sky130_fd_sc_hd__buf_12)
40 0.2096 soc/net3128 (net)
0.1921 0.0058 15.9577 v soc/_14194_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7268 0.6967 16.6544 ^ soc/_14194_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.0631 soc/_13666_ (net)
0.7268 0.0061 16.6605 ^ soc/wire2653/A (sky130_fd_sc_hd__buf_6)
0.5170 0.7271 17.3876 ^ soc/wire2653/X (sky130_fd_sc_hd__buf_6)
8 0.1650 soc/net2653 (net)
0.5279 0.0623 17.4499 ^ soc/wire2652/A (sky130_fd_sc_hd__buf_4)
0.5993 0.8028 18.2527 ^ soc/wire2652/X (sky130_fd_sc_hd__buf_4)
6 0.1378 soc/net2652 (net)
0.6002 0.0201 18.2728 ^ soc/max_length2651/A (sky130_fd_sc_hd__buf_6)
0.3931 0.6300 18.9029 ^ soc/max_length2651/X (sky130_fd_sc_hd__buf_6)
8 0.1250 soc/net2651 (net)
0.3945 0.0193 18.9221 ^ soc/_14195_/A (sky130_fd_sc_hd__clkinv_8)
0.1104 0.2126 19.1347 v soc/_14195_/Y (sky130_fd_sc_hd__clkinv_8)
2 0.0275 soc/net674 (net)
0.1104 0.0007 19.1354 v soc/wire2352/A (sky130_fd_sc_hd__buf_4)
0.2642 0.4579 19.5933 v soc/wire2352/X (sky130_fd_sc_hd__buf_4)
4 0.1158 soc/net2352 (net)
0.2711 0.0351 19.6284 v soc/wire2351/A (sky130_fd_sc_hd__buf_4)
0.2177 0.5101 20.1385 v soc/wire2351/X (sky130_fd_sc_hd__buf_4)
2 0.0942 soc/net2351 (net)
0.2209 0.0221 20.1606 v soc/wire2350/A (sky130_fd_sc_hd__buf_12)
0.1431 0.3781 20.5386 v soc/wire2350/X (sky130_fd_sc_hd__buf_12)
5 0.1438 soc/net2350 (net)
0.1676 0.0468 20.5855 v soc/wire2349/A (sky130_fd_sc_hd__buf_6)
0.1410 0.3618 20.9473 v soc/wire2349/X (sky130_fd_sc_hd__buf_6)
2 0.0824 soc/net2349 (net)
0.1411 0.0036 20.9509 v soc/output674/A (sky130_fd_sc_hd__buf_12)
0.1064 0.3257 21.2766 v soc/output674/X (sky130_fd_sc_hd__buf_12)
3 0.0993 mprj_dat_o_core[7] (net)
0.1115 0.0189 21.2955 v housekeeping/input161/A (sky130_fd_sc_hd__clkbuf_1)
0.1238 0.2491 21.5446 v housekeeping/input161/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0099 housekeeping/net161 (net)
0.1238 0.0001 21.5448 v housekeeping/_6345_/B1 (sky130_fd_sc_hd__a221o_1)
0.1011 0.5933 22.1380 v housekeeping/_6345_/X (sky130_fd_sc_hd__a221o_1)
1 0.0037 housekeeping/_3158_ (net)
0.1011 0.0001 22.1381 v housekeeping/_6346_/A0 (sky130_fd_sc_hd__mux2_1)
0.1093 0.6572 22.7953 v housekeeping/_6346_/X (sky130_fd_sc_hd__mux2_1)
1 0.0024 housekeeping/_0791_ (net)
0.1093 0.0000 22.7953 v housekeeping/_7148_/D (sky130_fd_sc_hd__dfrtp_1)
22.7953 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0159 29.7210 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3468 30.0678 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 30.0687 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2119 30.2805 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0002 30.2808 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2527 30.5335 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0014 30.5349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2418 30.7767 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 30.7773 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.2385 0.3485 31.1258 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0844 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.2385 0.0018 31.1276 ^ housekeeping/_7148_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.0276 clock uncertainty
1.2728 32.3004 clock reconvergence pessimism
-0.2480 32.0524 library setup time
32.0524 data required time
-------------------------------------------------------------------------------------
32.0524 data required time
-22.7953 data arrival time
-------------------------------------------------------------------------------------
9.2571 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6640_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1267 0.0018 10.3225 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1371 0.3203 10.6428 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0431 soc/clknet_4_14_0_core_clk (net)
0.1371 0.0021 10.6449 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0729 0.2654 10.9103 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0154 soc/clknet_5_28_0_core_clk (net)
0.0729 0.0007 10.9110 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6708 0.6937 11.6046 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2585 soc/clknet_5_28_1_core_clk (net)
0.6713 0.0155 11.6202 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1597 0.5673 12.1875 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0842 soc/clknet_leaf_243_core_clk (net)
0.1597 0.0009 12.1884 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1789 0.7810 12.9694 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0109 soc/core.grant[0] (net)
0.1789 0.0002 12.9696 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2484 0.3725 13.3421 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.0963 soc/net3544 (net)
0.2484 0.0005 13.3426 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3464 0.4707 13.8133 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1089 soc/net3546 (net)
0.3479 0.0189 13.8322 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5011 0.6569 14.4891 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1144 soc/net3545 (net)
0.5024 0.0222 14.5112 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1375 0.2604 14.7716 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0068 soc/_13629_ (net)
0.1375 0.0001 14.7717 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0800 0.2935 15.0652 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0374 soc/net3233 (net)
0.0800 0.0017 15.0669 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.1876 0.3412 15.4081 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1444 soc/net3235 (net)
0.1938 0.0280 15.4361 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1081 0.3452 15.7813 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0582 soc/net3231 (net)
0.1084 0.0046 15.7859 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
0.1875 0.3674 16.1534 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
30 0.2024 soc/net3232 (net)
0.1885 0.0121 16.1655 v soc/_14232_/B2 (sky130_fd_sc_hd__a221oi_4)
0.8551 0.9169 17.0824 ^ soc/_14232_/Y (sky130_fd_sc_hd__a221oi_4)
2 0.0440 soc/_13691_ (net)
0.8552 0.0057 17.0881 ^ soc/wire3127/A (sky130_fd_sc_hd__buf_6)
0.2934 0.6202 17.7083 ^ soc/wire3127/X (sky130_fd_sc_hd__buf_6)
8 0.0904 soc/net3127 (net)
0.2941 0.0122 17.7205 ^ soc/_14233_/A (sky130_fd_sc_hd__inv_6)
0.2069 0.2886 18.0091 v soc/_14233_/Y (sky130_fd_sc_hd__inv_6)
8 0.1305 soc/net636 (net)
0.2070 0.0033 18.0124 v soc/_17879_/A (sky130_fd_sc_hd__nor2_2)
0.8530 0.8028 18.8152 ^ soc/_17879_/Y (sky130_fd_sc_hd__nor2_2)
12 0.0484 soc/_07728_ (net)
0.8531 0.0036 18.8188 ^ soc/_17896_/C (sky130_fd_sc_hd__and4_1)
0.3081 0.8254 19.6442 ^ soc/_17896_/X (sky130_fd_sc_hd__and4_1)
2 0.0181 soc/net228 (net)
0.3081 0.0011 19.6453 ^ soc/wire1842/A (sky130_fd_sc_hd__buf_4)
0.3182 0.5059 20.1512 ^ soc/wire1842/X (sky130_fd_sc_hd__buf_4)
2 0.0707 soc/net1842 (net)
0.3194 0.0171 20.1683 ^ soc/output228/A (sky130_fd_sc_hd__buf_12)
0.1461 0.3478 20.5161 ^ soc/output228/X (sky130_fd_sc_hd__buf_12)
1 0.0720 hk_cyc_o (net)
0.1516 0.0227 20.5388 ^ housekeeping/input131/A (sky130_fd_sc_hd__clkbuf_1)
0.4592 0.4794 21.0183 ^ housekeeping/input131/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0241 housekeeping/net131 (net)
0.4592 0.0016 21.0199 ^ housekeeping/_3899_/C (sky130_fd_sc_hd__and4bb_1)
0.1425 0.5654 21.5853 ^ housekeeping/_3899_/X (sky130_fd_sc_hd__and4bb_1)
1 0.0057 housekeeping/_1463_ (net)
0.1425 0.0001 21.5855 ^ housekeeping/_3901_/C (sky130_fd_sc_hd__and4b_1)
0.1429 0.4680 22.0534 ^ housekeeping/_3901_/X (sky130_fd_sc_hd__and4b_1)
1 0.0058 housekeeping/_1465_ (net)
0.1429 0.0001 22.0535 ^ housekeeping/_3907_/A (sky130_fd_sc_hd__nand4_2)
0.3911 0.3636 22.4171 v housekeeping/_3907_/Y (sky130_fd_sc_hd__nand4_2)
2 0.0188 housekeeping/_1471_ (net)
0.3911 0.0009 22.4180 v housekeeping/_3908_/A (sky130_fd_sc_hd__inv_2)
0.1042 0.1818 22.5999 ^ housekeeping/_3908_/Y (sky130_fd_sc_hd__inv_2)
1 0.0045 housekeeping/_1472_ (net)
0.1042 0.0001 22.5999 ^ housekeeping/_3915_/B1 (sky130_fd_sc_hd__a22o_1)
0.0809 0.2491 22.8490 ^ housekeeping/_3915_/X (sky130_fd_sc_hd__a22o_1)
1 0.0026 housekeeping/_0010_ (net)
0.0809 0.0000 22.8491 ^ housekeeping/_6640_/D (sky130_fd_sc_hd__dfrtp_1)
22.8491 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0159 29.7210 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3468 30.0678 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 30.0687 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2119 30.2805 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0002 30.2808 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2527 30.5335 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0014 30.5349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2418 30.7767 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 30.7773 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3010 31.0783 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0021 31.0804 ^ housekeeping/_6640_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 30.9804 clock uncertainty
1.2728 32.2533 clock reconvergence pessimism
-0.1179 32.1354 library setup time
32.1354 data required time
-------------------------------------------------------------------------------------
32.1354 data required time
-22.8491 data arrival time
-------------------------------------------------------------------------------------
9.2863 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30431_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1938 0.0002 24.1766 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2180 0.3591 24.5357 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0665 soc/net1407 (net)
0.2180 0.0011 24.5368 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2750 0.4025 24.9393 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1070 soc/net1409 (net)
0.2768 0.0188 24.9581 ^ soc/_23489_/S (sky130_fd_sc_hd__mux2_1)
0.1074 0.7886 25.7467 v soc/_23489_/X (sky130_fd_sc_hd__mux2_1)
1 0.0022 soc/_10938_ (net)
0.1074 0.0000 25.7467 v soc/_23490_/A1 (sky130_fd_sc_hd__mux2_1)
0.3313 0.9540 26.7007 v soc/_23490_/X (sky130_fd_sc_hd__mux2_1)
2 0.0291 soc/_02510_ (net)
0.3313 0.0018 26.7025 v soc/_30431_/D (sky130_fd_sc_hd__dfxtp_4)
26.7025 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0014 33.9556 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0608 0.2243 34.1799 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0097 soc/clknet_5_1_0_core_clk (net)
0.0608 0.0003 34.1802 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5977 0.5904 34.7706 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2293 soc/clknet_5_1_1_core_clk (net)
0.5980 0.0104 34.7810 ^ soc/clkbuf_leaf_392_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1332 0.4832 35.2642 ^ soc/clkbuf_leaf_392_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0653 soc/clknet_leaf_392_core_clk (net)
0.1332 0.0008 35.2650 ^ soc/_30431_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.1650 clock uncertainty
1.2728 36.4378 clock reconvergence pessimism
-0.3633 36.0745 library setup time
36.0745 data required time
-------------------------------------------------------------------------------------
36.0745 data required time
-26.7025 data arrival time
-------------------------------------------------------------------------------------
9.3721 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30449_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1938 0.0002 24.1766 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2180 0.3591 24.5357 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0665 soc/net1407 (net)
0.2180 0.0011 24.5368 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2750 0.4025 24.9393 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1070 soc/net1409 (net)
0.2769 0.0191 24.9584 ^ soc/_23525_/S (sky130_fd_sc_hd__mux2_2)
0.2374 0.9673 25.9256 v soc/_23525_/X (sky130_fd_sc_hd__mux2_2)
2 0.0368 soc/_10956_ (net)
0.2375 0.0038 25.9295 v soc/_23526_/A1 (sky130_fd_sc_hd__mux2_1)
0.1401 0.7890 26.7185 v soc/_23526_/X (sky130_fd_sc_hd__mux2_1)
1 0.0058 soc/_02528_ (net)
0.1401 0.0001 26.7186 v soc/_30449_/D (sky130_fd_sc_hd__dfxtp_4)
26.7186 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0014 33.9556 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0608 0.2243 34.1799 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0097 soc/clknet_5_1_0_core_clk (net)
0.0608 0.0003 34.1802 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5977 0.5904 34.7706 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2293 soc/clknet_5_1_1_core_clk (net)
0.5979 0.0082 34.7788 ^ soc/clkbuf_leaf_393_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1519 0.4978 35.2766 ^ soc/clkbuf_leaf_393_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0792 soc/clknet_leaf_393_core_clk (net)
0.1519 0.0008 35.2774 ^ soc/_30449_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.1774 clock uncertainty
1.2728 36.4503 clock reconvergence pessimism
-0.2799 36.1703 library setup time
36.1703 data required time
-------------------------------------------------------------------------------------
36.1703 data required time
-26.7186 data arrival time
-------------------------------------------------------------------------------------
9.4517 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30422_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1939 0.0065 24.1829 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5057 0.5965 24.7794 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1156 soc/net1413 (net)
0.5057 0.0012 24.7807 ^ soc/_23471_/S (sky130_fd_sc_hd__mux2_1)
0.2043 0.9905 25.7712 v soc/_23471_/X (sky130_fd_sc_hd__mux2_1)
1 0.0127 soc/_10929_ (net)
0.2043 0.0006 25.7718 v soc/_23472_/A1 (sky130_fd_sc_hd__mux2_1)
0.2543 0.9177 26.6895 v soc/_23472_/X (sky130_fd_sc_hd__mux2_1)
1 0.0198 soc/_02501_ (net)
0.2543 0.0009 26.6903 v soc/_30422_/D (sky130_fd_sc_hd__dfxtp_4)
26.6903 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1319 0.0030 33.7139 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1340 0.2968 34.0107 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0418 soc/clknet_4_2_0_core_clk (net)
0.1341 0.0029 34.0136 ^ soc/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0694 0.2413 34.2549 ^ soc/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_5_0_core_clk (net)
0.0694 0.0006 34.2555 ^ soc/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5689 0.5733 34.8288 ^ soc/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2178 soc/clknet_5_5_1_core_clk (net)
0.5690 0.0061 34.8349 ^ soc/clkbuf_leaf_358_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1598 0.4925 35.3275 ^ soc/clkbuf_leaf_358_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0854 soc/clknet_leaf_358_core_clk (net)
0.1599 0.0028 35.3303 ^ soc/_30422_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.2303 clock uncertainty
1.2728 36.5031 clock reconvergence pessimism
-0.3247 36.1785 library setup time
36.1785 data required time
-------------------------------------------------------------------------------------
36.1785 data required time
-26.6903 data arrival time
-------------------------------------------------------------------------------------
9.4881 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30331_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1938 0.0002 24.1766 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2180 0.3591 24.5357 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0665 soc/net1407 (net)
0.2180 0.0011 24.5368 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2750 0.4025 24.9393 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1070 soc/net1409 (net)
0.2761 0.0148 24.9540 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.3798 0.5053 25.4593 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1206 soc/net1408 (net)
0.3809 0.0175 25.4769 ^ soc/_23350_/D (sky130_fd_sc_hd__and4bb_2)
0.3878 0.8755 26.3524 ^ soc/_23350_/X (sky130_fd_sc_hd__and4bb_2)
4 0.0387 soc/_10865_ (net)
0.3878 0.0020 26.3545 ^ soc/_23351_/A2 (sky130_fd_sc_hd__o21a_1)
0.1672 0.4000 26.7545 ^ soc/_23351_/X (sky130_fd_sc_hd__o21a_1)
1 0.0096 soc/_02444_ (net)
0.1672 0.0002 26.7546 ^ soc/_30331_/D (sky130_fd_sc_hd__dfxtp_2)
26.7546 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0014 33.9556 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0608 0.2243 34.1799 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0097 soc/clknet_5_1_0_core_clk (net)
0.0608 0.0003 34.1802 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5977 0.5904 34.7706 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2293 soc/clknet_5_1_1_core_clk (net)
0.5980 0.0113 34.7818 ^ soc/clkbuf_leaf_389_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1000 0.4553 35.2371 ^ soc/clkbuf_leaf_389_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
10 0.0396 soc/clknet_leaf_389_core_clk (net)
0.1000 0.0001 35.2372 ^ soc/_30331_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.1372 clock uncertainty
1.2728 36.4100 clock reconvergence pessimism
-0.1613 36.2487 library setup time
36.2487 data required time
-------------------------------------------------------------------------------------
36.2487 data required time
-26.7546 data arrival time
-------------------------------------------------------------------------------------
9.4941 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30423_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1939 0.0065 24.1829 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5057 0.5965 24.7794 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1156 soc/net1413 (net)
0.5059 0.0097 24.7892 ^ soc/_23473_/S (sky130_fd_sc_hd__mux2_1)
0.1519 0.9253 25.7145 v soc/_23473_/X (sky130_fd_sc_hd__mux2_1)
1 0.0072 soc/_10930_ (net)
0.1519 0.0002 25.7147 v soc/_23474_/A1 (sky130_fd_sc_hd__mux2_1)
0.2234 0.8643 26.5790 v soc/_23474_/X (sky130_fd_sc_hd__mux2_1)
1 0.0158 soc/_02502_ (net)
0.2234 0.0008 26.5798 v soc/_30423_/D (sky130_fd_sc_hd__dfxtp_1)
26.5798 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1319 0.0030 33.7139 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1340 0.2968 34.0107 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0418 soc/clknet_4_2_0_core_clk (net)
0.1341 0.0022 34.0129 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0704 0.2424 34.2553 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0142 soc/clknet_5_4_0_core_clk (net)
0.0704 0.0005 34.2557 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4662 0.5002 34.7559 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1765 soc/clknet_5_4_1_core_clk (net)
0.4670 0.0159 34.7718 ^ soc/clkbuf_leaf_9_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1506 0.4462 35.2180 ^ soc/clkbuf_leaf_9_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0794 soc/clknet_leaf_9_core_clk (net)
0.1506 0.0006 35.2186 ^ soc/_30423_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1186 clock uncertainty
1.2728 36.3914 clock reconvergence pessimism
-0.3109 36.0805 library setup time
36.0805 data required time
-------------------------------------------------------------------------------------
36.0805 data required time
-26.5798 data arrival time
-------------------------------------------------------------------------------------
9.5007 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30334_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1938 0.0002 24.1766 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2180 0.3591 24.5357 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0665 soc/net1407 (net)
0.2180 0.0011 24.5368 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2750 0.4025 24.9393 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1070 soc/net1409 (net)
0.2761 0.0148 24.9540 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.3798 0.5053 25.4593 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1206 soc/net1408 (net)
0.3809 0.0175 25.4769 ^ soc/_23350_/D (sky130_fd_sc_hd__and4bb_2)
0.3878 0.8755 26.3524 ^ soc/_23350_/X (sky130_fd_sc_hd__and4bb_2)
4 0.0387 soc/_10865_ (net)
0.3878 0.0006 26.3530 ^ soc/_23356_/A1 (sky130_fd_sc_hd__o21a_1)
0.1502 0.4262 26.7793 ^ soc/_23356_/X (sky130_fd_sc_hd__o21a_1)
1 0.0084 soc/_02446_ (net)
0.1502 0.0003 26.7795 ^ soc/_30334_/D (sky130_fd_sc_hd__dfxtp_2)
26.7795 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0014 33.9556 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0608 0.2243 34.1799 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0097 soc/clknet_5_1_0_core_clk (net)
0.0608 0.0003 34.1802 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5977 0.5904 34.7706 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2293 soc/clknet_5_1_1_core_clk (net)
0.5977 0.0011 34.7717 ^ soc/clkbuf_leaf_386_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1388 0.4870 35.2587 ^ soc/clkbuf_leaf_386_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0695 soc/clknet_leaf_386_core_clk (net)
0.1388 0.0024 35.2612 ^ soc/_30334_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.1612 clock uncertainty
1.2728 36.4340 clock reconvergence pessimism
-0.1501 36.2839 library setup time
36.2839 data required time
-------------------------------------------------------------------------------------
36.2839 data required time
-26.7795 data arrival time
-------------------------------------------------------------------------------------
9.5044 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29359_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1939 0.0065 24.1829 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5057 0.5965 24.7794 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1156 soc/net1413 (net)
0.5066 0.0187 24.7981 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3015 0.5312 25.3293 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.0939 soc/net1412 (net)
0.3023 0.0126 25.3420 ^ soc/_20861_/S (sky130_fd_sc_hd__mux2_1)
0.2045 0.9342 26.2762 v soc/_20861_/X (sky130_fd_sc_hd__mux2_1)
1 0.0127 soc/_01591_ (net)
0.2045 0.0006 26.2768 v soc/_29359_/D (sky130_fd_sc_hd__dfxtp_2)
26.2768 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0005 33.9547 ^ soc/clkbuf_5_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0644 0.2279 34.1826 ^ soc/clkbuf_5_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0114 soc/clknet_5_0_0_core_clk (net)
0.0644 0.0005 34.1830 ^ soc/clkbuf_5_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3937 0.4526 34.6356 ^ soc/clkbuf_5_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1478 soc/clknet_5_0_1_core_clk (net)
0.3937 0.0043 34.6399 ^ soc/clkbuf_leaf_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0614 0.3370 34.9769 ^ soc/clkbuf_leaf_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
3 0.0123 soc/clknet_leaf_1_core_clk (net)
0.0614 0.0002 34.9771 ^ soc/_29359_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 34.8771 clock uncertainty
1.2728 36.1499 clock reconvergence pessimism
-0.3326 35.8173 library setup time
35.8173 data required time
-------------------------------------------------------------------------------------
35.8173 data required time
-26.2768 data arrival time
-------------------------------------------------------------------------------------
9.5405 slack (MET)
Startpoint: soc/_31022_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_7143_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0773 6.0552 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.6015 6.6568 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0963 6.7531 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4376 7.1906 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0009 7.1915 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2513 7.4428 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 7.4437 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2429 7.6866 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0010 7.6876 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2455 7.9331 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 7.9340 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2440 8.1780 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 8.1790 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2400 8.4190 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 8.4198 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2183 8.6381 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0002 8.6383 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2920 8.9303 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1448 0.0053 8.9356 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0749 0.2711 9.2066 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0749 0.0010 9.2076 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1449 0.3018 9.5094 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0463 soc/clknet_2_3_1_core_clk (net)
0.1450 0.0015 9.5108 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0803 0.2770 9.7878 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0803 0.0005 9.7883 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0778 0.2433 10.0316 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0778 0.0008 10.0324 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1267 0.2883 10.3208 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0388 soc/clknet_3_7_2_core_clk (net)
0.1267 0.0018 10.3225 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1371 0.3203 10.6428 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0431 soc/clknet_4_14_0_core_clk (net)
0.1371 0.0021 10.6449 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0729 0.2654 10.9103 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0154 soc/clknet_5_28_0_core_clk (net)
0.0729 0.0007 10.9110 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6708 0.6937 11.6046 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2585 soc/clknet_5_28_1_core_clk (net)
0.6713 0.0167 11.6213 ^ soc/clkbuf_leaf_219_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1272 0.5397 12.1610 ^ soc/clkbuf_leaf_219_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0598 soc/clknet_leaf_219_core_clk (net)
0.1272 0.0010 12.1620 ^ soc/_31022_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1469 0.7134 12.8754 v soc/_31022_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0153 soc/core.grant[1] (net)
0.1469 0.0008 12.8762 v soc/fanout3540/A (sky130_fd_sc_hd__buf_6)
0.1284 0.3385 13.2146 v soc/fanout3540/X (sky130_fd_sc_hd__buf_6)
6 0.0729 soc/net3540 (net)
0.1284 0.0008 13.2155 v soc/fanout3539/A (sky130_fd_sc_hd__buf_12)
0.1849 0.3830 13.5984 v soc/fanout3539/X (sky130_fd_sc_hd__buf_12)
26 0.2015 soc/net3539 (net)
0.1866 0.0148 13.6132 v soc/_13829_/A (sky130_fd_sc_hd__inv_2)
0.0985 0.1609 13.7741 ^ soc/_13829_/Y (sky130_fd_sc_hd__inv_2)
1 0.0101 soc/_13525_ (net)
0.0985 0.0002 13.7743 ^ soc/fanout3375/A (sky130_fd_sc_hd__buf_8)
0.2410 0.3297 14.1040 ^ soc/fanout3375/X (sky130_fd_sc_hd__buf_8)
10 0.0931 soc/net3375 (net)
0.2411 0.0034 14.1074 ^ soc/fanout3374/A (sky130_fd_sc_hd__buf_8)
0.4176 0.5119 14.6193 ^ soc/fanout3374/X (sky130_fd_sc_hd__buf_8)
36 0.1666 soc/net3374 (net)
0.4197 0.0246 14.6439 ^ soc/_14126_/A (sky130_fd_sc_hd__nand2_1)
0.2474 0.3752 15.0191 v soc/_14126_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0128 soc/_13628_ (net)
0.2474 0.0003 15.0195 v soc/fanout3129/A (sky130_fd_sc_hd__buf_12)
0.2177 0.4704 15.4899 v soc/fanout3129/X (sky130_fd_sc_hd__buf_12)
40 0.2540 soc/net3129 (net)
0.2184 0.0109 15.5008 v soc/_14209_/A2 (sky130_fd_sc_hd__o21ai_4)
0.9723 0.8920 16.3928 ^ soc/_14209_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.0874 soc/_13676_ (net)
0.9726 0.0135 16.4063 ^ soc/wire2634/A (sky130_fd_sc_hd__buf_4)
0.4509 0.8306 17.2369 ^ soc/wire2634/X (sky130_fd_sc_hd__buf_4)
4 0.1024 soc/net2634 (net)
0.4524 0.0225 17.2595 ^ soc/wire2633/A (sky130_fd_sc_hd__buf_4)
0.5640 0.7437 18.0032 ^ soc/wire2633/X (sky130_fd_sc_hd__buf_4)
10 0.1293 soc/net2633 (net)
0.5657 0.0263 18.0295 ^ soc/wire2632/A (sky130_fd_sc_hd__buf_6)
0.4880 0.6844 18.7139 ^ soc/wire2632/X (sky130_fd_sc_hd__buf_6)
10 0.1566 soc/net2632 (net)
0.4893 0.0220 18.7359 ^ soc/_14210_/A (sky130_fd_sc_hd__inv_12)
0.1141 0.1963 18.9322 v soc/_14210_/Y (sky130_fd_sc_hd__inv_12)
6 0.0475 soc/net667 (net)
0.1141 0.0001 18.9324 v soc/max_length2330/A (sky130_fd_sc_hd__buf_4)
0.2165 0.4372 19.3696 v soc/max_length2330/X (sky130_fd_sc_hd__buf_4)
2 0.0936 soc/net2330 (net)
0.2177 0.0136 19.3831 v soc/wire2327/A (sky130_fd_sc_hd__buf_6)
0.1786 0.3971 19.7802 v soc/wire2327/X (sky130_fd_sc_hd__buf_6)
2 0.1052 soc/net2327 (net)
0.1858 0.0291 19.8094 v soc/wire2326/A (sky130_fd_sc_hd__buf_12)
0.1494 0.3563 20.1656 v soc/wire2326/X (sky130_fd_sc_hd__buf_12)
4 0.1503 soc/net2326 (net)
0.1721 0.0454 20.2110 v soc/output667/A (sky130_fd_sc_hd__buf_12)
0.1229 0.3567 20.5678 v soc/output667/X (sky130_fd_sc_hd__buf_12)
3 0.1217 mprj_dat_o_core[2] (net)
0.1254 0.0146 20.5823 v housekeeping/input154/A (sky130_fd_sc_hd__clkbuf_1)
0.0857 0.2249 20.8072 v housekeeping/input154/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0063 housekeeping/net154 (net)
0.0857 0.0001 20.8073 v housekeeping/_6329_/B1 (sky130_fd_sc_hd__a22o_1)
0.0762 0.3502 21.1575 v housekeeping/_6329_/X (sky130_fd_sc_hd__a22o_1)
1 0.0034 housekeeping/_3147_ (net)
0.0762 0.0000 21.1575 v housekeeping/_6330_/C1 (sky130_fd_sc_hd__a221o_1)
0.1205 0.5481 21.7056 v housekeeping/_6330_/X (sky130_fd_sc_hd__a221o_1)
1 0.0057 housekeeping/_3148_ (net)
0.1205 0.0002 21.7057 v housekeeping/_6331_/A0 (sky130_fd_sc_hd__mux2_1)
0.1112 0.6736 22.3794 v housekeeping/_6331_/X (sky130_fd_sc_hd__mux2_1)
1 0.0029 housekeeping/_0786_ (net)
0.1112 0.0000 22.3794 v housekeeping/_7143_/D (sky130_fd_sc_hd__dfrtp_1)
22.3794 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0159 29.7210 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3468 30.0678 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 30.0687 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2119 30.2805 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0002 30.2808 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2527 30.5335 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0014 30.5349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2418 30.7767 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 30.7773 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3010 31.0783 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0020 31.0803 ^ housekeeping/_7143_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 30.9803 clock uncertainty
1.2728 32.2531 clock reconvergence pessimism
-0.2664 31.9867 library setup time
31.9867 data required time
-------------------------------------------------------------------------------------
31.9867 data required time
-22.3794 data arrival time
-------------------------------------------------------------------------------------
9.6073 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_28391_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1939 0.0065 24.1829 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5057 0.5965 24.7794 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1156 soc/net1413 (net)
0.5066 0.0187 24.7981 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3015 0.5312 25.3293 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.0939 soc/net1412 (net)
0.3024 0.0137 25.3430 ^ soc/wire1411/A (sky130_fd_sc_hd__buf_6)
0.2903 0.4499 25.7929 ^ soc/wire1411/X (sky130_fd_sc_hd__buf_6)
14 0.0902 soc/net1411 (net)
0.2914 0.0151 25.8080 ^ soc/_19125_/C1 (sky130_fd_sc_hd__o211a_1)
0.3332 0.5926 26.4006 ^ soc/_19125_/X (sky130_fd_sc_hd__o211a_1)
2 0.0241 soc/_08419_ (net)
0.3333 0.0020 26.4026 ^ soc/_19126_/B1 (sky130_fd_sc_hd__a21o_1)
0.0830 0.2552 26.6578 ^ soc/_19126_/X (sky130_fd_sc_hd__a21o_1)
1 0.0042 soc/_00665_ (net)
0.0830 0.0001 26.6578 ^ soc/_28391_/D (sky130_fd_sc_hd__dfxtp_2)
26.6578 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0014 33.9556 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0608 0.2243 34.1799 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0097 soc/clknet_5_1_0_core_clk (net)
0.0608 0.0003 34.1802 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5977 0.5904 34.7706 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2293 soc/clknet_5_1_1_core_clk (net)
0.5977 0.0015 34.7721 ^ soc/clkbuf_leaf_377_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1153 0.4687 35.2408 ^ soc/clkbuf_leaf_377_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.0518 soc/clknet_leaf_377_core_clk (net)
0.1154 0.0016 35.2423 ^ soc/_28391_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.1423 clock uncertainty
1.2728 36.4152 clock reconvergence pessimism
-0.1366 36.2786 library setup time
36.2786 data required time
-------------------------------------------------------------------------------------
36.2786 data required time
-26.6578 data arrival time
-------------------------------------------------------------------------------------
9.6207 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29365_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1939 0.0065 24.1829 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5057 0.5965 24.7794 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1156 soc/net1413 (net)
0.5066 0.0187 24.7981 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3015 0.5312 25.3293 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.0939 soc/net1412 (net)
0.3024 0.0137 25.3430 ^ soc/wire1411/A (sky130_fd_sc_hd__buf_6)
0.2903 0.4499 25.7929 ^ soc/wire1411/X (sky130_fd_sc_hd__buf_6)
14 0.0902 soc/net1411 (net)
0.2913 0.0143 25.8073 ^ soc/_20873_/S (sky130_fd_sc_hd__mux2_1)
0.1089 0.7996 26.6069 v soc/_20873_/X (sky130_fd_sc_hd__mux2_1)
1 0.0026 soc/_01597_ (net)
0.1089 0.0000 26.6069 v soc/_29365_/D (sky130_fd_sc_hd__dfxtp_2)
26.6069 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0014 33.9556 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0608 0.2243 34.1799 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0097 soc/clknet_5_1_0_core_clk (net)
0.0608 0.0003 34.1802 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5977 0.5904 34.7706 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2293 soc/clknet_5_1_1_core_clk (net)
0.5979 0.0087 34.7793 ^ soc/clkbuf_leaf_395_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1965 0.5319 35.3112 ^ soc/clkbuf_leaf_395_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
46 0.1118 soc/clknet_leaf_395_core_clk (net)
0.1966 0.0015 35.3127 ^ soc/_29365_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.2127 clock uncertainty
1.2728 36.4856 clock reconvergence pessimism
-0.2562 36.2293 library setup time
36.2293 data required time
-------------------------------------------------------------------------------------
36.2293 data required time
-26.6069 data arrival time
-------------------------------------------------------------------------------------
9.6224 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_28388_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1938 0.0002 24.1766 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2180 0.3591 24.5357 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0665 soc/net1407 (net)
0.2180 0.0011 24.5368 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2750 0.4025 24.9393 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1070 soc/net1409 (net)
0.2761 0.0148 24.9540 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.3798 0.5053 25.4593 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1206 soc/net1408 (net)
0.3807 0.0158 25.4751 ^ soc/_19063_/C1 (sky130_fd_sc_hd__o211a_1)
0.3365 0.6298 26.1049 ^ soc/_19063_/X (sky130_fd_sc_hd__o211a_1)
2 0.0244 soc/_08360_ (net)
0.3365 0.0020 26.1069 ^ soc/_19064_/B1 (sky130_fd_sc_hd__a21o_1)
0.1522 0.3113 26.4182 ^ soc/_19064_/X (sky130_fd_sc_hd__a21o_1)
1 0.0098 soc/_00662_ (net)
0.1522 0.0004 26.4186 ^ soc/_28388_/D (sky130_fd_sc_hd__dfxtp_4)
26.4186 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0005 33.9547 ^ soc/clkbuf_5_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0644 0.2279 34.1826 ^ soc/clkbuf_5_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0114 soc/clknet_5_0_0_core_clk (net)
0.0644 0.0005 34.1830 ^ soc/clkbuf_5_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3937 0.4526 34.6356 ^ soc/clkbuf_5_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1478 soc/clknet_5_0_1_core_clk (net)
0.3941 0.0105 34.6460 ^ soc/clkbuf_leaf_397_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1177 0.3927 35.0387 ^ soc/clkbuf_leaf_397_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0559 soc/clknet_leaf_397_core_clk (net)
0.1177 0.0008 35.0396 ^ soc/_28388_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 34.9396 clock uncertainty
1.2728 36.2124 clock reconvergence pessimism
-0.1545 36.0579 library setup time
36.0579 data required time
-------------------------------------------------------------------------------------
36.0579 data required time
-26.4186 data arrival time
-------------------------------------------------------------------------------------
9.6393 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29366_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5402 0.0110 23.7173 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.2828 0.5210 24.2382 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1094 soc/net1416 (net)
0.2828 0.0003 24.2385 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.3770 0.5006 24.7391 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1496 soc/net1415 (net)
0.3783 0.0187 24.7577 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3121 0.4898 25.2475 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1717 soc/net1414 (net)
0.3145 0.0229 25.2704 ^ soc/_20875_/S (sky130_fd_sc_hd__mux2_1)
0.1360 0.8485 26.1189 v soc/_20875_/X (sky130_fd_sc_hd__mux2_1)
1 0.0053 soc/_01598_ (net)
0.1360 0.0001 26.1191 v soc/_29366_/D (sky130_fd_sc_hd__dfxtp_1)
26.1191 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0733 0.2430 33.2139 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0156 soc/clknet_3_5_0_core_clk (net)
0.0733 0.0008 33.2147 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0688 0.2138 33.4285 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0135 soc/clknet_3_5_1_core_clk (net)
0.0688 0.0007 33.4292 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1055 0.2455 33.6746 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0291 soc/clknet_3_5_2_core_clk (net)
0.1055 0.0020 33.6767 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1090 0.2665 33.9432 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0312 soc/clknet_4_10_0_core_clk (net)
0.1091 0.0009 33.9440 ^ soc/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0507 0.2102 34.1542 ^ soc/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0055 soc/clknet_5_21_0_core_clk (net)
0.0507 0.0001 34.1543 ^ soc/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3833 0.4358 34.5901 ^ soc/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1433 soc/clknet_5_21_1_core_clk (net)
0.3834 0.0059 34.5960 ^ soc/clkbuf_leaf_300_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0945 0.3688 34.9648 ^ soc/clkbuf_leaf_300_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
11 0.0382 soc/clknet_leaf_300_core_clk (net)
0.0945 0.0008 34.9656 ^ soc/_29366_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 34.8656 clock uncertainty
1.2728 36.1384 clock reconvergence pessimism
-0.2898 35.8486 library setup time
35.8486 data required time
-------------------------------------------------------------------------------------
35.8486 data required time
-26.1191 data arrival time
-------------------------------------------------------------------------------------
9.7296 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29360_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1939 0.0065 24.1829 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5057 0.5965 24.7794 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1156 soc/net1413 (net)
0.5066 0.0187 24.7981 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3015 0.5312 25.3293 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.0939 soc/net1412 (net)
0.3023 0.0128 25.3422 ^ soc/_20863_/S (sky130_fd_sc_hd__mux2_1)
0.1249 0.8291 26.1713 v soc/_20863_/X (sky130_fd_sc_hd__mux2_1)
1 0.0041 soc/_01592_ (net)
0.1249 0.0001 26.1714 v soc/_29360_/D (sky130_fd_sc_hd__dfxtp_1)
26.1714 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0005 33.9547 ^ soc/clkbuf_5_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0644 0.2279 34.1826 ^ soc/clkbuf_5_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0114 soc/clknet_5_0_0_core_clk (net)
0.0644 0.0005 34.1830 ^ soc/clkbuf_5_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3937 0.4526 34.6356 ^ soc/clkbuf_5_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1478 soc/clknet_5_0_1_core_clk (net)
0.3937 0.0020 34.6376 ^ soc/clkbuf_leaf_369_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1258 0.3990 35.0366 ^ soc/clkbuf_leaf_369_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0620 soc/clknet_leaf_369_core_clk (net)
0.1258 0.0005 35.0371 ^ soc/_29360_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 34.9371 clock uncertainty
1.2728 36.2100 clock reconvergence pessimism
-0.2768 35.9332 library setup time
35.9332 data required time
-------------------------------------------------------------------------------------
35.9332 data required time
-26.1714 data arrival time
-------------------------------------------------------------------------------------
9.7618 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29362_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1939 0.0065 24.1829 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5057 0.5965 24.7794 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1156 soc/net1413 (net)
0.5066 0.0187 24.7981 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3015 0.5312 25.3293 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.0939 soc/net1412 (net)
0.3022 0.0122 25.3415 ^ soc/_20867_/S (sky130_fd_sc_hd__mux2_1)
0.1119 0.8082 26.1497 v soc/_20867_/X (sky130_fd_sc_hd__mux2_1)
1 0.0030 soc/_01594_ (net)
0.1119 0.0000 26.1497 v soc/_29362_/D (sky130_fd_sc_hd__dfxtp_1)
26.1497 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0005 33.9547 ^ soc/clkbuf_5_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0644 0.2279 34.1826 ^ soc/clkbuf_5_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0114 soc/clknet_5_0_0_core_clk (net)
0.0644 0.0005 34.1830 ^ soc/clkbuf_5_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3937 0.4526 34.6356 ^ soc/clkbuf_5_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1478 soc/clknet_5_0_1_core_clk (net)
0.3938 0.0048 34.6404 ^ soc/clkbuf_leaf_3_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1013 0.3795 35.0199 ^ soc/clkbuf_leaf_3_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.0435 soc/clknet_leaf_3_core_clk (net)
0.1013 0.0010 35.0209 ^ soc/_29362_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 34.9209 clock uncertainty
1.2728 36.1938 clock reconvergence pessimism
-0.2779 35.9158 library setup time
35.9158 data required time
-------------------------------------------------------------------------------------
35.9158 data required time
-26.1497 data arrival time
-------------------------------------------------------------------------------------
9.7661 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29376_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5402 0.0110 23.7173 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.2828 0.5210 24.2382 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1094 soc/net1416 (net)
0.2828 0.0003 24.2385 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.3770 0.5006 24.7391 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1496 soc/net1415 (net)
0.3783 0.0187 24.7577 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3121 0.4898 25.2475 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1717 soc/net1414 (net)
0.3138 0.0193 25.2668 ^ soc/_20895_/S (sky130_fd_sc_hd__mux2_1)
0.1099 0.8081 26.0749 v soc/_20895_/X (sky130_fd_sc_hd__mux2_1)
1 0.0028 soc/_01608_ (net)
0.1099 0.0000 26.0750 v soc/_29376_/D (sky130_fd_sc_hd__dfxtp_1)
26.0750 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0733 0.2430 33.2139 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0156 soc/clknet_3_5_0_core_clk (net)
0.0733 0.0008 33.2147 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0688 0.2138 33.4285 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0135 soc/clknet_3_5_1_core_clk (net)
0.0688 0.0007 33.4292 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1055 0.2455 33.6746 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0291 soc/clknet_3_5_2_core_clk (net)
0.1055 0.0020 33.6767 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1090 0.2665 33.9432 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0312 soc/clknet_4_10_0_core_clk (net)
0.1091 0.0009 33.9440 ^ soc/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0507 0.2102 34.1542 ^ soc/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0055 soc/clknet_5_21_0_core_clk (net)
0.0507 0.0001 34.1543 ^ soc/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3833 0.4358 34.5901 ^ soc/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1433 soc/clknet_5_21_1_core_clk (net)
0.3833 0.0021 34.5922 ^ soc/clkbuf_leaf_302_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0897 0.3637 34.9559 ^ soc/clkbuf_leaf_302_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
12 0.0347 soc/clknet_leaf_302_core_clk (net)
0.0897 0.0009 34.9569 ^ soc/_29376_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 34.8569 clock uncertainty
1.2728 36.1297 clock reconvergence pessimism
-0.2803 35.8494 library setup time
35.8494 data required time
-------------------------------------------------------------------------------------
35.8494 data required time
-26.0750 data arrival time
-------------------------------------------------------------------------------------
9.7745 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30046_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5402 0.0110 23.7173 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.2828 0.5210 24.2382 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1094 soc/net1416 (net)
0.2828 0.0003 24.2385 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.3770 0.5006 24.7391 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1496 soc/net1415 (net)
0.3783 0.0187 24.7577 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3121 0.4898 25.2475 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1717 soc/net1414 (net)
0.3138 0.0196 25.2672 ^ soc/_22546_/S (sky130_fd_sc_hd__mux2_1)
0.1031 0.7922 26.0593 v soc/_22546_/X (sky130_fd_sc_hd__mux2_1)
1 0.0019 soc/_02171_ (net)
0.1031 0.0000 26.0593 v soc/_30046_/D (sky130_fd_sc_hd__dfxtp_4)
26.0593 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0733 0.2430 33.2139 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0156 soc/clknet_3_5_0_core_clk (net)
0.0733 0.0008 33.2147 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0688 0.2138 33.4285 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0135 soc/clknet_3_5_1_core_clk (net)
0.0688 0.0007 33.4292 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1055 0.2455 33.6746 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0291 soc/clknet_3_5_2_core_clk (net)
0.1055 0.0020 33.6767 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1090 0.2665 33.9432 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0312 soc/clknet_4_10_0_core_clk (net)
0.1091 0.0009 33.9440 ^ soc/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0507 0.2102 34.1542 ^ soc/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0055 soc/clknet_5_21_0_core_clk (net)
0.0507 0.0001 34.1543 ^ soc/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3833 0.4358 34.5901 ^ soc/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1433 soc/clknet_5_21_1_core_clk (net)
0.3833 0.0021 34.5922 ^ soc/clkbuf_leaf_302_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0897 0.3637 34.9559 ^ soc/clkbuf_leaf_302_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
12 0.0347 soc/clknet_leaf_302_core_clk (net)
0.0897 0.0011 34.9570 ^ soc/_30046_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 34.8570 clock uncertainty
1.2728 36.1299 clock reconvergence pessimism
-0.2811 35.8488 library setup time
35.8488 data required time
-------------------------------------------------------------------------------------
35.8488 data required time
-26.0593 data arrival time
-------------------------------------------------------------------------------------
9.7894 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30034_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5402 0.0110 23.7173 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.2828 0.5210 24.2382 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1094 soc/net1416 (net)
0.2828 0.0003 24.2385 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.3770 0.5006 24.7391 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1496 soc/net1415 (net)
0.3783 0.0187 24.7577 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3121 0.4898 25.2475 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1717 soc/net1414 (net)
0.3145 0.0230 25.2705 ^ soc/_22534_/S (sky130_fd_sc_hd__mux2_1)
0.1233 0.8301 26.1006 v soc/_22534_/X (sky130_fd_sc_hd__mux2_1)
1 0.0040 soc/_02159_ (net)
0.1233 0.0001 26.1007 v soc/_30034_/D (sky130_fd_sc_hd__dfxtp_1)
26.1007 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0733 0.2430 33.2139 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0156 soc/clknet_3_5_0_core_clk (net)
0.0733 0.0008 33.2147 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0688 0.2138 33.4285 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0135 soc/clknet_3_5_1_core_clk (net)
0.0688 0.0007 33.4292 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1055 0.2455 33.6746 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0291 soc/clknet_3_5_2_core_clk (net)
0.1055 0.0020 33.6767 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1090 0.2665 33.9432 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0312 soc/clknet_4_10_0_core_clk (net)
0.1091 0.0009 33.9440 ^ soc/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0507 0.2102 34.1542 ^ soc/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0055 soc/clknet_5_21_0_core_clk (net)
0.0507 0.0001 34.1543 ^ soc/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3833 0.4358 34.5901 ^ soc/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1433 soc/clknet_5_21_1_core_clk (net)
0.3835 0.0075 34.5976 ^ soc/clkbuf_leaf_299_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1263 0.3955 34.9931 ^ soc/clkbuf_leaf_299_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.0625 soc/clknet_leaf_299_core_clk (net)
0.1263 0.0004 34.9935 ^ soc/_30034_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 34.8935 clock uncertainty
1.2728 36.1663 clock reconvergence pessimism
-0.2759 35.8904 library setup time
35.8904 data required time
-------------------------------------------------------------------------------------
35.8904 data required time
-26.1007 data arrival time
-------------------------------------------------------------------------------------
9.7897 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30425_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5402 0.0110 23.7173 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.2828 0.5210 24.2382 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1094 soc/net1416 (net)
0.2839 0.0151 24.2533 ^ soc/max_length1417/A (sky130_fd_sc_hd__buf_6)
0.3292 0.4731 24.7264 ^ soc/max_length1417/X (sky130_fd_sc_hd__buf_6)
14 0.1033 soc/net1417 (net)
0.3300 0.0137 24.7402 ^ soc/_23477_/S (sky130_fd_sc_hd__mux2_1)
0.1581 0.8840 25.6242 v soc/_23477_/X (sky130_fd_sc_hd__mux2_1)
1 0.0079 soc/_10932_ (net)
0.1581 0.0003 25.6245 v soc/_23478_/A1 (sky130_fd_sc_hd__mux2_1)
0.1127 0.7142 26.3387 v soc/_23478_/X (sky130_fd_sc_hd__mux2_1)
1 0.0031 soc/_02504_ (net)
0.1127 0.0000 26.3387 v soc/_30425_/D (sky130_fd_sc_hd__dfxtp_4)
26.3387 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0733 0.2430 33.2139 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0156 soc/clknet_3_5_0_core_clk (net)
0.0733 0.0008 33.2147 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0688 0.2138 33.4285 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0135 soc/clknet_3_5_1_core_clk (net)
0.0688 0.0007 33.4292 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1055 0.2455 33.6746 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0291 soc/clknet_3_5_2_core_clk (net)
0.1055 0.0002 33.6749 ^ soc/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1175 0.2733 33.9482 ^ soc/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0350 soc/clknet_4_11_0_core_clk (net)
0.1176 0.0018 33.9500 ^ soc/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0694 0.2340 34.1840 ^ soc/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0138 soc/clknet_5_22_0_core_clk (net)
0.0694 0.0006 34.1846 ^ soc/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5995 0.5947 34.7792 ^ soc/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2300 soc/clknet_5_22_1_core_clk (net)
0.5998 0.0104 34.7896 ^ soc/clkbuf_leaf_253_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1314 0.4822 35.2718 ^ soc/clkbuf_leaf_253_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0640 soc/clknet_leaf_253_core_clk (net)
0.1314 0.0025 35.2743 ^ soc/_30425_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.1743 clock uncertainty
1.2728 36.4472 clock reconvergence pessimism
-0.2741 36.1731 library setup time
36.1731 data required time
-------------------------------------------------------------------------------------
36.1731 data required time
-26.3387 data arrival time
-------------------------------------------------------------------------------------
9.8344 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30022_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1938 0.0002 24.1766 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2180 0.3591 24.5357 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0665 soc/net1407 (net)
0.2180 0.0011 24.5368 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2750 0.4025 24.9393 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1070 soc/net1409 (net)
0.2761 0.0148 24.9540 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.3798 0.5053 25.4593 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1206 soc/net1408 (net)
0.3806 0.0147 25.4740 ^ soc/_22522_/S (sky130_fd_sc_hd__mux2_1)
0.1191 0.8420 26.3161 v soc/_22522_/X (sky130_fd_sc_hd__mux2_1)
1 0.0036 soc/_02147_ (net)
0.1191 0.0001 26.3162 v soc/_30022_/D (sky130_fd_sc_hd__dfxtp_1)
26.3162 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0014 33.9556 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0608 0.2243 34.1799 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0097 soc/clknet_5_1_0_core_clk (net)
0.0608 0.0003 34.1802 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5977 0.5904 34.7706 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2293 soc/clknet_5_1_1_core_clk (net)
0.5978 0.0050 34.7756 ^ soc/clkbuf_leaf_396_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1308 0.4812 35.2568 ^ soc/clkbuf_leaf_396_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0635 soc/clknet_leaf_396_core_clk (net)
0.1308 0.0008 35.2576 ^ soc/_30022_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1576 clock uncertainty
1.2728 36.4304 clock reconvergence pessimism
-0.2730 36.1574 library setup time
36.1574 data required time
-------------------------------------------------------------------------------------
36.1574 data required time
-26.3162 data arrival time
-------------------------------------------------------------------------------------
9.8413 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30311_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3673 0.0185 22.7874 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.5824 0.9515 23.7389 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1238 soc/_06923_ (net)
0.5827 0.0100 23.7489 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4006 0.5342 24.2831 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0862 soc/_10856_ (net)
0.4006 0.0020 24.2852 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1475 0.4753 24.7605 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1085 soc/net819 (net)
0.1495 0.0142 24.7747 v soc/max_length821/A (sky130_fd_sc_hd__buf_6)
0.1680 0.3667 25.1414 v soc/max_length821/X (sky130_fd_sc_hd__buf_6)
14 0.1000 soc/net821 (net)
0.1699 0.0150 25.1564 v soc/wire820/A (sky130_fd_sc_hd__buf_6)
0.1935 0.3988 25.5552 v soc/wire820/X (sky130_fd_sc_hd__buf_6)
16 0.1226 soc/net820 (net)
0.1974 0.0227 25.5779 v soc/_23333_/S (sky130_fd_sc_hd__mux2_1)
0.1081 0.7573 26.3352 v soc/_23333_/X (sky130_fd_sc_hd__mux2_1)
1 0.0023 soc/_02435_ (net)
0.1081 0.0000 26.3353 v soc/_30311_/D (sky130_fd_sc_hd__dfxtp_1)
26.3353 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0733 0.2430 33.2139 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0156 soc/clknet_3_5_0_core_clk (net)
0.0733 0.0008 33.2147 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0688 0.2138 33.4285 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0135 soc/clknet_3_5_1_core_clk (net)
0.0688 0.0007 33.4292 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1055 0.2455 33.6746 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0291 soc/clknet_3_5_2_core_clk (net)
0.1055 0.0020 33.6767 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1090 0.2665 33.9432 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0312 soc/clknet_4_10_0_core_clk (net)
0.1091 0.0015 33.9447 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2300 34.1746 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_20_0_core_clk (net)
0.0692 0.0006 34.1752 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6378 0.6196 34.7948 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2462 soc/clknet_5_20_1_core_clk (net)
0.6384 0.0162 34.8110 ^ soc/clkbuf_leaf_341_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1123 0.4806 35.2916 ^ soc/clkbuf_leaf_341_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0488 soc/clknet_leaf_341_core_clk (net)
0.1123 0.0011 35.2927 ^ soc/_30311_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1927 clock uncertainty
1.2728 36.4655 clock reconvergence pessimism
-0.2734 36.1921 library setup time
36.1921 data required time
-------------------------------------------------------------------------------------
36.1921 data required time
-26.3353 data arrival time
-------------------------------------------------------------------------------------
9.8568 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30314_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3673 0.0185 22.7874 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.5824 0.9515 23.7389 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1238 soc/_06923_ (net)
0.5827 0.0100 23.7489 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4006 0.5342 24.2831 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0862 soc/_10856_ (net)
0.4006 0.0020 24.2852 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1475 0.4753 24.7605 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1085 soc/net819 (net)
0.1495 0.0142 24.7747 v soc/max_length821/A (sky130_fd_sc_hd__buf_6)
0.1680 0.3667 25.1414 v soc/max_length821/X (sky130_fd_sc_hd__buf_6)
14 0.1000 soc/net821 (net)
0.1699 0.0150 25.1564 v soc/wire820/A (sky130_fd_sc_hd__buf_6)
0.1935 0.3988 25.5552 v soc/wire820/X (sky130_fd_sc_hd__buf_6)
16 0.1226 soc/net820 (net)
0.1976 0.0230 25.5782 v soc/_23336_/S (sky130_fd_sc_hd__mux2_1)
0.1049 0.7525 26.3307 v soc/_23336_/X (sky130_fd_sc_hd__mux2_1)
1 0.0020 soc/_02438_ (net)
0.1049 0.0000 26.3307 v soc/_30314_/D (sky130_fd_sc_hd__dfxtp_1)
26.3307 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0976 0.0008 30.8309 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2331 31.0641 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0776 0.0009 31.0649 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0786 0.2253 31.2902 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0787 0.0009 31.2911 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0808 0.2278 31.5189 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0808 0.0009 31.5198 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0783 0.2263 31.7461 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0783 0.0009 31.7470 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0756 0.2227 31.9697 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0756 0.0008 31.9705 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2025 32.1730 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0568 0.0001 32.1731 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1446 0.2709 32.4441 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0462 soc/clknet_1_1_6_core_clk (net)
0.1446 0.0025 32.4466 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0763 0.2528 32.6993 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0169 soc/clknet_2_2_0_core_clk (net)
0.0763 0.0009 32.7002 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1292 0.2690 32.9691 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0399 soc/clknet_2_2_1_core_clk (net)
0.1293 0.0018 32.9709 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0733 0.2430 33.2139 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0156 soc/clknet_3_5_0_core_clk (net)
0.0733 0.0008 33.2147 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0688 0.2138 33.4285 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0135 soc/clknet_3_5_1_core_clk (net)
0.0688 0.0007 33.4292 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1055 0.2455 33.6746 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0291 soc/clknet_3_5_2_core_clk (net)
0.1055 0.0020 33.6767 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1090 0.2665 33.9432 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0312 soc/clknet_4_10_0_core_clk (net)
0.1091 0.0015 33.9447 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2300 34.1746 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_20_0_core_clk (net)
0.0692 0.0006 34.1752 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6378 0.6196 34.7948 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2462 soc/clknet_5_20_1_core_clk (net)
0.6384 0.0162 34.8110 ^ soc/clkbuf_leaf_341_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1123 0.4806 35.2916 ^ soc/clkbuf_leaf_341_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0488 soc/clknet_leaf_341_core_clk (net)
0.1123 0.0005 35.2921 ^ soc/_30314_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1921 clock uncertainty
1.2728 36.4649 clock reconvergence pessimism
-0.2721 36.1928 library setup time
36.1928 data required time
-------------------------------------------------------------------------------------
36.1928 data required time
-26.3307 data arrival time
-------------------------------------------------------------------------------------
9.8621 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30443_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1938 0.0002 24.1766 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2180 0.3591 24.5357 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0665 soc/net1407 (net)
0.2184 0.0075 24.5432 ^ soc/_23513_/S (sky130_fd_sc_hd__mux2_1)
0.2916 1.0072 25.5504 v soc/_23513_/X (sky130_fd_sc_hd__mux2_1)
2 0.0244 soc/_10950_ (net)
0.2916 0.0018 25.5523 v soc/_23514_/A1 (sky130_fd_sc_hd__mux2_1)
0.1075 0.7628 26.3150 v soc/_23514_/X (sky130_fd_sc_hd__mux2_1)
1 0.0025 soc/_02522_ (net)
0.1075 0.0000 26.3151 v soc/_30443_/D (sky130_fd_sc_hd__dfxtp_4)
26.3151 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1319 0.0030 33.7139 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1340 0.2968 34.0107 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0418 soc/clknet_4_2_0_core_clk (net)
0.1341 0.0029 34.0136 ^ soc/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0694 0.2413 34.2549 ^ soc/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_5_0_core_clk (net)
0.0694 0.0006 34.2555 ^ soc/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5689 0.5733 34.8288 ^ soc/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2178 soc/clknet_5_5_1_core_clk (net)
0.5690 0.0061 34.8349 ^ soc/clkbuf_leaf_358_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1598 0.4925 35.3275 ^ soc/clkbuf_leaf_358_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0854 soc/clknet_leaf_358_core_clk (net)
0.1599 0.0027 35.3302 ^ soc/_30443_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.2302 clock uncertainty
1.2728 36.5030 clock reconvergence pessimism
-0.2645 36.2385 library setup time
36.2385 data required time
-------------------------------------------------------------------------------------
36.2385 data required time
-26.3151 data arrival time
-------------------------------------------------------------------------------------
9.9235 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30433_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1938 0.0002 24.1766 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2180 0.3591 24.5357 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0665 soc/net1407 (net)
0.2180 0.0015 24.5372 ^ soc/_23493_/S (sky130_fd_sc_hd__mux2_1)
0.1166 0.7925 25.3297 v soc/_23493_/X (sky130_fd_sc_hd__mux2_1)
1 0.0034 soc/_10940_ (net)
0.1166 0.0000 25.3297 v soc/_23494_/A1 (sky130_fd_sc_hd__mux2_1)
0.2437 0.8710 26.2008 v soc/_23494_/X (sky130_fd_sc_hd__mux2_1)
1 0.0184 soc/_02512_ (net)
0.2437 0.0011 26.2019 v soc/_30433_/D (sky130_fd_sc_hd__dfxtp_4)
26.2019 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1319 0.0030 33.7139 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1340 0.2968 34.0107 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0418 soc/clknet_4_2_0_core_clk (net)
0.1341 0.0029 34.0136 ^ soc/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0694 0.2413 34.2549 ^ soc/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_5_0_core_clk (net)
0.0694 0.0006 34.2555 ^ soc/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5689 0.5733 34.8288 ^ soc/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2178 soc/clknet_5_5_1_core_clk (net)
0.5691 0.0079 34.8367 ^ soc/clkbuf_leaf_381_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1202 0.4618 35.2985 ^ soc/clkbuf_leaf_381_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.0558 soc/clknet_leaf_381_core_clk (net)
0.1202 0.0007 35.2992 ^ soc/_30433_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.1992 clock uncertainty
1.2728 36.4721 clock reconvergence pessimism
-0.3308 36.1413 library setup time
36.1413 data required time
-------------------------------------------------------------------------------------
36.1413 data required time
-26.2019 data arrival time
-------------------------------------------------------------------------------------
9.9394 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_28394_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1939 0.0065 24.1829 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5057 0.5965 24.7794 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1156 soc/net1413 (net)
0.5066 0.0187 24.7981 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3015 0.5312 25.3293 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.0939 soc/net1412 (net)
0.3024 0.0137 25.3430 ^ soc/wire1411/A (sky130_fd_sc_hd__buf_6)
0.2903 0.4499 25.7929 ^ soc/wire1411/X (sky130_fd_sc_hd__buf_6)
14 0.0902 soc/net1411 (net)
0.2914 0.0153 25.8082 ^ soc/_19188_/B1 (sky130_fd_sc_hd__o311a_1)
0.3984 0.6849 26.4932 ^ soc/_19188_/X (sky130_fd_sc_hd__o311a_1)
2 0.0242 soc/_08479_ (net)
0.3984 0.0013 26.4945 ^ soc/_19189_/B1 (sky130_fd_sc_hd__a21o_1)
0.0813 0.2731 26.7676 ^ soc/_19189_/X (sky130_fd_sc_hd__a21o_1)
1 0.0041 soc/_00668_ (net)
0.0813 0.0001 26.7677 ^ soc/_28394_/D (sky130_fd_sc_hd__dfxtp_2)
26.7677 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0014 33.9556 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0608 0.2243 34.1799 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0097 soc/clknet_5_1_0_core_clk (net)
0.0608 0.0003 34.1802 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5977 0.5904 34.7706 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2293 soc/clknet_5_1_1_core_clk (net)
0.5980 0.0109 34.7815 ^ soc/clkbuf_opt_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0753 0.4278 35.2093 ^ soc/clkbuf_opt_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0203 soc/clknet_opt_1_0_core_clk (net)
0.0753 0.0011 35.2104 ^ soc/clkbuf_opt_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0593 0.2065 35.4169 ^ soc/clkbuf_opt_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0134 soc/clknet_opt_1_1_core_clk (net)
0.0593 0.0004 35.4174 ^ soc/clkbuf_leaf_399_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1195 0.2565 35.6738 ^ soc/clkbuf_leaf_399_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0592 soc/clknet_leaf_399_core_clk (net)
0.1195 0.0003 35.6741 ^ soc/_28394_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.5742 clock uncertainty
1.2728 36.8470 clock reconvergence pessimism
-0.1354 36.7116 library setup time
36.7116 data required time
-------------------------------------------------------------------------------------
36.7116 data required time
-26.7677 data arrival time
-------------------------------------------------------------------------------------
9.9439 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_28381_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1939 0.0065 24.1829 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5057 0.5965 24.7794 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1156 soc/net1413 (net)
0.5066 0.0187 24.7981 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3015 0.5312 25.3293 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.0939 soc/net1412 (net)
0.3020 0.0106 25.3399 ^ soc/_18913_/C1 (sky130_fd_sc_hd__o211a_1)
0.5005 0.7224 26.0623 ^ soc/_18913_/X (sky130_fd_sc_hd__o211a_1)
2 0.0375 soc/_08217_ (net)
0.5005 0.0043 26.0666 ^ soc/_18914_/B1 (sky130_fd_sc_hd__a21o_1)
0.0616 0.2795 26.3462 ^ soc/_18914_/X (sky130_fd_sc_hd__a21o_1)
1 0.0022 soc/_00655_ (net)
0.0616 0.0000 26.3462 ^ soc/_28381_/D (sky130_fd_sc_hd__dfxtp_1)
26.3462 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0017 32.9786 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2392 33.2178 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_3_1_0_core_clk (net)
0.0776 0.0008 33.2186 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0748 0.2217 33.4403 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_3_1_1_core_clk (net)
0.0748 0.0006 33.4409 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1318 0.2700 33.7109 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0409 soc/clknet_3_1_2_core_clk (net)
0.1319 0.0030 33.7139 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1340 0.2968 34.0107 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0418 soc/clknet_4_2_0_core_clk (net)
0.1341 0.0022 34.0129 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0704 0.2424 34.2553 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0142 soc/clknet_5_4_0_core_clk (net)
0.0704 0.0005 34.2557 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4662 0.5002 34.7559 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1765 soc/clknet_5_4_1_core_clk (net)
0.4668 0.0135 34.7693 ^ soc/clkbuf_leaf_360_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1803 0.4687 35.2380 ^ soc/clkbuf_leaf_360_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1010 soc/clknet_leaf_360_core_clk (net)
0.1804 0.0014 35.2394 ^ soc/_28381_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1394 clock uncertainty
1.2728 36.4122 clock reconvergence pessimism
-0.1076 36.3047 library setup time
36.3047 data required time
-------------------------------------------------------------------------------------
36.3047 data required time
-26.3462 data arrival time
-------------------------------------------------------------------------------------
9.9585 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_28393_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4089 0.4681 3.5366 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4395 0.0892 3.6258 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3913 4.0171 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 4.0174 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.2011 4.2185 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 4.2185 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.3019 4.5204 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 4.5205 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2775 4.7981 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 4.7983 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2038 5.0020 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 5.0021 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2918 5.2939 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 5.2940 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2652 5.5592 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 5.5593 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.4187 5.9780 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3334 0.0171 5.9951 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0915 0.3738 6.3689 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0366 housekeeping/clknet_0_wb_clk_i (net)
0.0915 0.0009 6.3698 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0591 0.2284 6.5982 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0089 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0591 0.0003 6.5985 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1169 0.2724 6.8709 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0347 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1170 0.0015 6.8724 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0776 0.2607 7.1331 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0175 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0776 0.0006 7.1337 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1723 0.3245 7.4582 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0576 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1724 0.0023 7.4605 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1737 0.9781 8.4386 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0242 housekeeping/net313 (net)
0.1737 0.0014 8.4400 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3196 1.3257 9.7657 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0195 housekeeping/net2049 (net)
0.3196 0.0013 9.7671 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.6665 1.6407 11.4077 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0438 housekeeping/net1871 (net)
0.6666 0.0062 11.4140 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0772 0.4049 11.8189 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0244 hk_ack_i (net)
0.0773 0.0022 11.8211 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0888 1.0752 12.8963 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0888 0.0000 12.8963 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1613 0.2323 13.1286 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0078 soc/net6 (net)
0.1613 0.0003 13.1289 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1722 1.1973 14.3262 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0088 soc/net4289 (net)
0.1722 0.0003 14.3265 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3202 0.4459 14.7724 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0712 soc/net4168 (net)
0.3216 0.0183 14.7906 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.0992 0.1836 14.9742 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0040 soc/_04414_ (net)
0.0992 0.0001 14.9743 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1820 0.3885 15.3628 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0478 soc/_04415_ (net)
0.1820 0.0027 15.3655 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.5910 0.7598 16.1252 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0234 soc/_04417_ (net)
0.5910 0.0016 16.1268 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.3918 0.6847 16.8115 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0892 soc/net2036 (net)
0.3925 0.0141 16.8256 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2435 0.4400 17.2656 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.0933 soc/net2035 (net)
0.2438 0.0081 17.2737 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2424 0.3928 17.6665 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.0932 soc/net2033 (net)
0.2431 0.0111 17.6776 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.3992 0.4865 18.1641 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1579 soc/net2034 (net)
0.4059 0.0430 18.2071 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4047 0.4785 18.6856 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0873 soc/_04423_ (net)
0.4047 0.0003 18.6860 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1267 0.4667 19.1527 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0901 soc/net1854 (net)
0.1268 0.0040 19.1566 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.1464 1.0063 20.1629 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0641 soc/_04457_ (net)
1.1464 0.0046 20.1676 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2481 0.7292 20.8968 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0519 soc/net1802 (net)
0.2482 0.0039 20.9007 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3232 0.4972 21.3979 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0199 soc/_04458_ (net)
0.3232 0.0012 21.3991 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.1974 0.3935 21.7926 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0593 soc/net1749 (net)
0.1977 0.0060 21.7986 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.0979 0.2699 22.0685 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0252 soc/net1745 (net)
0.0979 0.0001 22.0686 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1468 0.2624 22.3310 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0425 soc/net1736 (net)
0.1468 0.0003 22.3313 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3660 0.4376 22.7689 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1160 soc/net1738 (net)
0.3678 0.0218 22.7907 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5399 0.9156 23.7062 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1140 soc/_07974_ (net)
0.5399 0.0030 23.7092 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.1938 0.4672 24.1764 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0575 soc/net1410 (net)
0.1938 0.0002 24.1766 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2180 0.3591 24.5357 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0665 soc/net1407 (net)
0.2180 0.0011 24.5368 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2750 0.4025 24.9393 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1070 soc/net1409 (net)
0.2767 0.0179 24.9571 ^ soc/_19166_/C1 (sky130_fd_sc_hd__o211a_2)
0.5320 0.8245 25.7817 ^ soc/_19166_/X (sky130_fd_sc_hd__o211a_2)
2 0.0591 soc/_08458_ (net)
0.5323 0.0095 25.7912 ^ soc/_19167_/B1 (sky130_fd_sc_hd__a21o_1)
0.0731 0.3012 26.0924 ^ soc/_19167_/X (sky130_fd_sc_hd__a21o_1)
1 0.0033 soc/_00667_ (net)
0.0731 0.0000 26.0925 ^ soc/_28393_/D (sky130_fd_sc_hd__dfxtp_1)
26.0925 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4087 0.4284 27.4403 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1521 clock_core_buf (net)
0.4393 0.0827 27.5230 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0695 0.3630 27.8860 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0695 0.0003 27.8863 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0448 0.1865 28.0728 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0448 0.0000 28.0729 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1625 0.2801 28.3529 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.1625 0.0001 28.3531 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0704 0.2575 28.6105 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0704 0.0002 28.6107 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.1890 28.7997 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0040 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0464 0.0001 28.7998 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1499 0.2707 29.0705 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.1499 0.0001 29.0706 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0651 0.2461 29.3166 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0651 0.0001 29.3167 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3322 0.3884 29.7051 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2122 caravel_clk (net)
0.3606 0.0717 29.7768 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4417 0.5580 30.3349 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1640 caravel_clk_buf (net)
0.4745 0.0893 30.4242 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0976 0.4059 30.8301 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0393 soc/clknet_0_core_clk (net)
0.0977 0.0013 30.8314 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2382 31.0697 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_0_core_clk (net)
0.0831 0.0011 31.0708 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0777 0.2268 31.2976 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_1_0_1_core_clk (net)
0.0777 0.0009 31.2985 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.2265 31.5250 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_1_0_2_core_clk (net)
0.0799 0.0009 31.5259 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0787 0.2264 31.7523 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_0_3_core_clk (net)
0.0788 0.0009 31.7532 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0654 0.2127 31.9659 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_1_0_4_core_clk (net)
0.0654 0.0004 31.9663 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0500 0.1900 32.1563 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0052 soc/clknet_1_0_5_core_clk (net)
0.0500 0.0001 32.1564 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1954 0.3011 32.4575 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0666 soc/clknet_1_0_6_core_clk (net)
0.1956 0.0053 32.4628 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0642 0.2633 32.7261 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0113 soc/clknet_2_0_0_core_clk (net)
0.0642 0.0005 32.7265 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1111 0.2504 32.9769 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0323 soc/clknet_2_0_1_core_clk (net)
0.1112 0.0016 32.9785 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2327 33.2113 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_0_0_core_clk (net)
0.0710 0.0008 33.2121 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0692 0.2131 33.4252 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_3_0_1_core_clk (net)
0.0692 0.0007 33.4259 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1104 0.2517 33.6776 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0320 soc/clknet_3_0_2_core_clk (net)
0.1105 0.0023 33.6800 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1155 0.2742 33.9541 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0341 soc/clknet_4_0_0_core_clk (net)
0.1155 0.0005 33.9547 ^ soc/clkbuf_5_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0644 0.2279 34.1826 ^ soc/clkbuf_5_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0114 soc/clknet_5_0_0_core_clk (net)
0.0644 0.0005 34.1830 ^ soc/clkbuf_5_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3937 0.4526 34.6356 ^ soc/clkbuf_5_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1478 soc/clknet_5_0_1_core_clk (net)
0.3941 0.0105 34.6460 ^ soc/clkbuf_leaf_397_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1177 0.3927 35.0387 ^ soc/clkbuf_leaf_397_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0559 soc/clknet_leaf_397_core_clk (net)
0.1177 0.0013 35.0401 ^ soc/_28393_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 34.9401 clock uncertainty
1.2728 36.2129 clock reconvergence pessimism
-0.1211 36.0918 library setup time
36.0918 data required time
-------------------------------------------------------------------------------------
36.0918 data required time
-26.0925 data arrival time
-------------------------------------------------------------------------------------
9.9994 slack (MET)