vfinal
diff --git a/Pos-Layout/bsim4v5.out b/Pos-Layout/bsim4v5.out
deleted file mode 100644
index b0dcc7a..0000000
--- a/Pos-Layout/bsim4v5.out
+++ /dev/null
@@ -1,5 +0,0 @@
-BSIM4v5: Berkeley Short Channel IGFET Model-4
-Developed by Xuemei (Jane) Xi, Mohan Dunga, Prof. Ali Niknejad and Prof. Chenming Hu in 2003.
-
-++++++++++ BSIM4v5 PARAMETER CHECKING BELOW ++++++++++
-Model = xdet.x3:sky130_fd_pr__nfet_01v8__model.8
diff --git a/Pos-Layout/detV2.ext b/Pos-Layout/detV2.ext
deleted file mode 100644
index ab46810..0000000
--- a/Pos-Layout/detV2.ext
+++ /dev/null
@@ -1,26 +0,0 @@
-timestamp 1647797958
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use sky130_fd_pr__res_xhigh_po_0p35_HDW2JU R 1 0 -44735 0 1 -9330
-parameters sky130_fd_pr__nfet_01v8 l=l w=w
-parameters sky130_fd_pr__cap_mim_m3_1 w=w l=l
-port "din" 1 -63034 -25264 -63034 -25264 m4
-port "do" 3 -35040 -25176 -35040 -25176 m4
-port "db" 2 -45852 -26620 -45852 -26620 li
-port "GND" 4 -52114 -3556 -52114 -3556 m1
-node "din" 0 5432.53 -63034 -25264 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 57996708 32168 0 0 0 0
-node "do" 5 125590 -35040 -25176 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8300544 37092 2560000 6400 2560000 6400 64947092 41180 0 0 0 0
-node "bot_cin" 4376 113372 -46000 -11880 ndif 0 0 0 0 0 0 0 0 630000 9280 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 248880 6636 134620 3992 28640 964 312824408 80772 0 0 0 0 0 0
-node "db" 7836 16773.5 -45852 -26620 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 156600 9960 0 0 8715904 30440 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "GND" 0 0 -52114 -3556 m1 0 0 0 0 0 0 0 0 630000 9280 630000 9280 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 14088324 40020 13586104 28300 1008016 4016 312853200 76780 0 0 0 0 0 0
-cap "bot_cin" "db" 11.6617
-cap "din" "bot_cin" 95616.7
-device csubckt sky130_fd_pr__cap_mim_m3_1 -43000 -23676 -42999 -23675 w=17400 l=17400 "None" "do" 14656 0 "GND" 70 0
-device csubckt sky130_fd_pr__cap_mim_m3_1 -64884 -23708 -64883 -23707 w=17400 l=17400 "None" "din" 14656 0 "bot_cin" 70 0
-device msubckt sky130_fd_pr__nfet_01v8 -45860 -11880 -45859 -11879 l=30 w=4500 "GND" "db" 60 0 "bot_cin" 4500 0 "GND" 4500 0
-merge "R/VSUBS" "GND" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "R/a_n35_n2292#" "do" -15.927 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3300 -108 0 0 0 0 0 0 0 0 0 0
-merge "R/a_n35_1860#" "bot_cin" -14.896 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -100 0 0 0 0 0 0 0 0 0 0
diff --git a/Pos-Layout/detV2.spice b/Pos-Layout/detV2.spice
deleted file mode 100644
index 0085f3f..0000000
--- a/Pos-Layout/detV2.spice
+++ /dev/null
@@ -1,14 +0,0 @@
-* SPICE3 file created from detV2.ext - technology: sky130A
-
-.subckt detV2 din db do GND
-X0 do bot_cin GND sky130_fd_pr__res_xhigh_po w=350000u l=1.86e+07u
-X1 din bot_cin sky130_fd_pr__cap_mim_m3_1 l=8.7e+07u w=8.7e+07u
-X2 do GND sky130_fd_pr__cap_mim_m3_1 l=8.7e+07u w=8.7e+07u
-X3 GND db bot_cin GND sky130_fd_pr__nfet_01v8 w=2.25e+07u l=150000u
-C0 bot_cin db 0.01fF
-C1 bot_cin din 95.62fF
-C2 din GND 5.43fF
-C3 db GND 16.77fF
-C4 do GND 126.12fF
-C5 bot_cin GND 113.90fF **FLOATING
-.ends
diff --git a/Pos-Layout/detector_tb.spice b/Pos-Layout/detector_tb.spice
deleted file mode 100644
index 620b20b..0000000
--- a/Pos-Layout/detector_tb.spice
+++ /dev/null
@@ -1,19 +0,0 @@
-*************************************************************************************
-.TITLE TEST BENCH DETECTOR
-
-.LIB /edatools/pdks/skywater-pdk/libraries/sky130_fd_pr_ngspice/latest/models/sky130.lib.spice tt
-.INCLUDE /home/wisla/sky130_skel/Myschematics/Receptor/askMod.spice
-.INCLUDE /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/Pos-Layout/detV2.spice
-
-* CALL SUBCIRCUIT
-Xdet din db do GND detV2
-Xask din GND askMod
-Vb db GND 1
-
-.CONTROL
-  TRAN 1p 250n
-  plot v(din)
-  plot v(do)
-.ENDC
-.GLOBAL GND
-.END
diff --git a/Pos-Layout/otaV5.spice b/Pos-Layout/otaV5.spice
deleted file mode 100644
index 91c7606..0000000
--- a/Pos-Layout/otaV5.spice
+++ /dev/null
@@ -1,42 +0,0 @@
-* SPICE3 file created from otaV5.ext - technology: sky130A
-
-.subckt otaV5 Inp Inn Vout Ib cltop Vp Vn
-X0 Vn a_6890_1010# a_6890_750# Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
-X1 a_7570_1796# Inp a_6890_1010# Vp sky130_fd_pr__pfet_01v8 w=1.7e+06u l=2e+06u
-X2 Vn a_8070_1014# a_8070_1014# Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
-X3 Vn a_6890_1010# a_6890_1010# Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
-X4 Vp a_6890_750# Vout Vp sky130_fd_pr__pfet_01v8 w=1.4e+06u l=1e+06u
-X5 Vp Ib a_7570_1796# Vp sky130_fd_pr__pfet_01v8 w=5e+06u l=4e+06u
-X6 cltop Vout sky130_fd_pr__cap_mim_m3_1 l=3.2e+07u w=3.2e+07u
-X7 Vn a_8070_1014# Vout Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
-X8 Vp a_6890_750# a_6890_750# Vp sky130_fd_pr__pfet_01v8 w=1.4e+06u l=1e+06u
-X9 a_8070_1014# Inn a_7570_1796# Vp sky130_fd_pr__pfet_01v8 w=1.7e+06u l=2e+06u
-X10 Vp Ib Ib Vp sky130_fd_pr__pfet_01v8 w=5e+06u l=4e+06u
-C0 a_6890_1010# a_6890_750# 0.44fF
-C1 Inn a_8070_1014# 0.03fF
-C2 Inn Inp 0.37fF
-C3 a_8070_1014# a_6890_750# 0.07fF
-C4 Inp Vp 0.17fF
-C5 a_8070_1014# Vout 0.09fF
-C6 Inp Ib 0.08fF
-C7 a_6890_750# Vp 0.09fF
-C8 cltop Vout 15.77fF
-C9 a_8070_1014# a_6890_1010# 0.01fF
-C10 Inp a_6890_1010# 0.16fF
-C11 Inn Ib 0.11fF
-C12 a_7570_1796# Vp 0.01fF
-C13 Ib Vp 0.27fF
-C14 Vout Vp 0.03fF
-C15 Inn a_6890_1010# 0.22fF
-C16 a_6890_750# Vout 1.07fF
-C17 cltop Vn 1.16fF
-C18 Vout Vn 28.38fF
-C19 Inn Vn 2.75fF
-C20 Inp Vn 7.19fF
-C21 Ib Vn 7.73fF
-C22 Vp Vn 31.12fF
-C23 a_8070_1014# Vn 2.45fF **FLOATING
-C24 a_6890_1010# Vn 2.61fF **FLOATING
-C25 a_6890_750# Vn 2.36fF **FLOATING
-C26 a_7570_1796# Vn 0.10fF **FLOATING
-.ends
diff --git a/Pos-Layout/sky130_fd_pr__res_xhigh_po_0p35_HDW2JU.ext b/Pos-Layout/sky130_fd_pr__res_xhigh_po_0p35_HDW2JU.ext
deleted file mode 100644
index 2e7c8bb..0000000
--- a/Pos-Layout/sky130_fd_pr__res_xhigh_po_0p35_HDW2JU.ext
+++ /dev/null
@@ -1,17 +0,0 @@
-timestamp 1647728325
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-parameters sky130_fd_pr__res_xhigh_po l=l w=w
-parameters sky130_fd_pr__res_xhigh_po_5p73 l=l
-parameters sky130_fd_pr__res_xhigh_po_2p85 l=l
-parameters sky130_fd_pr__res_xhigh_po_1p41 l=l
-parameters sky130_fd_pr__res_xhigh_po_0p69 l=l
-parameters sky130_fd_pr__res_xhigh_po_0p35 l=l
-node "a_n35_n2292#" 179 547.239 -35 -2292 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 60480 2008 21050 942 0 0 0 0 0 0 0 0 0 0
-node "a_n35_n1860#" 106286 0 -35 -1860 xres 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 260400 7580 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n35_1860#" 179 547.239 -35 1860 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 60480 2008 21050 942 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-device rsubckt sky130_fd_pr__res_xhigh_po -35 -1860 -34 -1859 l=3720 w=70 "VSUBS" "a_n35_n1860#" 0 0 "a_n35_n2292#" 70 0 "a_n35_1860#" 70 0
diff --git a/Pos-Layout/user_analog_project_wrapper.ext b/Pos-Layout/user_analog_project_wrapper.ext
deleted file mode 100644
index 8521c6e..0000000
--- a/Pos-Layout/user_analog_project_wrapper.ext
+++ /dev/null
@@ -1,103 +0,0 @@
-timestamp 1647728325
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use user_analog_project_wrapper_empty user_analog_project_wrapper_empty_0 1 0 0 0 1 0
-use detV2 detV2_0 1 0 432146 0 1 607260
-parameters sky130_fd_pr__cap_mim_m3_1 w=w l=l
-node "c1_391936_587362#" 0 0 391936 587362 mim 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "c1_369548_587108#" 0 0 369548 587108 mim 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "m3_389074_583506#" 0 106814 389074 583506 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 308072700 70208 0 0 0 0 0 0
-node "m3_367190_583496#" 0 106746 367190 583496 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 307862052 70184 0 0 0 0 0 0
-node "m3_528674_679002#" 0 291548 528674 679002 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 190632000 194632 508280000 512284 0 0 0 0
-node "io_analog[3]" 0 128234 415004 647976 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 117932272 121948 184713768 188684 0 0 0 0
-node "m1_385540_604196#" 0 410694 385540 604196 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 362964000 366964 8000000 12000 200465136 203856 0 0 0 0 0 0
-node "li_385184_574990#" 113 187051 385184 574990 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6986452 17824 4056052 8056 4056052 8056 83872236 91876 348719976 351632 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "li_385184_574990#" "m1_385540_604196#" 2680
-cap "io_analog[3]" "m1_385540_604196#" 5140
-device csubckt sky130_fd_pr__cap_mim_m3_1 391936 587362 391937 587363 w=4682 l=3380 "None" "c1_391936_587362#" 0 0 "m3_389074_583506#" 4500 0
-device csubckt sky130_fd_pr__cap_mim_m3_1 369548 587108 369549 587109 w=4682 l=3380 "None" "c1_369548_587108#" 0 0 "m3_367190_583496#" 4500 0
-cap "io_analog[3]" "detV2_0/do" 32.0613
-cap "detV2_0/do" "io_analog[3]" 32.0613
-cap "m3_367190_583496#" "detV2_0/din" 2062.71
-cap "m3_367190_583496#" "detV2_0/din" 2256.8
-cap "m3_367190_583496#" "detV2_0/din" 2256.8
-cap "detV2_0/din" "m3_367190_583496#" 2256.8
-cap "m3_367190_583496#" "detV2_0/din" 582.89
-cap "m3_389074_583506#" "detV2_0/do" 1810.39
-cap "m3_389074_583506#" "detV2_0/do" 2227.4
-cap "detV2_0/do" "m3_389074_583506#" 2227.4
-cap "m3_389074_583506#" "detV2_0/do" 2227.4
-cap "detV2_0/do" "m3_389074_583506#" 801.692
-cap "detV2_0/din" "m3_367190_583496#" 3695.72
-cap "detV2_0/din" "m3_367190_583496#" 3887.43
-cap "detV2_0/din" "m3_367190_583496#" 3887.43
-cap "detV2_0/din" "m3_367190_583496#" 3827.08
-cap "m3_367190_583496#" "detV2_0/din" 768.23
-cap "detV2_0/do" "m3_389074_583506#" 3278.24
-cap "detV2_0/do" "m3_389074_583506#" 3887.43
-cap "m3_389074_583506#" "detV2_0/do" 3887.43
-cap "detV2_0/do" "m3_389074_583506#" 3776.67
-cap "m3_389074_583506#" "detV2_0/do" 1185.71
-cap "m3_367190_583496#" "detV2_0/din" 3695.72
-cap "m3_367190_583496#" "detV2_0/din" 3887.43
-cap "m3_367190_583496#" "detV2_0/din" 3887.43
-cap "m3_367190_583496#" "detV2_0/din" 3827.08
-cap "detV2_0/din" "m3_367190_583496#" 768.23
-cap "m3_389074_583506#" "detV2_0/do" 3278.24
-cap "m3_389074_583506#" "detV2_0/do" 3887.43
-cap "m3_389074_583506#" "detV2_0/do" 3887.43
-cap "detV2_0/do" "m3_389074_583506#" 3776.67
-cap "m3_389074_583506#" "detV2_0/do" 1185.71
-cap "m3_367190_583496#" "detV2_0/din" 3695.72
-cap "m3_367190_583496#" "detV2_0/din" 3658.86
-cap "detV2_0/din" "m3_367190_583496#" 3658.86
-cap "m3_367190_583496#" "detV2_0/din" 3538.16
-cap "m3_367190_583496#" "detV2_0/din" 682.015
-cap "m3_389074_583506#" "c1_391936_587362#" 3278.24
-cap "c1_391936_587362#" "m3_389074_583506#" 3658.86
-cap "m3_389074_583506#" "c1_391936_587362#" 3658.86
-cap "m3_389074_583506#" "detV2_0/do" 3437.34
-cap "detV2_0/do" "m3_389074_583506#" 1099.49
-cap "m3_367190_583496#" "detV2_0/din" 3695.72
-cap "m3_367190_583496#" "detV2_0/din" 3887.43
-cap "m3_367190_583496#" "detV2_0/din" 3887.43
-cap "m3_367190_583496#" "detV2_0/din" 3827.08
-cap "m3_367190_583496#" "detV2_0/din" 910.585
-cap "m3_389074_583506#" "c1_391936_587362#" 3278.24
-cap "m3_389074_583506#" "c1_391936_587362#" 3887.43
-cap "m3_389074_583506#" "c1_391936_587362#" 3887.43
-cap "m3_389074_583506#" "detV2_0/do" 3776.67
-cap "m3_389074_583506#" "detV2_0/do" 1328.06
-cap "m3_367190_583496#" "detV2_0/din" 3216.11
-cap "m3_367190_583496#" "detV2_0/din" 3191.54
-cap "detV2_0/din" "m3_367190_583496#" 3191.54
-cap "detV2_0/din" "m3_367190_583496#" 3070.84
-cap "detV2_0/din" "m3_367190_583496#" 508.829
-cap "m3_389074_583506#" "c1_391936_587362#" 3614.07
-cap "m3_389074_583506#" "c1_391936_587362#" 4155.86
-cap "c1_391936_587362#" "m3_389074_583506#" 4155.86
-cap "detV2_0/do" "m3_389074_583506#" 3934.34
-cap "m3_389074_583506#" "detV2_0/do" 1210.25
-cap "detV2_0/do" "m3_389074_583506#" 1019.58
-cap "m3_389074_583506#" "detV2_0/do" 1126.91
-cap "m3_389074_583506#" "detV2_0/do" 1126.91
-cap "m3_389074_583506#" "detV2_0/do" 1016.15
-cap "m3_389074_583506#" "detV2_0/do" 177.168
-merge "user_analog_project_wrapper_empty_0/io_analog[2]" "detV2_0/db" -2755.37 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -411696 -3648 0 0 0 0 -1045160 -5160 0 0 0 0 0 0
-merge "detV2_0/db" "li_385184_574990#"
-merge "user_analog_project_wrapper_empty_0/io_analog[1]" "detV2_0/din" -10328.8 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1088360 -5352 -2323076 -7956 0 0 0 0
-merge "detV2_0/din" "c1_369548_587108#"
-merge "c1_369548_587108#" "m3_528674_679002#"
-merge "user_analog_project_wrapper_empty_0/vssa1" "detV2_0/GND" -95105 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -3884000 -12144 0 0 -149354644 -220900 0 0 0 0 0 0
-merge "detV2_0/GND" "m1_385540_604196#"
-merge "m1_385540_604196#" "m3_389074_583506#"
-merge "m3_389074_583506#" "user_analog_project_wrapper_empty_0/VSUBS"
-merge "user_analog_project_wrapper_empty_0/VSUBS" "VSUBS"
-merge "detV2_0/bot_cin" "m3_367190_583496#" -85359.2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -143382748 -206558 0 0 0 0 0 0
-merge "user_analog_project_wrapper_empty_0/io_analog[3]" "detV2_0/do" -61612.6 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -100997296 -107000 -25452144 -18324 0 0 0 0
-merge "detV2_0/do" "c1_391936_587362#"
-merge "c1_391936_587362#" "io_analog[3]"
diff --git a/Pos-Layout/user_analog_project_wrapper.spice b/Pos-Layout/user_analog_project_wrapper.spice
deleted file mode 100644
index a1a74b1..0000000
--- a/Pos-Layout/user_analog_project_wrapper.spice
+++ /dev/null
@@ -1,698 +0,0 @@
-* NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A
-
-.subckt sky130_fd_pr__res_xhigh_po_0p35_HDW2JU a_n35_1860# a_n35_n2292# VSUBS
-X0 a_n35_n2292# a_n35_1860# VSUBS sky130_fd_pr__res_xhigh_po_0p35 l=1.86e+07u
-C0 a_n35_n2292# VSUBS 0.55fF
-C1 a_n35_1860# VSUBS 0.55fF
-.ends
-
-.subckt detV2 din db do GND
-XR a_n46000_n11880# do GND sky130_fd_pr__res_xhigh_po_0p35_HDW2JU
-X0 din a_n46000_n11880# sky130_fd_pr__cap_mim_m3_1 l=8.7e+07u w=8.7e+07u
-X1 do GND sky130_fd_pr__cap_mim_m3_1 l=8.7e+07u w=8.7e+07u
-X2 GND db a_n46000_n11880# GND sky130_fd_pr__nfet_01v8 w=2.25e+07u l=150000u
-C0 db a_n46000_n11880# 0.01fF
-C1 din a_n46000_n11880# 95.06fF
-C2 din GND 5.50fF
-C3 db GND 16.77fF
-C4 do GND 125.35fF
-C5 a_n46000_n11880# GND 112.58fF
-.ends
-
-.subckt user_analog_project_wrapper
-XdetV2_0 io_analog[2] detV2_0/db io_analog[3] vssa1 detV2
-C0 user_analog_project_wrapper_empty_0/io_clamp_high[1] user_analog_project_wrapper_empty_0/io_analog[5] 0.53fF
-C1 user_analog_project_wrapper_empty_0/io_clamp_high[0] user_analog_project_wrapper_empty_0/io_clamp_low[0] 0.53fF
-C2 user_analog_project_wrapper_empty_0/io_clamp_high[1] user_analog_project_wrapper_empty_0/io_clamp_low[1] 0.53fF
-C3 io_analog[2] detV2_0/db 10.68fF
-C4 user_analog_project_wrapper_empty_0/io_analog[5] user_analog_project_wrapper_empty_0/io_clamp_low[1] 0.53fF
-C5 user_analog_project_wrapper_empty_0/io_clamp_high[2] user_analog_project_wrapper_empty_0/io_analog[6] 0.53fF
-C6 user_analog_project_wrapper_empty_0/io_clamp_high[0] user_analog_project_wrapper_empty_0/io_analog[4] 0.53fF
-C7 user_analog_project_wrapper_empty_0/io_clamp_low[2] user_analog_project_wrapper_empty_0/io_analog[6] 0.53fF
-C8 user_analog_project_wrapper_empty_0/io_clamp_low[2] user_analog_project_wrapper_empty_0/io_clamp_high[2] 0.53fF
-C9 user_analog_project_wrapper_empty_0/io_analog[4] user_analog_project_wrapper_empty_0/io_clamp_low[0] 0.53fF
-C10 io_analog[2] vssa1 154.95fF
-C11 detV2_0/db vssa1 230.22fF
-C12 io_analog[3] vssa1 199.92fF
-C13 detV2_0/a_n46000_n11880# vssa1 112.58fF
-C14 user_analog_project_wrapper_empty_0/io_analog[4] vssa1 25.05fF
-C15 user_analog_project_wrapper_empty_0/io_analog[5] vssa1 25.05fF
-C16 user_analog_project_wrapper_empty_0/io_analog[6] vssa1 25.05fF
-C17 user_analog_project_wrapper_empty_0/io_in_3v3[0] vssa1 0.61fF
-C18 user_analog_project_wrapper_empty_0/io_oeb[26] vssa1 0.61fF
-C19 user_analog_project_wrapper_empty_0/io_in[0] vssa1 0.61fF
-C20 user_analog_project_wrapper_empty_0/io_out[26] vssa1 0.61fF
-C21 user_analog_project_wrapper_empty_0/io_out[0] vssa1 0.61fF
-C22 user_analog_project_wrapper_empty_0/io_in[26] vssa1 0.61fF
-C23 user_analog_project_wrapper_empty_0/io_oeb[0] vssa1 0.61fF
-C24 user_analog_project_wrapper_empty_0/io_in_3v3[26] vssa1 0.61fF
-C25 user_analog_project_wrapper_empty_0/io_in_3v3[1] vssa1 0.61fF
-C26 user_analog_project_wrapper_empty_0/io_oeb[25] vssa1 0.61fF
-C27 user_analog_project_wrapper_empty_0/io_in[1] vssa1 0.61fF
-C28 user_analog_project_wrapper_empty_0/io_out[25] vssa1 0.61fF
-C29 user_analog_project_wrapper_empty_0/io_out[1] vssa1 0.61fF
-C30 user_analog_project_wrapper_empty_0/io_in[25] vssa1 0.61fF
-C31 user_analog_project_wrapper_empty_0/io_oeb[1] vssa1 0.61fF
-C32 user_analog_project_wrapper_empty_0/io_in_3v3[25] vssa1 0.61fF
-C33 user_analog_project_wrapper_empty_0/io_in_3v3[2] vssa1 0.61fF
-C34 user_analog_project_wrapper_empty_0/io_oeb[24] vssa1 0.61fF
-C35 user_analog_project_wrapper_empty_0/io_in[2] vssa1 0.61fF
-C36 user_analog_project_wrapper_empty_0/io_out[24] vssa1 0.61fF
-C37 user_analog_project_wrapper_empty_0/io_out[2] vssa1 0.61fF
-C38 user_analog_project_wrapper_empty_0/io_in[24] vssa1 0.61fF
-C39 user_analog_project_wrapper_empty_0/io_oeb[2] vssa1 0.61fF
-C40 user_analog_project_wrapper_empty_0/io_in_3v3[24] vssa1 0.61fF
-C41 user_analog_project_wrapper_empty_0/io_in_3v3[3] vssa1 0.61fF
-C42 user_analog_project_wrapper_empty_0/gpio_noesd[17] vssa1 0.61fF
-C43 user_analog_project_wrapper_empty_0/io_in[3] vssa1 0.61fF
-C44 user_analog_project_wrapper_empty_0/gpio_analog[17] vssa1 0.61fF
-C45 user_analog_project_wrapper_empty_0/io_out[3] vssa1 0.61fF
-C46 user_analog_project_wrapper_empty_0/io_oeb[3] vssa1 0.61fF
-C47 user_analog_project_wrapper_empty_0/io_in_3v3[4] vssa1 0.61fF
-C48 user_analog_project_wrapper_empty_0/io_in[4] vssa1 0.61fF
-C49 user_analog_project_wrapper_empty_0/io_out[4] vssa1 0.61fF
-C50 user_analog_project_wrapper_empty_0/io_oeb[4] vssa1 0.61fF
-C51 user_analog_project_wrapper_empty_0/io_oeb[23] vssa1 0.61fF
-C52 user_analog_project_wrapper_empty_0/io_out[23] vssa1 0.61fF
-C53 user_analog_project_wrapper_empty_0/io_in[23] vssa1 0.61fF
-C54 user_analog_project_wrapper_empty_0/io_in_3v3[23] vssa1 0.61fF
-C55 user_analog_project_wrapper_empty_0/gpio_noesd[16] vssa1 0.61fF
-C56 user_analog_project_wrapper_empty_0/gpio_analog[16] vssa1 0.61fF
-C57 user_analog_project_wrapper_empty_0/io_in_3v3[5] vssa1 0.61fF
-C58 user_analog_project_wrapper_empty_0/io_in[5] vssa1 0.61fF
-C59 user_analog_project_wrapper_empty_0/io_out[5] vssa1 0.61fF
-C60 user_analog_project_wrapper_empty_0/io_oeb[5] vssa1 0.61fF
-C61 user_analog_project_wrapper_empty_0/io_oeb[22] vssa1 0.61fF
-C62 user_analog_project_wrapper_empty_0/io_out[22] vssa1 0.61fF
-C63 user_analog_project_wrapper_empty_0/io_in[22] vssa1 0.61fF
-C64 user_analog_project_wrapper_empty_0/io_in_3v3[22] vssa1 0.61fF
-C65 user_analog_project_wrapper_empty_0/gpio_noesd[15] vssa1 0.61fF
-C66 user_analog_project_wrapper_empty_0/gpio_analog[15] vssa1 0.61fF
-C67 user_analog_project_wrapper_empty_0/io_in_3v3[6] vssa1 0.61fF
-C68 user_analog_project_wrapper_empty_0/io_in[6] vssa1 0.61fF
-C69 user_analog_project_wrapper_empty_0/io_out[6] vssa1 0.61fF
-C70 user_analog_project_wrapper_empty_0/io_oeb[6] vssa1 0.61fF
-C71 user_analog_project_wrapper_empty_0/io_oeb[21] vssa1 0.61fF
-C72 user_analog_project_wrapper_empty_0/io_out[21] vssa1 0.61fF
-C73 user_analog_project_wrapper_empty_0/io_in[21] vssa1 0.61fF
-C74 user_analog_project_wrapper_empty_0/io_in_3v3[21] vssa1 0.61fF
-C75 user_analog_project_wrapper_empty_0/gpio_noesd[14] vssa1 0.61fF
-C76 user_analog_project_wrapper_empty_0/gpio_analog[14] vssa1 0.61fF
-C77 user_analog_project_wrapper_empty_0/vssd2 vssa1 13.04fF
-C78 user_analog_project_wrapper_empty_0/vssd1 vssa1 13.04fF
-C79 user_analog_project_wrapper_empty_0/vdda2 vssa1 13.04fF
-C80 user_analog_project_wrapper_empty_0/vdda1 vssa1 26.08fF
-C81 user_analog_project_wrapper_empty_0/io_oeb[20] vssa1 0.61fF
-C82 user_analog_project_wrapper_empty_0/io_out[20] vssa1 0.61fF
-C83 user_analog_project_wrapper_empty_0/io_in[20] vssa1 0.61fF
-C84 user_analog_project_wrapper_empty_0/io_in_3v3[20] vssa1 0.61fF
-C85 user_analog_project_wrapper_empty_0/gpio_noesd[13] vssa1 0.61fF
-C86 user_analog_project_wrapper_empty_0/gpio_analog[13] vssa1 0.61fF
-C87 user_analog_project_wrapper_empty_0/gpio_analog[0] vssa1 0.61fF
-C88 user_analog_project_wrapper_empty_0/gpio_noesd[0] vssa1 0.61fF
-C89 user_analog_project_wrapper_empty_0/io_in_3v3[7] vssa1 0.61fF
-C90 user_analog_project_wrapper_empty_0/io_in[7] vssa1 0.61fF
-C91 user_analog_project_wrapper_empty_0/io_out[7] vssa1 0.61fF
-C92 user_analog_project_wrapper_empty_0/io_oeb[7] vssa1 0.61fF
-C93 user_analog_project_wrapper_empty_0/io_oeb[19] vssa1 0.61fF
-C94 user_analog_project_wrapper_empty_0/io_out[19] vssa1 0.61fF
-C95 user_analog_project_wrapper_empty_0/io_in[19] vssa1 0.61fF
-C96 user_analog_project_wrapper_empty_0/io_in_3v3[19] vssa1 0.61fF
-C97 user_analog_project_wrapper_empty_0/gpio_noesd[12] vssa1 0.61fF
-C98 user_analog_project_wrapper_empty_0/gpio_analog[12] vssa1 0.61fF
-C99 user_analog_project_wrapper_empty_0/gpio_analog[1] vssa1 0.61fF
-C100 user_analog_project_wrapper_empty_0/gpio_noesd[1] vssa1 0.61fF
-C101 user_analog_project_wrapper_empty_0/io_in_3v3[8] vssa1 0.61fF
-C102 user_analog_project_wrapper_empty_0/io_in[8] vssa1 0.61fF
-C103 user_analog_project_wrapper_empty_0/io_out[8] vssa1 0.61fF
-C104 user_analog_project_wrapper_empty_0/io_oeb[8] vssa1 0.61fF
-C105 user_analog_project_wrapper_empty_0/io_oeb[18] vssa1 0.61fF
-C106 user_analog_project_wrapper_empty_0/io_out[18] vssa1 0.61fF
-C107 user_analog_project_wrapper_empty_0/io_in[18] vssa1 0.61fF
-C108 user_analog_project_wrapper_empty_0/io_in_3v3[18] vssa1 0.61fF
-C109 user_analog_project_wrapper_empty_0/gpio_noesd[11] vssa1 0.61fF
-C110 user_analog_project_wrapper_empty_0/gpio_analog[11] vssa1 0.61fF
-C111 user_analog_project_wrapper_empty_0/gpio_analog[2] vssa1 0.61fF
-C112 user_analog_project_wrapper_empty_0/gpio_noesd[2] vssa1 0.61fF
-C113 user_analog_project_wrapper_empty_0/io_in_3v3[9] vssa1 0.61fF
-C114 user_analog_project_wrapper_empty_0/io_in[9] vssa1 0.61fF
-C115 user_analog_project_wrapper_empty_0/io_out[9] vssa1 0.61fF
-C116 user_analog_project_wrapper_empty_0/io_oeb[9] vssa1 0.61fF
-C117 user_analog_project_wrapper_empty_0/io_oeb[17] vssa1 0.61fF
-C118 user_analog_project_wrapper_empty_0/io_out[17] vssa1 0.61fF
-C119 user_analog_project_wrapper_empty_0/io_in[17] vssa1 0.61fF
-C120 user_analog_project_wrapper_empty_0/io_in_3v3[17] vssa1 0.61fF
-C121 user_analog_project_wrapper_empty_0/gpio_noesd[10] vssa1 0.61fF
-C122 user_analog_project_wrapper_empty_0/gpio_analog[10] vssa1 0.61fF
-C123 user_analog_project_wrapper_empty_0/gpio_analog[3] vssa1 0.61fF
-C124 user_analog_project_wrapper_empty_0/gpio_noesd[3] vssa1 0.61fF
-C125 user_analog_project_wrapper_empty_0/io_in_3v3[10] vssa1 0.61fF
-C126 user_analog_project_wrapper_empty_0/io_in[10] vssa1 0.61fF
-C127 user_analog_project_wrapper_empty_0/io_out[10] vssa1 0.61fF
-C128 user_analog_project_wrapper_empty_0/io_oeb[10] vssa1 0.61fF
-C129 user_analog_project_wrapper_empty_0/io_oeb[16] vssa1 0.61fF
-C130 user_analog_project_wrapper_empty_0/io_out[16] vssa1 0.61fF
-C131 user_analog_project_wrapper_empty_0/io_in[16] vssa1 0.61fF
-C132 user_analog_project_wrapper_empty_0/io_in_3v3[16] vssa1 0.61fF
-C133 user_analog_project_wrapper_empty_0/gpio_noesd[9] vssa1 0.61fF
-C134 user_analog_project_wrapper_empty_0/gpio_analog[9] vssa1 0.61fF
-C135 user_analog_project_wrapper_empty_0/gpio_analog[4] vssa1 0.61fF
-C136 user_analog_project_wrapper_empty_0/gpio_noesd[4] vssa1 0.61fF
-C137 user_analog_project_wrapper_empty_0/io_in_3v3[11] vssa1 0.61fF
-C138 user_analog_project_wrapper_empty_0/io_in[11] vssa1 0.61fF
-C139 user_analog_project_wrapper_empty_0/io_out[11] vssa1 0.61fF
-C140 user_analog_project_wrapper_empty_0/io_oeb[11] vssa1 0.61fF
-C141 user_analog_project_wrapper_empty_0/io_oeb[15] vssa1 0.61fF
-C142 user_analog_project_wrapper_empty_0/io_out[15] vssa1 0.61fF
-C143 user_analog_project_wrapper_empty_0/io_in[15] vssa1 0.61fF
-C144 user_analog_project_wrapper_empty_0/io_in_3v3[15] vssa1 0.61fF
-C145 user_analog_project_wrapper_empty_0/gpio_noesd[8] vssa1 0.61fF
-C146 user_analog_project_wrapper_empty_0/gpio_analog[8] vssa1 0.61fF
-C147 user_analog_project_wrapper_empty_0/gpio_analog[5] vssa1 0.61fF
-C148 user_analog_project_wrapper_empty_0/gpio_noesd[5] vssa1 0.61fF
-C149 user_analog_project_wrapper_empty_0/io_in_3v3[12] vssa1 0.61fF
-C150 user_analog_project_wrapper_empty_0/io_in[12] vssa1 0.61fF
-C151 user_analog_project_wrapper_empty_0/io_out[12] vssa1 0.61fF
-C152 user_analog_project_wrapper_empty_0/io_oeb[12] vssa1 0.61fF
-C153 user_analog_project_wrapper_empty_0/io_oeb[14] vssa1 0.61fF
-C154 user_analog_project_wrapper_empty_0/io_out[14] vssa1 0.61fF
-C155 user_analog_project_wrapper_empty_0/io_in[14] vssa1 0.61fF
-C156 user_analog_project_wrapper_empty_0/io_in_3v3[14] vssa1 0.61fF
-C157 user_analog_project_wrapper_empty_0/gpio_noesd[7] vssa1 0.61fF
-C158 user_analog_project_wrapper_empty_0/gpio_analog[7] vssa1 0.61fF
-C159 user_analog_project_wrapper_empty_0/vssa2 vssa1 13.04fF
-C160 user_analog_project_wrapper_empty_0/gpio_analog[6] vssa1 0.61fF
-C161 user_analog_project_wrapper_empty_0/gpio_noesd[6] vssa1 0.61fF
-C162 user_analog_project_wrapper_empty_0/io_in_3v3[13] vssa1 0.61fF
-C163 user_analog_project_wrapper_empty_0/io_in[13] vssa1 0.61fF
-C164 user_analog_project_wrapper_empty_0/io_out[13] vssa1 0.61fF
-C165 user_analog_project_wrapper_empty_0/io_oeb[13] vssa1 0.61fF
-C166 user_analog_project_wrapper_empty_0/vccd1 vssa1 13.04fF
-C167 user_analog_project_wrapper_empty_0/vccd2 vssa1 13.04fF
-C168 user_analog_project_wrapper_empty_0/io_analog[0] vssa1 6.83fF
-C169 user_analog_project_wrapper_empty_0/io_analog[10] vssa1 6.83fF
-C170 user_analog_project_wrapper_empty_0/io_clamp_high[0] vssa1 3.58fF
-C171 user_analog_project_wrapper_empty_0/io_clamp_low[0] vssa1 3.58fF
-C172 user_analog_project_wrapper_empty_0/io_clamp_high[1] vssa1 3.58fF
-C173 user_analog_project_wrapper_empty_0/io_clamp_low[1] vssa1 3.58fF
-C174 user_analog_project_wrapper_empty_0/io_clamp_high[2] vssa1 3.58fF
-C175 user_analog_project_wrapper_empty_0/io_clamp_low[2] vssa1 3.58fF
-C176 user_analog_project_wrapper_empty_0/io_analog[7] vssa1 6.83fF
-C177 user_analog_project_wrapper_empty_0/io_analog[8] vssa1 6.83fF
-C178 user_analog_project_wrapper_empty_0/io_analog[9] vssa1 6.83fF
-C179 user_analog_project_wrapper_empty_0/user_irq[2] vssa1 0.63fF
-C180 user_analog_project_wrapper_empty_0/user_irq[1] vssa1 0.63fF
-C181 user_analog_project_wrapper_empty_0/user_irq[0] vssa1 0.63fF
-C182 user_analog_project_wrapper_empty_0/user_clock2 vssa1 0.63fF
-C183 user_analog_project_wrapper_empty_0/la_oenb[127] vssa1 0.63fF
-C184 user_analog_project_wrapper_empty_0/la_data_out[127] vssa1 0.63fF
-C185 user_analog_project_wrapper_empty_0/la_data_in[127] vssa1 0.63fF
-C186 user_analog_project_wrapper_empty_0/la_oenb[126] vssa1 0.63fF
-C187 user_analog_project_wrapper_empty_0/la_data_out[126] vssa1 0.63fF
-C188 user_analog_project_wrapper_empty_0/la_data_in[126] vssa1 0.63fF
-C189 user_analog_project_wrapper_empty_0/la_oenb[125] vssa1 0.63fF
-C190 user_analog_project_wrapper_empty_0/la_data_out[125] vssa1 0.63fF
-C191 user_analog_project_wrapper_empty_0/la_data_in[125] vssa1 0.63fF
-C192 user_analog_project_wrapper_empty_0/la_oenb[124] vssa1 0.63fF
-C193 user_analog_project_wrapper_empty_0/la_data_out[124] vssa1 0.63fF
-C194 user_analog_project_wrapper_empty_0/la_data_in[124] vssa1 0.63fF
-C195 user_analog_project_wrapper_empty_0/la_oenb[123] vssa1 0.63fF
-C196 user_analog_project_wrapper_empty_0/la_data_out[123] vssa1 0.63fF
-C197 user_analog_project_wrapper_empty_0/la_data_in[123] vssa1 0.63fF
-C198 user_analog_project_wrapper_empty_0/la_oenb[122] vssa1 0.63fF
-C199 user_analog_project_wrapper_empty_0/la_data_out[122] vssa1 0.63fF
-C200 user_analog_project_wrapper_empty_0/la_data_in[122] vssa1 0.63fF
-C201 user_analog_project_wrapper_empty_0/la_oenb[121] vssa1 0.63fF
-C202 user_analog_project_wrapper_empty_0/la_data_out[121] vssa1 0.63fF
-C203 user_analog_project_wrapper_empty_0/la_data_in[121] vssa1 0.63fF
-C204 user_analog_project_wrapper_empty_0/la_oenb[120] vssa1 0.63fF
-C205 user_analog_project_wrapper_empty_0/la_data_out[120] vssa1 0.63fF
-C206 user_analog_project_wrapper_empty_0/la_data_in[120] vssa1 0.63fF
-C207 user_analog_project_wrapper_empty_0/la_oenb[119] vssa1 0.63fF
-C208 user_analog_project_wrapper_empty_0/la_data_out[119] vssa1 0.63fF
-C209 user_analog_project_wrapper_empty_0/la_data_in[119] vssa1 0.63fF
-C210 user_analog_project_wrapper_empty_0/la_oenb[118] vssa1 0.63fF
-C211 user_analog_project_wrapper_empty_0/la_data_out[118] vssa1 0.63fF
-C212 user_analog_project_wrapper_empty_0/la_data_in[118] vssa1 0.63fF
-C213 user_analog_project_wrapper_empty_0/la_oenb[117] vssa1 0.63fF
-C214 user_analog_project_wrapper_empty_0/la_data_out[117] vssa1 0.63fF
-C215 user_analog_project_wrapper_empty_0/la_data_in[117] vssa1 0.63fF
-C216 user_analog_project_wrapper_empty_0/la_oenb[116] vssa1 0.63fF
-C217 user_analog_project_wrapper_empty_0/la_data_out[116] vssa1 0.63fF
-C218 user_analog_project_wrapper_empty_0/la_data_in[116] vssa1 0.63fF
-C219 user_analog_project_wrapper_empty_0/la_oenb[115] vssa1 0.63fF
-C220 user_analog_project_wrapper_empty_0/la_data_out[115] vssa1 0.63fF
-C221 user_analog_project_wrapper_empty_0/la_data_in[115] vssa1 0.63fF
-C222 user_analog_project_wrapper_empty_0/la_oenb[114] vssa1 0.63fF
-C223 user_analog_project_wrapper_empty_0/la_data_out[114] vssa1 0.63fF
-C224 user_analog_project_wrapper_empty_0/la_data_in[114] vssa1 0.63fF
-C225 user_analog_project_wrapper_empty_0/la_oenb[113] vssa1 0.63fF
-C226 user_analog_project_wrapper_empty_0/la_data_out[113] vssa1 0.63fF
-C227 user_analog_project_wrapper_empty_0/la_data_in[113] vssa1 0.63fF
-C228 user_analog_project_wrapper_empty_0/la_oenb[112] vssa1 0.63fF
-C229 user_analog_project_wrapper_empty_0/la_data_out[112] vssa1 0.63fF
-C230 user_analog_project_wrapper_empty_0/la_data_in[112] vssa1 0.63fF
-C231 user_analog_project_wrapper_empty_0/la_oenb[111] vssa1 0.63fF
-C232 user_analog_project_wrapper_empty_0/la_data_out[111] vssa1 0.63fF
-C233 user_analog_project_wrapper_empty_0/la_data_in[111] vssa1 0.63fF
-C234 user_analog_project_wrapper_empty_0/la_oenb[110] vssa1 0.63fF
-C235 user_analog_project_wrapper_empty_0/la_data_out[110] vssa1 0.63fF
-C236 user_analog_project_wrapper_empty_0/la_data_in[110] vssa1 0.63fF
-C237 user_analog_project_wrapper_empty_0/la_oenb[109] vssa1 0.63fF
-C238 user_analog_project_wrapper_empty_0/la_data_out[109] vssa1 0.63fF
-C239 user_analog_project_wrapper_empty_0/la_data_in[109] vssa1 0.63fF
-C240 user_analog_project_wrapper_empty_0/la_oenb[108] vssa1 0.63fF
-C241 user_analog_project_wrapper_empty_0/la_data_out[108] vssa1 0.63fF
-C242 user_analog_project_wrapper_empty_0/la_data_in[108] vssa1 0.63fF
-C243 user_analog_project_wrapper_empty_0/la_oenb[107] vssa1 0.63fF
-C244 user_analog_project_wrapper_empty_0/la_data_out[107] vssa1 0.63fF
-C245 user_analog_project_wrapper_empty_0/la_data_in[107] vssa1 0.63fF
-C246 user_analog_project_wrapper_empty_0/la_oenb[106] vssa1 0.63fF
-C247 user_analog_project_wrapper_empty_0/la_data_out[106] vssa1 0.63fF
-C248 user_analog_project_wrapper_empty_0/la_data_in[106] vssa1 0.63fF
-C249 user_analog_project_wrapper_empty_0/la_oenb[105] vssa1 0.63fF
-C250 user_analog_project_wrapper_empty_0/la_data_out[105] vssa1 0.63fF
-C251 user_analog_project_wrapper_empty_0/la_data_in[105] vssa1 0.63fF
-C252 user_analog_project_wrapper_empty_0/la_oenb[104] vssa1 0.63fF
-C253 user_analog_project_wrapper_empty_0/la_data_out[104] vssa1 0.63fF
-C254 user_analog_project_wrapper_empty_0/la_data_in[104] vssa1 0.63fF
-C255 user_analog_project_wrapper_empty_0/la_oenb[103] vssa1 0.63fF
-C256 user_analog_project_wrapper_empty_0/la_data_out[103] vssa1 0.63fF
-C257 user_analog_project_wrapper_empty_0/la_data_in[103] vssa1 0.63fF
-C258 user_analog_project_wrapper_empty_0/la_oenb[102] vssa1 0.63fF
-C259 user_analog_project_wrapper_empty_0/la_data_out[102] vssa1 0.63fF
-C260 user_analog_project_wrapper_empty_0/la_data_in[102] vssa1 0.63fF
-C261 user_analog_project_wrapper_empty_0/la_oenb[101] vssa1 0.63fF
-C262 user_analog_project_wrapper_empty_0/la_data_out[101] vssa1 0.63fF
-C263 user_analog_project_wrapper_empty_0/la_data_in[101] vssa1 0.63fF
-C264 user_analog_project_wrapper_empty_0/la_oenb[100] vssa1 0.63fF
-C265 user_analog_project_wrapper_empty_0/la_data_out[100] vssa1 0.63fF
-C266 user_analog_project_wrapper_empty_0/la_data_in[100] vssa1 0.63fF
-C267 user_analog_project_wrapper_empty_0/la_oenb[99] vssa1 0.63fF
-C268 user_analog_project_wrapper_empty_0/la_data_out[99] vssa1 0.63fF
-C269 user_analog_project_wrapper_empty_0/la_data_in[99] vssa1 0.63fF
-C270 user_analog_project_wrapper_empty_0/la_oenb[98] vssa1 0.63fF
-C271 user_analog_project_wrapper_empty_0/la_data_out[98] vssa1 0.63fF
-C272 user_analog_project_wrapper_empty_0/la_data_in[98] vssa1 0.63fF
-C273 user_analog_project_wrapper_empty_0/la_oenb[97] vssa1 0.63fF
-C274 user_analog_project_wrapper_empty_0/la_data_out[97] vssa1 0.63fF
-C275 user_analog_project_wrapper_empty_0/la_data_in[97] vssa1 0.63fF
-C276 user_analog_project_wrapper_empty_0/la_oenb[96] vssa1 0.63fF
-C277 user_analog_project_wrapper_empty_0/la_data_out[96] vssa1 0.63fF
-C278 user_analog_project_wrapper_empty_0/la_data_in[96] vssa1 0.63fF
-C279 user_analog_project_wrapper_empty_0/la_oenb[95] vssa1 0.63fF
-C280 user_analog_project_wrapper_empty_0/la_data_out[95] vssa1 0.63fF
-C281 user_analog_project_wrapper_empty_0/la_data_in[95] vssa1 0.63fF
-C282 user_analog_project_wrapper_empty_0/la_oenb[94] vssa1 0.63fF
-C283 user_analog_project_wrapper_empty_0/la_data_out[94] vssa1 0.63fF
-C284 user_analog_project_wrapper_empty_0/la_data_in[94] vssa1 0.63fF
-C285 user_analog_project_wrapper_empty_0/la_oenb[93] vssa1 0.63fF
-C286 user_analog_project_wrapper_empty_0/la_data_out[93] vssa1 0.63fF
-C287 user_analog_project_wrapper_empty_0/la_data_in[93] vssa1 0.63fF
-C288 user_analog_project_wrapper_empty_0/la_oenb[92] vssa1 0.63fF
-C289 user_analog_project_wrapper_empty_0/la_data_out[92] vssa1 0.63fF
-C290 user_analog_project_wrapper_empty_0/la_data_in[92] vssa1 0.63fF
-C291 user_analog_project_wrapper_empty_0/la_oenb[91] vssa1 0.63fF
-C292 user_analog_project_wrapper_empty_0/la_data_out[91] vssa1 0.63fF
-C293 user_analog_project_wrapper_empty_0/la_data_in[91] vssa1 0.63fF
-C294 user_analog_project_wrapper_empty_0/la_oenb[90] vssa1 0.63fF
-C295 user_analog_project_wrapper_empty_0/la_data_out[90] vssa1 0.63fF
-C296 user_analog_project_wrapper_empty_0/la_data_in[90] vssa1 0.63fF
-C297 user_analog_project_wrapper_empty_0/la_oenb[89] vssa1 0.63fF
-C298 user_analog_project_wrapper_empty_0/la_data_out[89] vssa1 0.63fF
-C299 user_analog_project_wrapper_empty_0/la_data_in[89] vssa1 0.63fF
-C300 user_analog_project_wrapper_empty_0/la_oenb[88] vssa1 0.63fF
-C301 user_analog_project_wrapper_empty_0/la_data_out[88] vssa1 0.63fF
-C302 user_analog_project_wrapper_empty_0/la_data_in[88] vssa1 0.63fF
-C303 user_analog_project_wrapper_empty_0/la_oenb[87] vssa1 0.63fF
-C304 user_analog_project_wrapper_empty_0/la_data_out[87] vssa1 0.63fF
-C305 user_analog_project_wrapper_empty_0/la_data_in[87] vssa1 0.63fF
-C306 user_analog_project_wrapper_empty_0/la_oenb[86] vssa1 0.63fF
-C307 user_analog_project_wrapper_empty_0/la_data_out[86] vssa1 0.63fF
-C308 user_analog_project_wrapper_empty_0/la_data_in[86] vssa1 0.63fF
-C309 user_analog_project_wrapper_empty_0/la_oenb[85] vssa1 0.63fF
-C310 user_analog_project_wrapper_empty_0/la_data_out[85] vssa1 0.63fF
-C311 user_analog_project_wrapper_empty_0/la_data_in[85] vssa1 0.63fF
-C312 user_analog_project_wrapper_empty_0/la_oenb[84] vssa1 0.63fF
-C313 user_analog_project_wrapper_empty_0/la_data_out[84] vssa1 0.63fF
-C314 user_analog_project_wrapper_empty_0/la_data_in[84] vssa1 0.63fF
-C315 user_analog_project_wrapper_empty_0/la_oenb[83] vssa1 0.63fF
-C316 user_analog_project_wrapper_empty_0/la_data_out[83] vssa1 0.63fF
-C317 user_analog_project_wrapper_empty_0/la_data_in[83] vssa1 0.63fF
-C318 user_analog_project_wrapper_empty_0/la_oenb[82] vssa1 0.63fF
-C319 user_analog_project_wrapper_empty_0/la_data_out[82] vssa1 0.63fF
-C320 user_analog_project_wrapper_empty_0/la_data_in[82] vssa1 0.63fF
-C321 user_analog_project_wrapper_empty_0/la_oenb[81] vssa1 0.63fF
-C322 user_analog_project_wrapper_empty_0/la_data_out[81] vssa1 0.63fF
-C323 user_analog_project_wrapper_empty_0/la_data_in[81] vssa1 0.63fF
-C324 user_analog_project_wrapper_empty_0/la_oenb[80] vssa1 0.63fF
-C325 user_analog_project_wrapper_empty_0/la_data_out[80] vssa1 0.63fF
-C326 user_analog_project_wrapper_empty_0/la_data_in[80] vssa1 0.63fF
-C327 user_analog_project_wrapper_empty_0/la_oenb[79] vssa1 0.63fF
-C328 user_analog_project_wrapper_empty_0/la_data_out[79] vssa1 0.63fF
-C329 user_analog_project_wrapper_empty_0/la_data_in[79] vssa1 0.63fF
-C330 user_analog_project_wrapper_empty_0/la_oenb[78] vssa1 0.63fF
-C331 user_analog_project_wrapper_empty_0/la_data_out[78] vssa1 0.63fF
-C332 user_analog_project_wrapper_empty_0/la_data_in[78] vssa1 0.63fF
-C333 user_analog_project_wrapper_empty_0/la_oenb[77] vssa1 0.63fF
-C334 user_analog_project_wrapper_empty_0/la_data_out[77] vssa1 0.63fF
-C335 user_analog_project_wrapper_empty_0/la_data_in[77] vssa1 0.63fF
-C336 user_analog_project_wrapper_empty_0/la_oenb[76] vssa1 0.63fF
-C337 user_analog_project_wrapper_empty_0/la_data_out[76] vssa1 0.63fF
-C338 user_analog_project_wrapper_empty_0/la_data_in[76] vssa1 0.63fF
-C339 user_analog_project_wrapper_empty_0/la_oenb[75] vssa1 0.63fF
-C340 user_analog_project_wrapper_empty_0/la_data_out[75] vssa1 0.63fF
-C341 user_analog_project_wrapper_empty_0/la_data_in[75] vssa1 0.63fF
-C342 user_analog_project_wrapper_empty_0/la_oenb[74] vssa1 0.63fF
-C343 user_analog_project_wrapper_empty_0/la_data_out[74] vssa1 0.63fF
-C344 user_analog_project_wrapper_empty_0/la_data_in[74] vssa1 0.63fF
-C345 user_analog_project_wrapper_empty_0/la_oenb[73] vssa1 0.63fF
-C346 user_analog_project_wrapper_empty_0/la_data_out[73] vssa1 0.63fF
-C347 user_analog_project_wrapper_empty_0/la_data_in[73] vssa1 0.63fF
-C348 user_analog_project_wrapper_empty_0/la_oenb[72] vssa1 0.63fF
-C349 user_analog_project_wrapper_empty_0/la_data_out[72] vssa1 0.63fF
-C350 user_analog_project_wrapper_empty_0/la_data_in[72] vssa1 0.63fF
-C351 user_analog_project_wrapper_empty_0/la_oenb[71] vssa1 0.63fF
-C352 user_analog_project_wrapper_empty_0/la_data_out[71] vssa1 0.63fF
-C353 user_analog_project_wrapper_empty_0/la_data_in[71] vssa1 0.63fF
-C354 user_analog_project_wrapper_empty_0/la_oenb[70] vssa1 0.63fF
-C355 user_analog_project_wrapper_empty_0/la_data_out[70] vssa1 0.63fF
-C356 user_analog_project_wrapper_empty_0/la_data_in[70] vssa1 0.63fF
-C357 user_analog_project_wrapper_empty_0/la_oenb[69] vssa1 0.63fF
-C358 user_analog_project_wrapper_empty_0/la_data_out[69] vssa1 0.63fF
-C359 user_analog_project_wrapper_empty_0/la_data_in[69] vssa1 0.63fF
-C360 user_analog_project_wrapper_empty_0/la_oenb[68] vssa1 0.63fF
-C361 user_analog_project_wrapper_empty_0/la_data_out[68] vssa1 0.63fF
-C362 user_analog_project_wrapper_empty_0/la_data_in[68] vssa1 0.63fF
-C363 user_analog_project_wrapper_empty_0/la_oenb[67] vssa1 0.63fF
-C364 user_analog_project_wrapper_empty_0/la_data_out[67] vssa1 0.63fF
-C365 user_analog_project_wrapper_empty_0/la_data_in[67] vssa1 0.63fF
-C366 user_analog_project_wrapper_empty_0/la_oenb[66] vssa1 0.63fF
-C367 user_analog_project_wrapper_empty_0/la_data_out[66] vssa1 0.63fF
-C368 user_analog_project_wrapper_empty_0/la_data_in[66] vssa1 0.63fF
-C369 user_analog_project_wrapper_empty_0/la_oenb[65] vssa1 0.63fF
-C370 user_analog_project_wrapper_empty_0/la_data_out[65] vssa1 0.63fF
-C371 user_analog_project_wrapper_empty_0/la_data_in[65] vssa1 0.63fF
-C372 user_analog_project_wrapper_empty_0/la_oenb[64] vssa1 0.63fF
-C373 user_analog_project_wrapper_empty_0/la_data_out[64] vssa1 0.63fF
-C374 user_analog_project_wrapper_empty_0/la_data_in[64] vssa1 0.63fF
-C375 user_analog_project_wrapper_empty_0/la_oenb[63] vssa1 0.63fF
-C376 user_analog_project_wrapper_empty_0/la_data_out[63] vssa1 0.63fF
-C377 user_analog_project_wrapper_empty_0/la_data_in[63] vssa1 0.63fF
-C378 user_analog_project_wrapper_empty_0/la_oenb[62] vssa1 0.63fF
-C379 user_analog_project_wrapper_empty_0/la_data_out[62] vssa1 0.63fF
-C380 user_analog_project_wrapper_empty_0/la_data_in[62] vssa1 0.63fF
-C381 user_analog_project_wrapper_empty_0/la_oenb[61] vssa1 0.63fF
-C382 user_analog_project_wrapper_empty_0/la_data_out[61] vssa1 0.63fF
-C383 user_analog_project_wrapper_empty_0/la_data_in[61] vssa1 0.63fF
-C384 user_analog_project_wrapper_empty_0/la_oenb[60] vssa1 0.63fF
-C385 user_analog_project_wrapper_empty_0/la_data_out[60] vssa1 0.63fF
-C386 user_analog_project_wrapper_empty_0/la_data_in[60] vssa1 0.63fF
-C387 user_analog_project_wrapper_empty_0/la_oenb[59] vssa1 0.63fF
-C388 user_analog_project_wrapper_empty_0/la_data_out[59] vssa1 0.63fF
-C389 user_analog_project_wrapper_empty_0/la_data_in[59] vssa1 0.63fF
-C390 user_analog_project_wrapper_empty_0/la_oenb[58] vssa1 0.63fF
-C391 user_analog_project_wrapper_empty_0/la_data_out[58] vssa1 0.63fF
-C392 user_analog_project_wrapper_empty_0/la_data_in[58] vssa1 0.63fF
-C393 user_analog_project_wrapper_empty_0/la_oenb[57] vssa1 0.63fF
-C394 user_analog_project_wrapper_empty_0/la_data_out[57] vssa1 0.63fF
-C395 user_analog_project_wrapper_empty_0/la_data_in[57] vssa1 0.63fF
-C396 user_analog_project_wrapper_empty_0/la_oenb[56] vssa1 0.63fF
-C397 user_analog_project_wrapper_empty_0/la_data_out[56] vssa1 0.63fF
-C398 user_analog_project_wrapper_empty_0/la_data_in[56] vssa1 0.63fF
-C399 user_analog_project_wrapper_empty_0/la_oenb[55] vssa1 0.63fF
-C400 user_analog_project_wrapper_empty_0/la_data_out[55] vssa1 0.63fF
-C401 user_analog_project_wrapper_empty_0/la_data_in[55] vssa1 0.63fF
-C402 user_analog_project_wrapper_empty_0/la_oenb[54] vssa1 0.63fF
-C403 user_analog_project_wrapper_empty_0/la_data_out[54] vssa1 0.63fF
-C404 user_analog_project_wrapper_empty_0/la_data_in[54] vssa1 0.63fF
-C405 user_analog_project_wrapper_empty_0/la_oenb[53] vssa1 0.63fF
-C406 user_analog_project_wrapper_empty_0/la_data_out[53] vssa1 0.63fF
-C407 user_analog_project_wrapper_empty_0/la_data_in[53] vssa1 0.63fF
-C408 user_analog_project_wrapper_empty_0/la_oenb[52] vssa1 0.63fF
-C409 user_analog_project_wrapper_empty_0/la_data_out[52] vssa1 0.63fF
-C410 user_analog_project_wrapper_empty_0/la_data_in[52] vssa1 0.63fF
-C411 user_analog_project_wrapper_empty_0/la_oenb[51] vssa1 0.63fF
-C412 user_analog_project_wrapper_empty_0/la_data_out[51] vssa1 0.63fF
-C413 user_analog_project_wrapper_empty_0/la_data_in[51] vssa1 0.63fF
-C414 user_analog_project_wrapper_empty_0/la_oenb[50] vssa1 0.63fF
-C415 user_analog_project_wrapper_empty_0/la_data_out[50] vssa1 0.63fF
-C416 user_analog_project_wrapper_empty_0/la_data_in[50] vssa1 0.63fF
-C417 user_analog_project_wrapper_empty_0/la_oenb[49] vssa1 0.63fF
-C418 user_analog_project_wrapper_empty_0/la_data_out[49] vssa1 0.63fF
-C419 user_analog_project_wrapper_empty_0/la_data_in[49] vssa1 0.63fF
-C420 user_analog_project_wrapper_empty_0/la_oenb[48] vssa1 0.63fF
-C421 user_analog_project_wrapper_empty_0/la_data_out[48] vssa1 0.63fF
-C422 user_analog_project_wrapper_empty_0/la_data_in[48] vssa1 0.63fF
-C423 user_analog_project_wrapper_empty_0/la_oenb[47] vssa1 0.63fF
-C424 user_analog_project_wrapper_empty_0/la_data_out[47] vssa1 0.63fF
-C425 user_analog_project_wrapper_empty_0/la_data_in[47] vssa1 0.63fF
-C426 user_analog_project_wrapper_empty_0/la_oenb[46] vssa1 0.63fF
-C427 user_analog_project_wrapper_empty_0/la_data_out[46] vssa1 0.63fF
-C428 user_analog_project_wrapper_empty_0/la_data_in[46] vssa1 0.63fF
-C429 user_analog_project_wrapper_empty_0/la_oenb[45] vssa1 0.63fF
-C430 user_analog_project_wrapper_empty_0/la_data_out[45] vssa1 0.63fF
-C431 user_analog_project_wrapper_empty_0/la_data_in[45] vssa1 0.63fF
-C432 user_analog_project_wrapper_empty_0/la_oenb[44] vssa1 0.63fF
-C433 user_analog_project_wrapper_empty_0/la_data_out[44] vssa1 0.63fF
-C434 user_analog_project_wrapper_empty_0/la_data_in[44] vssa1 0.63fF
-C435 user_analog_project_wrapper_empty_0/la_oenb[43] vssa1 0.63fF
-C436 user_analog_project_wrapper_empty_0/la_data_out[43] vssa1 0.63fF
-C437 user_analog_project_wrapper_empty_0/la_data_in[43] vssa1 0.63fF
-C438 user_analog_project_wrapper_empty_0/la_oenb[42] vssa1 0.63fF
-C439 user_analog_project_wrapper_empty_0/la_data_out[42] vssa1 0.63fF
-C440 user_analog_project_wrapper_empty_0/la_data_in[42] vssa1 0.63fF
-C441 user_analog_project_wrapper_empty_0/la_oenb[41] vssa1 0.63fF
-C442 user_analog_project_wrapper_empty_0/la_data_out[41] vssa1 0.63fF
-C443 user_analog_project_wrapper_empty_0/la_data_in[41] vssa1 0.63fF
-C444 user_analog_project_wrapper_empty_0/la_oenb[40] vssa1 0.63fF
-C445 user_analog_project_wrapper_empty_0/la_data_out[40] vssa1 0.63fF
-C446 user_analog_project_wrapper_empty_0/la_data_in[40] vssa1 0.63fF
-C447 user_analog_project_wrapper_empty_0/la_oenb[39] vssa1 0.63fF
-C448 user_analog_project_wrapper_empty_0/la_data_out[39] vssa1 0.63fF
-C449 user_analog_project_wrapper_empty_0/la_data_in[39] vssa1 0.63fF
-C450 user_analog_project_wrapper_empty_0/la_oenb[38] vssa1 0.63fF
-C451 user_analog_project_wrapper_empty_0/la_data_out[38] vssa1 0.63fF
-C452 user_analog_project_wrapper_empty_0/la_data_in[38] vssa1 0.63fF
-C453 user_analog_project_wrapper_empty_0/la_oenb[37] vssa1 0.63fF
-C454 user_analog_project_wrapper_empty_0/la_data_out[37] vssa1 0.63fF
-C455 user_analog_project_wrapper_empty_0/la_data_in[37] vssa1 0.63fF
-C456 user_analog_project_wrapper_empty_0/la_oenb[36] vssa1 0.63fF
-C457 user_analog_project_wrapper_empty_0/la_data_out[36] vssa1 0.63fF
-C458 user_analog_project_wrapper_empty_0/la_data_in[36] vssa1 0.63fF
-C459 user_analog_project_wrapper_empty_0/la_oenb[35] vssa1 0.63fF
-C460 user_analog_project_wrapper_empty_0/la_data_out[35] vssa1 0.63fF
-C461 user_analog_project_wrapper_empty_0/la_data_in[35] vssa1 0.63fF
-C462 user_analog_project_wrapper_empty_0/la_oenb[34] vssa1 0.63fF
-C463 user_analog_project_wrapper_empty_0/la_data_out[34] vssa1 0.63fF
-C464 user_analog_project_wrapper_empty_0/la_data_in[34] vssa1 0.63fF
-C465 user_analog_project_wrapper_empty_0/la_oenb[33] vssa1 0.63fF
-C466 user_analog_project_wrapper_empty_0/la_data_out[33] vssa1 0.63fF
-C467 user_analog_project_wrapper_empty_0/la_data_in[33] vssa1 0.63fF
-C468 user_analog_project_wrapper_empty_0/la_oenb[32] vssa1 0.63fF
-C469 user_analog_project_wrapper_empty_0/la_data_out[32] vssa1 0.63fF
-C470 user_analog_project_wrapper_empty_0/la_data_in[32] vssa1 0.63fF
-C471 user_analog_project_wrapper_empty_0/la_oenb[31] vssa1 0.63fF
-C472 user_analog_project_wrapper_empty_0/la_data_out[31] vssa1 0.63fF
-C473 user_analog_project_wrapper_empty_0/la_data_in[31] vssa1 0.63fF
-C474 user_analog_project_wrapper_empty_0/la_oenb[30] vssa1 0.63fF
-C475 user_analog_project_wrapper_empty_0/la_data_out[30] vssa1 0.63fF
-C476 user_analog_project_wrapper_empty_0/la_data_in[30] vssa1 0.63fF
-C477 user_analog_project_wrapper_empty_0/la_oenb[29] vssa1 0.63fF
-C478 user_analog_project_wrapper_empty_0/la_data_out[29] vssa1 0.63fF
-C479 user_analog_project_wrapper_empty_0/la_data_in[29] vssa1 0.63fF
-C480 user_analog_project_wrapper_empty_0/la_oenb[28] vssa1 0.63fF
-C481 user_analog_project_wrapper_empty_0/la_data_out[28] vssa1 0.63fF
-C482 user_analog_project_wrapper_empty_0/la_data_in[28] vssa1 0.63fF
-C483 user_analog_project_wrapper_empty_0/la_oenb[27] vssa1 0.63fF
-C484 user_analog_project_wrapper_empty_0/la_data_out[27] vssa1 0.63fF
-C485 user_analog_project_wrapper_empty_0/la_data_in[27] vssa1 0.63fF
-C486 user_analog_project_wrapper_empty_0/la_oenb[26] vssa1 0.63fF
-C487 user_analog_project_wrapper_empty_0/la_data_out[26] vssa1 0.63fF
-C488 user_analog_project_wrapper_empty_0/la_data_in[26] vssa1 0.63fF
-C489 user_analog_project_wrapper_empty_0/la_oenb[25] vssa1 0.63fF
-C490 user_analog_project_wrapper_empty_0/la_data_out[25] vssa1 0.63fF
-C491 user_analog_project_wrapper_empty_0/la_data_in[25] vssa1 0.63fF
-C492 user_analog_project_wrapper_empty_0/la_oenb[24] vssa1 0.63fF
-C493 user_analog_project_wrapper_empty_0/la_data_out[24] vssa1 0.63fF
-C494 user_analog_project_wrapper_empty_0/la_data_in[24] vssa1 0.63fF
-C495 user_analog_project_wrapper_empty_0/la_oenb[23] vssa1 0.63fF
-C496 user_analog_project_wrapper_empty_0/la_data_out[23] vssa1 0.63fF
-C497 user_analog_project_wrapper_empty_0/la_data_in[23] vssa1 0.63fF
-C498 user_analog_project_wrapper_empty_0/la_oenb[22] vssa1 0.63fF
-C499 user_analog_project_wrapper_empty_0/la_data_out[22] vssa1 0.63fF
-C500 user_analog_project_wrapper_empty_0/la_data_in[22] vssa1 0.63fF
-C501 user_analog_project_wrapper_empty_0/la_oenb[21] vssa1 0.63fF
-C502 user_analog_project_wrapper_empty_0/la_data_out[21] vssa1 0.63fF
-C503 user_analog_project_wrapper_empty_0/la_data_in[21] vssa1 0.63fF
-C504 user_analog_project_wrapper_empty_0/la_oenb[20] vssa1 0.63fF
-C505 user_analog_project_wrapper_empty_0/la_data_out[20] vssa1 0.63fF
-C506 user_analog_project_wrapper_empty_0/la_data_in[20] vssa1 0.63fF
-C507 user_analog_project_wrapper_empty_0/la_oenb[19] vssa1 0.63fF
-C508 user_analog_project_wrapper_empty_0/la_data_out[19] vssa1 0.63fF
-C509 user_analog_project_wrapper_empty_0/la_data_in[19] vssa1 0.63fF
-C510 user_analog_project_wrapper_empty_0/la_oenb[18] vssa1 0.63fF
-C511 user_analog_project_wrapper_empty_0/la_data_out[18] vssa1 0.63fF
-C512 user_analog_project_wrapper_empty_0/la_data_in[18] vssa1 0.63fF
-C513 user_analog_project_wrapper_empty_0/la_oenb[17] vssa1 0.63fF
-C514 user_analog_project_wrapper_empty_0/la_data_out[17] vssa1 0.63fF
-C515 user_analog_project_wrapper_empty_0/la_data_in[17] vssa1 0.63fF
-C516 user_analog_project_wrapper_empty_0/la_oenb[16] vssa1 0.63fF
-C517 user_analog_project_wrapper_empty_0/la_data_out[16] vssa1 0.63fF
-C518 user_analog_project_wrapper_empty_0/la_data_in[16] vssa1 0.63fF
-C519 user_analog_project_wrapper_empty_0/la_oenb[15] vssa1 0.63fF
-C520 user_analog_project_wrapper_empty_0/la_data_out[15] vssa1 0.63fF
-C521 user_analog_project_wrapper_empty_0/la_data_in[15] vssa1 0.63fF
-C522 user_analog_project_wrapper_empty_0/la_oenb[14] vssa1 0.63fF
-C523 user_analog_project_wrapper_empty_0/la_data_out[14] vssa1 0.63fF
-C524 user_analog_project_wrapper_empty_0/la_data_in[14] vssa1 0.63fF
-C525 user_analog_project_wrapper_empty_0/la_oenb[13] vssa1 0.63fF
-C526 user_analog_project_wrapper_empty_0/la_data_out[13] vssa1 0.63fF
-C527 user_analog_project_wrapper_empty_0/la_data_in[13] vssa1 0.63fF
-C528 user_analog_project_wrapper_empty_0/la_oenb[12] vssa1 0.63fF
-C529 user_analog_project_wrapper_empty_0/la_data_out[12] vssa1 0.63fF
-C530 user_analog_project_wrapper_empty_0/la_data_in[12] vssa1 0.63fF
-C531 user_analog_project_wrapper_empty_0/la_oenb[11] vssa1 0.63fF
-C532 user_analog_project_wrapper_empty_0/la_data_out[11] vssa1 0.63fF
-C533 user_analog_project_wrapper_empty_0/la_data_in[11] vssa1 0.63fF
-C534 user_analog_project_wrapper_empty_0/la_oenb[10] vssa1 0.63fF
-C535 user_analog_project_wrapper_empty_0/la_data_out[10] vssa1 0.63fF
-C536 user_analog_project_wrapper_empty_0/la_data_in[10] vssa1 0.63fF
-C537 user_analog_project_wrapper_empty_0/la_oenb[9] vssa1 0.63fF
-C538 user_analog_project_wrapper_empty_0/la_data_out[9] vssa1 0.63fF
-C539 user_analog_project_wrapper_empty_0/la_data_in[9] vssa1 0.63fF
-C540 user_analog_project_wrapper_empty_0/la_oenb[8] vssa1 0.63fF
-C541 user_analog_project_wrapper_empty_0/la_data_out[8] vssa1 0.63fF
-C542 user_analog_project_wrapper_empty_0/la_data_in[8] vssa1 0.63fF
-C543 user_analog_project_wrapper_empty_0/la_oenb[7] vssa1 0.63fF
-C544 user_analog_project_wrapper_empty_0/la_data_out[7] vssa1 0.63fF
-C545 user_analog_project_wrapper_empty_0/la_data_in[7] vssa1 0.63fF
-C546 user_analog_project_wrapper_empty_0/la_oenb[6] vssa1 0.63fF
-C547 user_analog_project_wrapper_empty_0/la_data_out[6] vssa1 0.63fF
-C548 user_analog_project_wrapper_empty_0/la_data_in[6] vssa1 0.63fF
-C549 user_analog_project_wrapper_empty_0/la_oenb[5] vssa1 0.63fF
-C550 user_analog_project_wrapper_empty_0/la_data_out[5] vssa1 0.63fF
-C551 user_analog_project_wrapper_empty_0/la_data_in[5] vssa1 0.63fF
-C552 user_analog_project_wrapper_empty_0/la_oenb[4] vssa1 0.63fF
-C553 user_analog_project_wrapper_empty_0/la_data_out[4] vssa1 0.63fF
-C554 user_analog_project_wrapper_empty_0/la_data_in[4] vssa1 0.63fF
-C555 user_analog_project_wrapper_empty_0/la_oenb[3] vssa1 0.63fF
-C556 user_analog_project_wrapper_empty_0/la_data_out[3] vssa1 0.63fF
-C557 user_analog_project_wrapper_empty_0/la_data_in[3] vssa1 0.63fF
-C558 user_analog_project_wrapper_empty_0/la_oenb[2] vssa1 0.63fF
-C559 user_analog_project_wrapper_empty_0/la_data_out[2] vssa1 0.63fF
-C560 user_analog_project_wrapper_empty_0/la_data_in[2] vssa1 0.63fF
-C561 user_analog_project_wrapper_empty_0/la_oenb[1] vssa1 0.63fF
-C562 user_analog_project_wrapper_empty_0/la_data_out[1] vssa1 0.63fF
-C563 user_analog_project_wrapper_empty_0/la_data_in[1] vssa1 0.63fF
-C564 user_analog_project_wrapper_empty_0/la_oenb[0] vssa1 0.63fF
-C565 user_analog_project_wrapper_empty_0/la_data_out[0] vssa1 0.63fF
-C566 user_analog_project_wrapper_empty_0/la_data_in[0] vssa1 0.63fF
-C567 user_analog_project_wrapper_empty_0/wbs_dat_o[31] vssa1 0.63fF
-C568 user_analog_project_wrapper_empty_0/wbs_dat_i[31] vssa1 0.63fF
-C569 user_analog_project_wrapper_empty_0/wbs_adr_i[31] vssa1 0.63fF
-C570 user_analog_project_wrapper_empty_0/wbs_dat_o[30] vssa1 0.63fF
-C571 user_analog_project_wrapper_empty_0/wbs_dat_i[30] vssa1 0.63fF
-C572 user_analog_project_wrapper_empty_0/wbs_adr_i[30] vssa1 0.63fF
-C573 user_analog_project_wrapper_empty_0/wbs_dat_o[29] vssa1 0.63fF
-C574 user_analog_project_wrapper_empty_0/wbs_dat_i[29] vssa1 0.63fF
-C575 user_analog_project_wrapper_empty_0/wbs_adr_i[29] vssa1 0.63fF
-C576 user_analog_project_wrapper_empty_0/wbs_dat_o[28] vssa1 0.63fF
-C577 user_analog_project_wrapper_empty_0/wbs_dat_i[28] vssa1 0.63fF
-C578 user_analog_project_wrapper_empty_0/wbs_adr_i[28] vssa1 0.63fF
-C579 user_analog_project_wrapper_empty_0/wbs_dat_o[27] vssa1 0.63fF
-C580 user_analog_project_wrapper_empty_0/wbs_dat_i[27] vssa1 0.63fF
-C581 user_analog_project_wrapper_empty_0/wbs_adr_i[27] vssa1 0.63fF
-C582 user_analog_project_wrapper_empty_0/wbs_dat_o[26] vssa1 0.63fF
-C583 user_analog_project_wrapper_empty_0/wbs_dat_i[26] vssa1 0.63fF
-C584 user_analog_project_wrapper_empty_0/wbs_adr_i[26] vssa1 0.63fF
-C585 user_analog_project_wrapper_empty_0/wbs_dat_o[25] vssa1 0.63fF
-C586 user_analog_project_wrapper_empty_0/wbs_dat_i[25] vssa1 0.63fF
-C587 user_analog_project_wrapper_empty_0/wbs_adr_i[25] vssa1 0.63fF
-C588 user_analog_project_wrapper_empty_0/wbs_dat_o[24] vssa1 0.63fF
-C589 user_analog_project_wrapper_empty_0/wbs_dat_i[24] vssa1 0.63fF
-C590 user_analog_project_wrapper_empty_0/wbs_adr_i[24] vssa1 0.63fF
-C591 user_analog_project_wrapper_empty_0/wbs_dat_o[23] vssa1 0.63fF
-C592 user_analog_project_wrapper_empty_0/wbs_dat_i[23] vssa1 0.63fF
-C593 user_analog_project_wrapper_empty_0/wbs_adr_i[23] vssa1 0.63fF
-C594 user_analog_project_wrapper_empty_0/wbs_dat_o[22] vssa1 0.63fF
-C595 user_analog_project_wrapper_empty_0/wbs_dat_i[22] vssa1 0.63fF
-C596 user_analog_project_wrapper_empty_0/wbs_adr_i[22] vssa1 0.63fF
-C597 user_analog_project_wrapper_empty_0/wbs_dat_o[21] vssa1 0.63fF
-C598 user_analog_project_wrapper_empty_0/wbs_dat_i[21] vssa1 0.63fF
-C599 user_analog_project_wrapper_empty_0/wbs_adr_i[21] vssa1 0.63fF
-C600 user_analog_project_wrapper_empty_0/wbs_dat_o[20] vssa1 0.63fF
-C601 user_analog_project_wrapper_empty_0/wbs_dat_i[20] vssa1 0.63fF
-C602 user_analog_project_wrapper_empty_0/wbs_adr_i[20] vssa1 0.63fF
-C603 user_analog_project_wrapper_empty_0/wbs_dat_o[19] vssa1 0.63fF
-C604 user_analog_project_wrapper_empty_0/wbs_dat_i[19] vssa1 0.63fF
-C605 user_analog_project_wrapper_empty_0/wbs_adr_i[19] vssa1 0.63fF
-C606 user_analog_project_wrapper_empty_0/wbs_dat_o[18] vssa1 0.63fF
-C607 user_analog_project_wrapper_empty_0/wbs_dat_i[18] vssa1 0.63fF
-C608 user_analog_project_wrapper_empty_0/wbs_adr_i[18] vssa1 0.63fF
-C609 user_analog_project_wrapper_empty_0/wbs_dat_o[17] vssa1 0.63fF
-C610 user_analog_project_wrapper_empty_0/wbs_dat_i[17] vssa1 0.63fF
-C611 user_analog_project_wrapper_empty_0/wbs_adr_i[17] vssa1 0.63fF
-C612 user_analog_project_wrapper_empty_0/wbs_dat_o[16] vssa1 0.63fF
-C613 user_analog_project_wrapper_empty_0/wbs_dat_i[16] vssa1 0.63fF
-C614 user_analog_project_wrapper_empty_0/wbs_adr_i[16] vssa1 0.63fF
-C615 user_analog_project_wrapper_empty_0/wbs_dat_o[15] vssa1 0.63fF
-C616 user_analog_project_wrapper_empty_0/wbs_dat_i[15] vssa1 0.63fF
-C617 user_analog_project_wrapper_empty_0/wbs_adr_i[15] vssa1 0.63fF
-C618 user_analog_project_wrapper_empty_0/wbs_dat_o[14] vssa1 0.63fF
-C619 user_analog_project_wrapper_empty_0/wbs_dat_i[14] vssa1 0.63fF
-C620 user_analog_project_wrapper_empty_0/wbs_adr_i[14] vssa1 0.63fF
-C621 user_analog_project_wrapper_empty_0/wbs_dat_o[13] vssa1 0.63fF
-C622 user_analog_project_wrapper_empty_0/wbs_dat_i[13] vssa1 0.63fF
-C623 user_analog_project_wrapper_empty_0/wbs_adr_i[13] vssa1 0.63fF
-C624 user_analog_project_wrapper_empty_0/wbs_dat_o[12] vssa1 0.63fF
-C625 user_analog_project_wrapper_empty_0/wbs_dat_i[12] vssa1 0.63fF
-C626 user_analog_project_wrapper_empty_0/wbs_adr_i[12] vssa1 0.63fF
-C627 user_analog_project_wrapper_empty_0/wbs_dat_o[11] vssa1 0.63fF
-C628 user_analog_project_wrapper_empty_0/wbs_dat_i[11] vssa1 0.63fF
-C629 user_analog_project_wrapper_empty_0/wbs_adr_i[11] vssa1 0.63fF
-C630 user_analog_project_wrapper_empty_0/wbs_dat_o[10] vssa1 0.63fF
-C631 user_analog_project_wrapper_empty_0/wbs_dat_i[10] vssa1 0.63fF
-C632 user_analog_project_wrapper_empty_0/wbs_adr_i[10] vssa1 0.63fF
-C633 user_analog_project_wrapper_empty_0/wbs_dat_o[9] vssa1 0.63fF
-C634 user_analog_project_wrapper_empty_0/wbs_dat_i[9] vssa1 0.63fF
-C635 user_analog_project_wrapper_empty_0/wbs_adr_i[9] vssa1 0.63fF
-C636 user_analog_project_wrapper_empty_0/wbs_dat_o[8] vssa1 0.63fF
-C637 user_analog_project_wrapper_empty_0/wbs_dat_i[8] vssa1 0.63fF
-C638 user_analog_project_wrapper_empty_0/wbs_adr_i[8] vssa1 0.63fF
-C639 user_analog_project_wrapper_empty_0/wbs_dat_o[7] vssa1 0.63fF
-C640 user_analog_project_wrapper_empty_0/wbs_dat_i[7] vssa1 0.63fF
-C641 user_analog_project_wrapper_empty_0/wbs_adr_i[7] vssa1 0.63fF
-C642 user_analog_project_wrapper_empty_0/wbs_dat_o[6] vssa1 0.63fF
-C643 user_analog_project_wrapper_empty_0/wbs_dat_i[6] vssa1 0.63fF
-C644 user_analog_project_wrapper_empty_0/wbs_adr_i[6] vssa1 0.63fF
-C645 user_analog_project_wrapper_empty_0/wbs_dat_o[5] vssa1 0.63fF
-C646 user_analog_project_wrapper_empty_0/wbs_dat_i[5] vssa1 0.63fF
-C647 user_analog_project_wrapper_empty_0/wbs_adr_i[5] vssa1 0.63fF
-C648 user_analog_project_wrapper_empty_0/wbs_dat_o[4] vssa1 0.63fF
-C649 user_analog_project_wrapper_empty_0/wbs_dat_i[4] vssa1 0.63fF
-C650 user_analog_project_wrapper_empty_0/wbs_adr_i[4] vssa1 0.63fF
-C651 user_analog_project_wrapper_empty_0/wbs_sel_i[3] vssa1 0.63fF
-C652 user_analog_project_wrapper_empty_0/wbs_dat_o[3] vssa1 0.63fF
-C653 user_analog_project_wrapper_empty_0/wbs_dat_i[3] vssa1 0.63fF
-C654 user_analog_project_wrapper_empty_0/wbs_adr_i[3] vssa1 0.63fF
-C655 user_analog_project_wrapper_empty_0/wbs_sel_i[2] vssa1 0.63fF
-C656 user_analog_project_wrapper_empty_0/wbs_dat_o[2] vssa1 0.63fF
-C657 user_analog_project_wrapper_empty_0/wbs_dat_i[2] vssa1 0.63fF
-C658 user_analog_project_wrapper_empty_0/wbs_adr_i[2] vssa1 0.63fF
-C659 user_analog_project_wrapper_empty_0/wbs_sel_i[1] vssa1 0.63fF
-C660 user_analog_project_wrapper_empty_0/wbs_dat_o[1] vssa1 0.63fF
-C661 user_analog_project_wrapper_empty_0/wbs_dat_i[1] vssa1 0.63fF
-C662 user_analog_project_wrapper_empty_0/wbs_adr_i[1] vssa1 0.63fF
-C663 user_analog_project_wrapper_empty_0/wbs_sel_i[0] vssa1 0.63fF
-C664 user_analog_project_wrapper_empty_0/wbs_dat_o[0] vssa1 0.63fF
-C665 user_analog_project_wrapper_empty_0/wbs_dat_i[0] vssa1 0.63fF
-C666 user_analog_project_wrapper_empty_0/wbs_adr_i[0] vssa1 0.63fF
-C667 user_analog_project_wrapper_empty_0/wbs_we_i vssa1 0.63fF
-C668 user_analog_project_wrapper_empty_0/wbs_stb_i vssa1 0.63fF
-C669 user_analog_project_wrapper_empty_0/wbs_cyc_i vssa1 0.63fF
-C670 user_analog_project_wrapper_empty_0/wbs_ack_o vssa1 0.63fF
-C671 user_analog_project_wrapper_empty_0/wb_rst_i vssa1 0.63fF
-C672 user_analog_project_wrapper_empty_0/wb_clk_i vssa1 0.63fF
-.ends
-
diff --git a/Pos-Layout/user_analog_project_wrapper_empty.ext b/Pos-Layout/user_analog_project_wrapper_empty.ext
deleted file mode 100644
index dc9c6bd..0000000
--- a/Pos-Layout/user_analog_project_wrapper_empty.ext
+++ /dev/null
@@ -1,1408 +0,0 @@
-timestamp 1647728325
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-port "io_analog[4]" 42 329294 702300 334294 704800 m5
-port "io_analog[4]" 42 318994 702300 323994 704800 m5
-port "io_analog[5]" 43 227594 702300 232594 704800 m5
-port "io_analog[5]" 43 217294 702300 222294 704800 m5
-port "io_analog[6]" 44 175894 702300 180894 704800 m5
-port "io_analog[6]" 44 165594 702300 170594 704800 m5
-port "io_analog[4]" 42 329294 702300 334294 704800 m4
-port "io_analog[4]" 42 318994 702300 323994 704800 m4
-port "io_analog[5]" 43 227594 702300 232594 704800 m4
-port "io_analog[5]" 43 217294 702300 222294 704800 m4
-port "io_analog[6]" 44 175894 702300 180894 704800 m4
-port "io_analog[6]" 44 165594 702300 170594 704800 m4
-port "io_in_3v3[0]" 81 583520 1544 584800 1656 m3
-port "io_oeb[26]" 126 -800 1544 480 1656 m3
-port "io_in[0]" 54 583520 2726 584800 2838 m3
-port "io_out[26]" 153 -800 2726 480 2838 m3
-port "io_out[0]" 135 583520 3908 584800 4020 m3
-port "io_in[26]" 72 -800 3908 480 4020 m3
-port "io_oeb[0]" 108 583520 5090 584800 5202 m3
-port "io_in_3v3[26]" 99 -800 5090 480 5202 m3
-port "io_in_3v3[1]" 92 583520 6272 584800 6384 m3
-port "io_oeb[25]" 125 -800 6272 480 6384 m3
-port "io_in[1]" 65 583520 7454 584800 7566 m3
-port "io_out[25]" 152 -800 7454 480 7566 m3
-port "io_out[1]" 146 583520 8636 584800 8748 m3
-port "io_in[25]" 71 -800 8636 480 8748 m3
-port "io_oeb[1]" 119 583520 9818 584800 9930 m3
-port "io_in_3v3[25]" 98 -800 9818 480 9930 m3
-port "io_in_3v3[2]" 100 583520 11000 584800 11112 m3
-port "io_oeb[24]" 124 -800 11000 480 11112 m3
-port "io_in[2]" 73 583520 12182 584800 12294 m3
-port "io_out[24]" 151 -800 12182 480 12294 m3
-port "io_out[2]" 154 583520 13364 584800 13476 m3
-port "io_in[24]" 70 -800 13364 480 13476 m3
-port "io_oeb[2]" 127 583520 14546 584800 14658 m3
-port "io_in_3v3[24]" 97 -800 14546 480 14658 m3
-port "io_in_3v3[3]" 101 583520 15728 584800 15840 m3
-port "gpio_noesd[17]" 27 -800 15728 480 15840 m3
-port "io_in[3]" 74 583520 16910 584800 17022 m3
-port "gpio_analog[17]" 9 -800 16910 480 17022 m3
-port "io_out[3]" 155 583520 18092 584800 18204 m3
-port "io_oeb[3]" 128 583520 19274 584800 19386 m3
-port "io_in_3v3[4]" 102 583520 20456 584800 20568 m3
-port "io_in[4]" 75 583520 21638 584800 21750 m3
-port "io_out[4]" 156 583520 22820 584800 22932 m3
-port "io_oeb[4]" 129 583520 24002 584800 24114 m3
-port "io_oeb[23]" 123 -800 32422 480 32534 m3
-port "io_out[23]" 150 -800 33604 480 33716 m3
-port "io_in[23]" 69 -800 34786 480 34898 m3
-port "io_in_3v3[23]" 96 -800 35968 480 36080 m3
-port "gpio_noesd[16]" 26 -800 37150 480 37262 m3
-port "gpio_analog[16]" 8 -800 38332 480 38444 m3
-port "io_in_3v3[5]" 103 583520 46914 584800 47026 m3
-port "io_in[5]" 76 583520 48096 584800 48208 m3
-port "io_out[5]" 157 583520 49278 584800 49390 m3
-port "io_oeb[5]" 130 583520 50460 584800 50572 m3
-port "io_oeb[22]" 122 -800 75644 480 75756 m3
-port "io_out[22]" 149 -800 76826 480 76938 m3
-port "io_in[22]" 68 -800 78008 480 78120 m3
-port "io_in_3v3[22]" 95 -800 79190 480 79302 m3
-port "gpio_noesd[15]" 25 -800 80372 480 80484 m3
-port "gpio_analog[15]" 7 -800 81554 480 81666 m3
-port "io_in_3v3[6]" 104 583520 91572 584800 91684 m3
-port "io_in[6]" 77 583520 92754 584800 92866 m3
-port "io_out[6]" 158 583520 93936 584800 94048 m3
-port "io_oeb[6]" 131 583520 95118 584800 95230 m3
-port "io_oeb[21]" 121 -800 118866 480 118978 m3
-port "io_out[21]" 148 -800 120048 480 120160 m3
-port "io_in[21]" 67 -800 121230 480 121342 m3
-port "io_in_3v3[21]" 94 -800 122412 480 122524 m3
-port "gpio_noesd[14]" 24 -800 123594 480 123706 m3
-port "gpio_analog[14]" 6 -800 124776 480 124888 m3
-port "vssa1" 554 582340 136830 584800 141630 m3
-port "vssa1" 554 582340 146830 584800 151630 m3
-port "vssd2" 557 0 162888 1660 167688 m3
-port "vssd2" 557 0 172888 1660 177688 m3
-port "vssd1" 556 582340 181430 584800 186230 m3
-port "vssd1" 556 582340 191430 584800 196230 m3
-port "vdda2" 553 0 204888 1660 209688 m3
-port "vdda2" 553 0 214888 1660 219688 m3
-port "vdda1" 552 582340 225230 584800 230030 m3
-port "vdda1" 552 582340 235230 584800 240030 m3
-port "io_oeb[20]" 120 -800 246488 480 246600 m3
-port "io_out[20]" 147 -800 247670 480 247782 m3
-port "io_in[20]" 66 -800 248852 480 248964 m3
-port "io_in_3v3[20]" 93 -800 250034 480 250146 m3
-port "gpio_noesd[13]" 23 -800 251216 480 251328 m3
-port "gpio_analog[13]" 5 -800 252398 480 252510 m3
-port "gpio_analog[0]" 1 583520 269230 584800 269342 m3
-port "gpio_noesd[0]" 19 583520 270412 584800 270524 m3
-port "io_in_3v3[7]" 105 583520 271594 584800 271706 m3
-port "io_in[7]" 78 583520 272776 584800 272888 m3
-port "io_out[7]" 159 583520 273958 584800 274070 m3
-port "io_oeb[7]" 132 583520 275140 584800 275252 m3
-port "io_oeb[19]" 118 -800 289510 480 289622 m3
-port "io_out[19]" 145 -800 290692 480 290804 m3
-port "io_in[19]" 64 -800 291874 480 291986 m3
-port "io_in_3v3[19]" 91 -800 293056 480 293168 m3
-port "gpio_noesd[12]" 22 -800 294238 480 294350 m3
-port "gpio_analog[12]" 4 -800 295420 480 295532 m3
-port "gpio_analog[1]" 10 583520 313652 584800 313764 m3
-port "gpio_noesd[1]" 28 583520 314834 584800 314946 m3
-port "io_in_3v3[8]" 106 583520 316016 584800 316128 m3
-port "io_in[8]" 79 583520 317198 584800 317310 m3
-port "io_out[8]" 160 583520 318380 584800 318492 m3
-port "io_oeb[8]" 133 583520 319562 584800 319674 m3
-port "io_oeb[18]" 117 -800 332732 480 332844 m3
-port "io_out[18]" 144 -800 333914 480 334026 m3
-port "io_in[18]" 63 -800 335096 480 335208 m3
-port "io_in_3v3[18]" 90 -800 336278 480 336390 m3
-port "gpio_noesd[11]" 21 -800 337460 480 337572 m3
-port "gpio_analog[11]" 3 -800 338642 480 338754 m3
-port "gpio_analog[2]" 11 583520 358874 584800 358986 m3
-port "gpio_noesd[2]" 29 583520 360056 584800 360168 m3
-port "io_in_3v3[9]" 107 583520 361238 584800 361350 m3
-port "io_in[9]" 80 583520 362420 584800 362532 m3
-port "io_out[9]" 161 583520 363602 584800 363714 m3
-port "io_oeb[9]" 134 583520 364784 584800 364896 m3
-port "io_oeb[17]" 116 -800 375954 480 376066 m3
-port "io_out[17]" 143 -800 377136 480 377248 m3
-port "io_in[17]" 62 -800 378318 480 378430 m3
-port "io_in_3v3[17]" 89 -800 379500 480 379612 m3
-port "gpio_noesd[10]" 20 -800 380682 480 380794 m3
-port "gpio_analog[10]" 2 -800 381864 480 381976 m3
-port "gpio_analog[3]" 12 583520 405296 584800 405408 m3
-port "gpio_noesd[3]" 30 583520 406478 584800 406590 m3
-port "io_in_3v3[10]" 82 583520 407660 584800 407772 m3
-port "io_in[10]" 55 583520 408842 584800 408954 m3
-port "io_out[10]" 136 583520 410024 584800 410136 m3
-port "io_oeb[10]" 109 583520 411206 584800 411318 m3
-port "io_oeb[16]" 115 -800 419176 480 419288 m3
-port "io_out[16]" 142 -800 420358 480 420470 m3
-port "io_in[16]" 61 -800 421540 480 421652 m3
-port "io_in_3v3[16]" 88 -800 422722 480 422834 m3
-port "gpio_noesd[9]" 36 -800 423904 480 424016 m3
-port "gpio_analog[9]" 18 -800 425086 480 425198 m3
-port "gpio_analog[4]" 13 583520 449718 584800 449830 m3
-port "gpio_noesd[4]" 31 583520 450900 584800 451012 m3
-port "io_in_3v3[11]" 83 583520 452082 584800 452194 m3
-port "io_in[11]" 56 583520 453264 584800 453376 m3
-port "io_out[11]" 137 583520 454446 584800 454558 m3
-port "io_oeb[11]" 110 583520 455628 584800 455740 m3
-port "io_oeb[15]" 114 -800 462398 480 462510 m3
-port "io_out[15]" 141 -800 463580 480 463692 m3
-port "io_in[15]" 60 -800 464762 480 464874 m3
-port "io_in_3v3[15]" 87 -800 465944 480 466056 m3
-port "gpio_noesd[8]" 35 -800 467126 480 467238 m3
-port "gpio_analog[8]" 17 -800 468308 480 468420 m3
-port "gpio_analog[5]" 14 583520 494140 584800 494252 m3
-port "gpio_noesd[5]" 32 583520 495322 584800 495434 m3
-port "io_in_3v3[12]" 84 583520 496504 584800 496616 m3
-port "io_in[12]" 57 583520 497686 584800 497798 m3
-port "io_out[12]" 138 583520 498868 584800 498980 m3
-port "io_oeb[12]" 111 583520 500050 584800 500162 m3
-port "io_oeb[14]" 113 -800 505620 480 505732 m3
-port "io_out[14]" 140 -800 506802 480 506914 m3
-port "io_in[14]" 59 -800 507984 480 508096 m3
-port "io_in_3v3[14]" 86 -800 509166 480 509278 m3
-port "gpio_noesd[7]" 34 -800 510348 480 510460 m3
-port "gpio_analog[7]" 16 -800 511530 480 511642 m3
-port "vdda1" 552 582340 540562 584800 545362 m3
-port "vdda1" 552 582340 550562 584800 555362 m3
-port "vssa2" 555 0 549442 1660 554242 m3
-port "vssa2" 555 0 559442 1660 564242 m3
-port "gpio_analog[6]" 15 583520 583562 584800 583674 m3
-port "gpio_noesd[6]" 33 583520 584744 584800 584856 m3
-port "io_in_3v3[13]" 85 583520 585926 584800 586038 m3
-port "io_in[13]" 58 583520 587108 584800 587220 m3
-port "io_out[13]" 139 583520 588290 584800 588402 m3
-port "io_oeb[13]" 112 583520 589472 584800 589584 m3
-port "vccd1" 550 582340 629784 584800 634584 m3
-port "vccd2" 551 0 633842 1660 638642 m3
-port "vccd1" 550 582340 639784 584800 644584 m3
-port "vccd2" 551 0 643842 1660 648642 m3
-port "io_analog[0]" 37 582300 677984 584800 682984 m3
-port "io_analog[10]" 38 0 680242 1700 685242 m3
-port "io_analog[1]" 39 566594 702300 571594 704800 m3
-port "vssa1" 554 520594 702340 525394 704800 m3
-port "vssa1" 554 510594 702340 515394 704800 m3
-port "io_analog[2]" 40 465394 702300 470394 704800 m3
-port "io_analog[3]" 41 413394 702300 418394 704800 m3
-port "io_analog[4]" 42 329294 702300 334294 704800 m3
-port "io_clamp_high[0]" 48 326794 702300 328994 704800 m3
-port "io_clamp_low[0]" 51 324294 702300 326494 704800 m3
-port "io_analog[4]" 42 318994 702300 323994 704800 m3
-port "io_analog[5]" 43 227594 702300 232594 704800 m3
-port "io_clamp_high[1]" 49 225094 702300 227294 704800 m3
-port "io_clamp_low[1]" 52 222594 702300 224794 704800 m3
-port "io_analog[5]" 43 217294 702300 222294 704800 m3
-port "io_analog[6]" 44 175894 702300 180894 704800 m3
-port "io_clamp_high[2]" 50 173394 702300 175594 704800 m3
-port "io_clamp_low[2]" 53 170894 702300 173094 704800 m3
-port "io_analog[6]" 44 165594 702300 170594 704800 m3
-port "io_analog[7]" 45 120194 702300 125194 704800 m3
-port "io_analog[8]" 46 68194 702300 73194 704800 m3
-port "io_analog[9]" 47 16194 702300 21194 704800 m3
-port "user_irq[2]" 549 583250 -800 583362 480 m2
-port "user_irq[1]" 548 582068 -800 582180 480 m2
-port "user_irq[0]" 547 580886 -800 580998 480 m2
-port "user_clock2" 546 579704 -800 579816 480 m2
-port "la_oenb[127]" 448 578522 -800 578634 480 m2
-port "la_data_out[127]" 320 577340 -800 577452 480 m2
-port "la_data_in[127]" 192 576158 -800 576270 480 m2
-port "la_oenb[126]" 447 574976 -800 575088 480 m2
-port "la_data_out[126]" 319 573794 -800 573906 480 m2
-port "la_data_in[126]" 191 572612 -800 572724 480 m2
-port "la_oenb[125]" 446 571430 -800 571542 480 m2
-port "la_data_out[125]" 318 570248 -800 570360 480 m2
-port "la_data_in[125]" 190 569066 -800 569178 480 m2
-port "la_oenb[124]" 445 567884 -800 567996 480 m2
-port "la_data_out[124]" 317 566702 -800 566814 480 m2
-port "la_data_in[124]" 189 565520 -800 565632 480 m2
-port "la_oenb[123]" 444 564338 -800 564450 480 m2
-port "la_data_out[123]" 316 563156 -800 563268 480 m2
-port "la_data_in[123]" 188 561974 -800 562086 480 m2
-port "la_oenb[122]" 443 560792 -800 560904 480 m2
-port "la_data_out[122]" 315 559610 -800 559722 480 m2
-port "la_data_in[122]" 187 558428 -800 558540 480 m2
-port "la_oenb[121]" 442 557246 -800 557358 480 m2
-port "la_data_out[121]" 314 556064 -800 556176 480 m2
-port "la_data_in[121]" 186 554882 -800 554994 480 m2
-port "la_oenb[120]" 441 553700 -800 553812 480 m2
-port "la_data_out[120]" 313 552518 -800 552630 480 m2
-port "la_data_in[120]" 185 551336 -800 551448 480 m2
-port "la_oenb[119]" 439 550154 -800 550266 480 m2
-port "la_data_out[119]" 311 548972 -800 549084 480 m2
-port "la_data_in[119]" 183 547790 -800 547902 480 m2
-port "la_oenb[118]" 438 546608 -800 546720 480 m2
-port "la_data_out[118]" 310 545426 -800 545538 480 m2
-port "la_data_in[118]" 182 544244 -800 544356 480 m2
-port "la_oenb[117]" 437 543062 -800 543174 480 m2
-port "la_data_out[117]" 309 541880 -800 541992 480 m2
-port "la_data_in[117]" 181 540698 -800 540810 480 m2
-port "la_oenb[116]" 436 539516 -800 539628 480 m2
-port "la_data_out[116]" 308 538334 -800 538446 480 m2
-port "la_data_in[116]" 180 537152 -800 537264 480 m2
-port "la_oenb[115]" 435 535970 -800 536082 480 m2
-port "la_data_out[115]" 307 534788 -800 534900 480 m2
-port "la_data_in[115]" 179 533606 -800 533718 480 m2
-port "la_oenb[114]" 434 532424 -800 532536 480 m2
-port "la_data_out[114]" 306 531242 -800 531354 480 m2
-port "la_data_in[114]" 178 530060 -800 530172 480 m2
-port "la_oenb[113]" 433 528878 -800 528990 480 m2
-port "la_data_out[113]" 305 527696 -800 527808 480 m2
-port "la_data_in[113]" 177 526514 -800 526626 480 m2
-port "la_oenb[112]" 432 525332 -800 525444 480 m2
-port "la_data_out[112]" 304 524150 -800 524262 480 m2
-port "la_data_in[112]" 176 522968 -800 523080 480 m2
-port "la_oenb[111]" 431 521786 -800 521898 480 m2
-port "la_data_out[111]" 303 520604 -800 520716 480 m2
-port "la_data_in[111]" 175 519422 -800 519534 480 m2
-port "la_oenb[110]" 430 518240 -800 518352 480 m2
-port "la_data_out[110]" 302 517058 -800 517170 480 m2
-port "la_data_in[110]" 174 515876 -800 515988 480 m2
-port "la_oenb[109]" 428 514694 -800 514806 480 m2
-port "la_data_out[109]" 300 513512 -800 513624 480 m2
-port "la_data_in[109]" 172 512330 -800 512442 480 m2
-port "la_oenb[108]" 427 511148 -800 511260 480 m2
-port "la_data_out[108]" 299 509966 -800 510078 480 m2
-port "la_data_in[108]" 171 508784 -800 508896 480 m2
-port "la_oenb[107]" 426 507602 -800 507714 480 m2
-port "la_data_out[107]" 298 506420 -800 506532 480 m2
-port "la_data_in[107]" 170 505238 -800 505350 480 m2
-port "la_oenb[106]" 425 504056 -800 504168 480 m2
-port "la_data_out[106]" 297 502874 -800 502986 480 m2
-port "la_data_in[106]" 169 501692 -800 501804 480 m2
-port "la_oenb[105]" 424 500510 -800 500622 480 m2
-port "la_data_out[105]" 296 499328 -800 499440 480 m2
-port "la_data_in[105]" 168 498146 -800 498258 480 m2
-port "la_oenb[104]" 423 496964 -800 497076 480 m2
-port "la_data_out[104]" 295 495782 -800 495894 480 m2
-port "la_data_in[104]" 167 494600 -800 494712 480 m2
-port "la_oenb[103]" 422 493418 -800 493530 480 m2
-port "la_data_out[103]" 294 492236 -800 492348 480 m2
-port "la_data_in[103]" 166 491054 -800 491166 480 m2
-port "la_oenb[102]" 421 489872 -800 489984 480 m2
-port "la_data_out[102]" 293 488690 -800 488802 480 m2
-port "la_data_in[102]" 165 487508 -800 487620 480 m2
-port "la_oenb[101]" 420 486326 -800 486438 480 m2
-port "la_data_out[101]" 292 485144 -800 485256 480 m2
-port "la_data_in[101]" 164 483962 -800 484074 480 m2
-port "la_oenb[100]" 419 482780 -800 482892 480 m2
-port "la_data_out[100]" 291 481598 -800 481710 480 m2
-port "la_data_in[100]" 163 480416 -800 480528 480 m2
-port "la_oenb[99]" 544 479234 -800 479346 480 m2
-port "la_data_out[99]" 416 478052 -800 478164 480 m2
-port "la_data_in[99]" 288 476870 -800 476982 480 m2
-port "la_oenb[98]" 543 475688 -800 475800 480 m2
-port "la_data_out[98]" 415 474506 -800 474618 480 m2
-port "la_data_in[98]" 287 473324 -800 473436 480 m2
-port "la_oenb[97]" 542 472142 -800 472254 480 m2
-port "la_data_out[97]" 414 470960 -800 471072 480 m2
-port "la_data_in[97]" 286 469778 -800 469890 480 m2
-port "la_oenb[96]" 541 468596 -800 468708 480 m2
-port "la_data_out[96]" 413 467414 -800 467526 480 m2
-port "la_data_in[96]" 285 466232 -800 466344 480 m2
-port "la_oenb[95]" 540 465050 -800 465162 480 m2
-port "la_data_out[95]" 412 463868 -800 463980 480 m2
-port "la_data_in[95]" 284 462686 -800 462798 480 m2
-port "la_oenb[94]" 539 461504 -800 461616 480 m2
-port "la_data_out[94]" 411 460322 -800 460434 480 m2
-port "la_data_in[94]" 283 459140 -800 459252 480 m2
-port "la_oenb[93]" 538 457958 -800 458070 480 m2
-port "la_data_out[93]" 410 456776 -800 456888 480 m2
-port "la_data_in[93]" 282 455594 -800 455706 480 m2
-port "la_oenb[92]" 537 454412 -800 454524 480 m2
-port "la_data_out[92]" 409 453230 -800 453342 480 m2
-port "la_data_in[92]" 281 452048 -800 452160 480 m2
-port "la_oenb[91]" 536 450866 -800 450978 480 m2
-port "la_data_out[91]" 408 449684 -800 449796 480 m2
-port "la_data_in[91]" 280 448502 -800 448614 480 m2
-port "la_oenb[90]" 535 447320 -800 447432 480 m2
-port "la_data_out[90]" 407 446138 -800 446250 480 m2
-port "la_data_in[90]" 279 444956 -800 445068 480 m2
-port "la_oenb[89]" 533 443774 -800 443886 480 m2
-port "la_data_out[89]" 405 442592 -800 442704 480 m2
-port "la_data_in[89]" 277 441410 -800 441522 480 m2
-port "la_oenb[88]" 532 440228 -800 440340 480 m2
-port "la_data_out[88]" 404 439046 -800 439158 480 m2
-port "la_data_in[88]" 276 437864 -800 437976 480 m2
-port "la_oenb[87]" 531 436682 -800 436794 480 m2
-port "la_data_out[87]" 403 435500 -800 435612 480 m2
-port "la_data_in[87]" 275 434318 -800 434430 480 m2
-port "la_oenb[86]" 530 433136 -800 433248 480 m2
-port "la_data_out[86]" 402 431954 -800 432066 480 m2
-port "la_data_in[86]" 274 430772 -800 430884 480 m2
-port "la_oenb[85]" 529 429590 -800 429702 480 m2
-port "la_data_out[85]" 401 428408 -800 428520 480 m2
-port "la_data_in[85]" 273 427226 -800 427338 480 m2
-port "la_oenb[84]" 528 426044 -800 426156 480 m2
-port "la_data_out[84]" 400 424862 -800 424974 480 m2
-port "la_data_in[84]" 272 423680 -800 423792 480 m2
-port "la_oenb[83]" 527 422498 -800 422610 480 m2
-port "la_data_out[83]" 399 421316 -800 421428 480 m2
-port "la_data_in[83]" 271 420134 -800 420246 480 m2
-port "la_oenb[82]" 526 418952 -800 419064 480 m2
-port "la_data_out[82]" 398 417770 -800 417882 480 m2
-port "la_data_in[82]" 270 416588 -800 416700 480 m2
-port "la_oenb[81]" 525 415406 -800 415518 480 m2
-port "la_data_out[81]" 397 414224 -800 414336 480 m2
-port "la_data_in[81]" 269 413042 -800 413154 480 m2
-port "la_oenb[80]" 524 411860 -800 411972 480 m2
-port "la_data_out[80]" 396 410678 -800 410790 480 m2
-port "la_data_in[80]" 268 409496 -800 409608 480 m2
-port "la_oenb[79]" 522 408314 -800 408426 480 m2
-port "la_data_out[79]" 394 407132 -800 407244 480 m2
-port "la_data_in[79]" 266 405950 -800 406062 480 m2
-port "la_oenb[78]" 521 404768 -800 404880 480 m2
-port "la_data_out[78]" 393 403586 -800 403698 480 m2
-port "la_data_in[78]" 265 402404 -800 402516 480 m2
-port "la_oenb[77]" 520 401222 -800 401334 480 m2
-port "la_data_out[77]" 392 400040 -800 400152 480 m2
-port "la_data_in[77]" 264 398858 -800 398970 480 m2
-port "la_oenb[76]" 519 397676 -800 397788 480 m2
-port "la_data_out[76]" 391 396494 -800 396606 480 m2
-port "la_data_in[76]" 263 395312 -800 395424 480 m2
-port "la_oenb[75]" 518 394130 -800 394242 480 m2
-port "la_data_out[75]" 390 392948 -800 393060 480 m2
-port "la_data_in[75]" 262 391766 -800 391878 480 m2
-port "la_oenb[74]" 517 390584 -800 390696 480 m2
-port "la_data_out[74]" 389 389402 -800 389514 480 m2
-port "la_data_in[74]" 261 388220 -800 388332 480 m2
-port "la_oenb[73]" 516 387038 -800 387150 480 m2
-port "la_data_out[73]" 388 385856 -800 385968 480 m2
-port "la_data_in[73]" 260 384674 -800 384786 480 m2
-port "la_oenb[72]" 515 383492 -800 383604 480 m2
-port "la_data_out[72]" 387 382310 -800 382422 480 m2
-port "la_data_in[72]" 259 381128 -800 381240 480 m2
-port "la_oenb[71]" 514 379946 -800 380058 480 m2
-port "la_data_out[71]" 386 378764 -800 378876 480 m2
-port "la_data_in[71]" 258 377582 -800 377694 480 m2
-port "la_oenb[70]" 513 376400 -800 376512 480 m2
-port "la_data_out[70]" 385 375218 -800 375330 480 m2
-port "la_data_in[70]" 257 374036 -800 374148 480 m2
-port "la_oenb[69]" 511 372854 -800 372966 480 m2
-port "la_data_out[69]" 383 371672 -800 371784 480 m2
-port "la_data_in[69]" 255 370490 -800 370602 480 m2
-port "la_oenb[68]" 510 369308 -800 369420 480 m2
-port "la_data_out[68]" 382 368126 -800 368238 480 m2
-port "la_data_in[68]" 254 366944 -800 367056 480 m2
-port "la_oenb[67]" 509 365762 -800 365874 480 m2
-port "la_data_out[67]" 381 364580 -800 364692 480 m2
-port "la_data_in[67]" 253 363398 -800 363510 480 m2
-port "la_oenb[66]" 508 362216 -800 362328 480 m2
-port "la_data_out[66]" 380 361034 -800 361146 480 m2
-port "la_data_in[66]" 252 359852 -800 359964 480 m2
-port "la_oenb[65]" 507 358670 -800 358782 480 m2
-port "la_data_out[65]" 379 357488 -800 357600 480 m2
-port "la_data_in[65]" 251 356306 -800 356418 480 m2
-port "la_oenb[64]" 506 355124 -800 355236 480 m2
-port "la_data_out[64]" 378 353942 -800 354054 480 m2
-port "la_data_in[64]" 250 352760 -800 352872 480 m2
-port "la_oenb[63]" 505 351578 -800 351690 480 m2
-port "la_data_out[63]" 377 350396 -800 350508 480 m2
-port "la_data_in[63]" 249 349214 -800 349326 480 m2
-port "la_oenb[62]" 504 348032 -800 348144 480 m2
-port "la_data_out[62]" 376 346850 -800 346962 480 m2
-port "la_data_in[62]" 248 345668 -800 345780 480 m2
-port "la_oenb[61]" 503 344486 -800 344598 480 m2
-port "la_data_out[61]" 375 343304 -800 343416 480 m2
-port "la_data_in[61]" 247 342122 -800 342234 480 m2
-port "la_oenb[60]" 502 340940 -800 341052 480 m2
-port "la_data_out[60]" 374 339758 -800 339870 480 m2
-port "la_data_in[60]" 246 338576 -800 338688 480 m2
-port "la_oenb[59]" 500 337394 -800 337506 480 m2
-port "la_data_out[59]" 372 336212 -800 336324 480 m2
-port "la_data_in[59]" 244 335030 -800 335142 480 m2
-port "la_oenb[58]" 499 333848 -800 333960 480 m2
-port "la_data_out[58]" 371 332666 -800 332778 480 m2
-port "la_data_in[58]" 243 331484 -800 331596 480 m2
-port "la_oenb[57]" 498 330302 -800 330414 480 m2
-port "la_data_out[57]" 370 329120 -800 329232 480 m2
-port "la_data_in[57]" 242 327938 -800 328050 480 m2
-port "la_oenb[56]" 497 326756 -800 326868 480 m2
-port "la_data_out[56]" 369 325574 -800 325686 480 m2
-port "la_data_in[56]" 241 324392 -800 324504 480 m2
-port "la_oenb[55]" 496 323210 -800 323322 480 m2
-port "la_data_out[55]" 368 322028 -800 322140 480 m2
-port "la_data_in[55]" 240 320846 -800 320958 480 m2
-port "la_oenb[54]" 495 319664 -800 319776 480 m2
-port "la_data_out[54]" 367 318482 -800 318594 480 m2
-port "la_data_in[54]" 239 317300 -800 317412 480 m2
-port "la_oenb[53]" 494 316118 -800 316230 480 m2
-port "la_data_out[53]" 366 314936 -800 315048 480 m2
-port "la_data_in[53]" 238 313754 -800 313866 480 m2
-port "la_oenb[52]" 493 312572 -800 312684 480 m2
-port "la_data_out[52]" 365 311390 -800 311502 480 m2
-port "la_data_in[52]" 237 310208 -800 310320 480 m2
-port "la_oenb[51]" 492 309026 -800 309138 480 m2
-port "la_data_out[51]" 364 307844 -800 307956 480 m2
-port "la_data_in[51]" 236 306662 -800 306774 480 m2
-port "la_oenb[50]" 491 305480 -800 305592 480 m2
-port "la_data_out[50]" 363 304298 -800 304410 480 m2
-port "la_data_in[50]" 235 303116 -800 303228 480 m2
-port "la_oenb[49]" 489 301934 -800 302046 480 m2
-port "la_data_out[49]" 361 300752 -800 300864 480 m2
-port "la_data_in[49]" 233 299570 -800 299682 480 m2
-port "la_oenb[48]" 488 298388 -800 298500 480 m2
-port "la_data_out[48]" 360 297206 -800 297318 480 m2
-port "la_data_in[48]" 232 296024 -800 296136 480 m2
-port "la_oenb[47]" 487 294842 -800 294954 480 m2
-port "la_data_out[47]" 359 293660 -800 293772 480 m2
-port "la_data_in[47]" 231 292478 -800 292590 480 m2
-port "la_oenb[46]" 486 291296 -800 291408 480 m2
-port "la_data_out[46]" 358 290114 -800 290226 480 m2
-port "la_data_in[46]" 230 288932 -800 289044 480 m2
-port "la_oenb[45]" 485 287750 -800 287862 480 m2
-port "la_data_out[45]" 357 286568 -800 286680 480 m2
-port "la_data_in[45]" 229 285386 -800 285498 480 m2
-port "la_oenb[44]" 484 284204 -800 284316 480 m2
-port "la_data_out[44]" 356 283022 -800 283134 480 m2
-port "la_data_in[44]" 228 281840 -800 281952 480 m2
-port "la_oenb[43]" 483 280658 -800 280770 480 m2
-port "la_data_out[43]" 355 279476 -800 279588 480 m2
-port "la_data_in[43]" 227 278294 -800 278406 480 m2
-port "la_oenb[42]" 482 277112 -800 277224 480 m2
-port "la_data_out[42]" 354 275930 -800 276042 480 m2
-port "la_data_in[42]" 226 274748 -800 274860 480 m2
-port "la_oenb[41]" 481 273566 -800 273678 480 m2
-port "la_data_out[41]" 353 272384 -800 272496 480 m2
-port "la_data_in[41]" 225 271202 -800 271314 480 m2
-port "la_oenb[40]" 480 270020 -800 270132 480 m2
-port "la_data_out[40]" 352 268838 -800 268950 480 m2
-port "la_data_in[40]" 224 267656 -800 267768 480 m2
-port "la_oenb[39]" 478 266474 -800 266586 480 m2
-port "la_data_out[39]" 350 265292 -800 265404 480 m2
-port "la_data_in[39]" 222 264110 -800 264222 480 m2
-port "la_oenb[38]" 477 262928 -800 263040 480 m2
-port "la_data_out[38]" 349 261746 -800 261858 480 m2
-port "la_data_in[38]" 221 260564 -800 260676 480 m2
-port "la_oenb[37]" 476 259382 -800 259494 480 m2
-port "la_data_out[37]" 348 258200 -800 258312 480 m2
-port "la_data_in[37]" 220 257018 -800 257130 480 m2
-port "la_oenb[36]" 475 255836 -800 255948 480 m2
-port "la_data_out[36]" 347 254654 -800 254766 480 m2
-port "la_data_in[36]" 219 253472 -800 253584 480 m2
-port "la_oenb[35]" 474 252290 -800 252402 480 m2
-port "la_data_out[35]" 346 251108 -800 251220 480 m2
-port "la_data_in[35]" 218 249926 -800 250038 480 m2
-port "la_oenb[34]" 473 248744 -800 248856 480 m2
-port "la_data_out[34]" 345 247562 -800 247674 480 m2
-port "la_data_in[34]" 217 246380 -800 246492 480 m2
-port "la_oenb[33]" 472 245198 -800 245310 480 m2
-port "la_data_out[33]" 344 244016 -800 244128 480 m2
-port "la_data_in[33]" 216 242834 -800 242946 480 m2
-port "la_oenb[32]" 471 241652 -800 241764 480 m2
-port "la_data_out[32]" 343 240470 -800 240582 480 m2
-port "la_data_in[32]" 215 239288 -800 239400 480 m2
-port "la_oenb[31]" 470 238106 -800 238218 480 m2
-port "la_data_out[31]" 342 236924 -800 237036 480 m2
-port "la_data_in[31]" 214 235742 -800 235854 480 m2
-port "la_oenb[30]" 469 234560 -800 234672 480 m2
-port "la_data_out[30]" 341 233378 -800 233490 480 m2
-port "la_data_in[30]" 213 232196 -800 232308 480 m2
-port "la_oenb[29]" 467 231014 -800 231126 480 m2
-port "la_data_out[29]" 339 229832 -800 229944 480 m2
-port "la_data_in[29]" 211 228650 -800 228762 480 m2
-port "la_oenb[28]" 466 227468 -800 227580 480 m2
-port "la_data_out[28]" 338 226286 -800 226398 480 m2
-port "la_data_in[28]" 210 225104 -800 225216 480 m2
-port "la_oenb[27]" 465 223922 -800 224034 480 m2
-port "la_data_out[27]" 337 222740 -800 222852 480 m2
-port "la_data_in[27]" 209 221558 -800 221670 480 m2
-port "la_oenb[26]" 464 220376 -800 220488 480 m2
-port "la_data_out[26]" 336 219194 -800 219306 480 m2
-port "la_data_in[26]" 208 218012 -800 218124 480 m2
-port "la_oenb[25]" 463 216830 -800 216942 480 m2
-port "la_data_out[25]" 335 215648 -800 215760 480 m2
-port "la_data_in[25]" 207 214466 -800 214578 480 m2
-port "la_oenb[24]" 462 213284 -800 213396 480 m2
-port "la_data_out[24]" 334 212102 -800 212214 480 m2
-port "la_data_in[24]" 206 210920 -800 211032 480 m2
-port "la_oenb[23]" 461 209738 -800 209850 480 m2
-port "la_data_out[23]" 333 208556 -800 208668 480 m2
-port "la_data_in[23]" 205 207374 -800 207486 480 m2
-port "la_oenb[22]" 460 206192 -800 206304 480 m2
-port "la_data_out[22]" 332 205010 -800 205122 480 m2
-port "la_data_in[22]" 204 203828 -800 203940 480 m2
-port "la_oenb[21]" 459 202646 -800 202758 480 m2
-port "la_data_out[21]" 331 201464 -800 201576 480 m2
-port "la_data_in[21]" 203 200282 -800 200394 480 m2
-port "la_oenb[20]" 458 199100 -800 199212 480 m2
-port "la_data_out[20]" 330 197918 -800 198030 480 m2
-port "la_data_in[20]" 202 196736 -800 196848 480 m2
-port "la_oenb[19]" 456 195554 -800 195666 480 m2
-port "la_data_out[19]" 328 194372 -800 194484 480 m2
-port "la_data_in[19]" 200 193190 -800 193302 480 m2
-port "la_oenb[18]" 455 192008 -800 192120 480 m2
-port "la_data_out[18]" 327 190826 -800 190938 480 m2
-port "la_data_in[18]" 199 189644 -800 189756 480 m2
-port "la_oenb[17]" 454 188462 -800 188574 480 m2
-port "la_data_out[17]" 326 187280 -800 187392 480 m2
-port "la_data_in[17]" 198 186098 -800 186210 480 m2
-port "la_oenb[16]" 453 184916 -800 185028 480 m2
-port "la_data_out[16]" 325 183734 -800 183846 480 m2
-port "la_data_in[16]" 197 182552 -800 182664 480 m2
-port "la_oenb[15]" 452 181370 -800 181482 480 m2
-port "la_data_out[15]" 324 180188 -800 180300 480 m2
-port "la_data_in[15]" 196 179006 -800 179118 480 m2
-port "la_oenb[14]" 451 177824 -800 177936 480 m2
-port "la_data_out[14]" 323 176642 -800 176754 480 m2
-port "la_data_in[14]" 195 175460 -800 175572 480 m2
-port "la_oenb[13]" 450 174278 -800 174390 480 m2
-port "la_data_out[13]" 322 173096 -800 173208 480 m2
-port "la_data_in[13]" 194 171914 -800 172026 480 m2
-port "la_oenb[12]" 449 170732 -800 170844 480 m2
-port "la_data_out[12]" 321 169550 -800 169662 480 m2
-port "la_data_in[12]" 193 168368 -800 168480 480 m2
-port "la_oenb[11]" 440 167186 -800 167298 480 m2
-port "la_data_out[11]" 312 166004 -800 166116 480 m2
-port "la_data_in[11]" 184 164822 -800 164934 480 m2
-port "la_oenb[10]" 429 163640 -800 163752 480 m2
-port "la_data_out[10]" 301 162458 -800 162570 480 m2
-port "la_data_in[10]" 173 161276 -800 161388 480 m2
-port "la_oenb[9]" 545 160094 -800 160206 480 m2
-port "la_data_out[9]" 417 158912 -800 159024 480 m2
-port "la_data_in[9]" 289 157730 -800 157842 480 m2
-port "la_oenb[8]" 534 156548 -800 156660 480 m2
-port "la_data_out[8]" 406 155366 -800 155478 480 m2
-port "la_data_in[8]" 278 154184 -800 154296 480 m2
-port "la_oenb[7]" 523 153002 -800 153114 480 m2
-port "la_data_out[7]" 395 151820 -800 151932 480 m2
-port "la_data_in[7]" 267 150638 -800 150750 480 m2
-port "la_oenb[6]" 512 149456 -800 149568 480 m2
-port "la_data_out[6]" 384 148274 -800 148386 480 m2
-port "la_data_in[6]" 256 147092 -800 147204 480 m2
-port "la_oenb[5]" 501 145910 -800 146022 480 m2
-port "la_data_out[5]" 373 144728 -800 144840 480 m2
-port "la_data_in[5]" 245 143546 -800 143658 480 m2
-port "la_oenb[4]" 490 142364 -800 142476 480 m2
-port "la_data_out[4]" 362 141182 -800 141294 480 m2
-port "la_data_in[4]" 234 140000 -800 140112 480 m2
-port "la_oenb[3]" 479 138818 -800 138930 480 m2
-port "la_data_out[3]" 351 137636 -800 137748 480 m2
-port "la_data_in[3]" 223 136454 -800 136566 480 m2
-port "la_oenb[2]" 468 135272 -800 135384 480 m2
-port "la_data_out[2]" 340 134090 -800 134202 480 m2
-port "la_data_in[2]" 212 132908 -800 133020 480 m2
-port "la_oenb[1]" 457 131726 -800 131838 480 m2
-port "la_data_out[1]" 329 130544 -800 130656 480 m2
-port "la_data_in[1]" 201 129362 -800 129474 480 m2
-port "la_oenb[0]" 418 128180 -800 128292 480 m2
-port "la_data_out[0]" 290 126998 -800 127110 480 m2
-port "la_data_in[0]" 162 125816 -800 125928 480 m2
-port "wbs_dat_o[31]" 650 124634 -800 124746 480 m2
-port "wbs_dat_i[31]" 618 123452 -800 123564 480 m2
-port "wbs_adr_i[31]" 585 122270 -800 122382 480 m2
-port "wbs_dat_o[30]" 649 121088 -800 121200 480 m2
-port "wbs_dat_i[30]" 617 119906 -800 120018 480 m2
-port "wbs_adr_i[30]" 584 118724 -800 118836 480 m2
-port "wbs_dat_o[29]" 647 117542 -800 117654 480 m2
-port "wbs_dat_i[29]" 615 116360 -800 116472 480 m2
-port "wbs_adr_i[29]" 582 115178 -800 115290 480 m2
-port "wbs_dat_o[28]" 646 113996 -800 114108 480 m2
-port "wbs_dat_i[28]" 614 112814 -800 112926 480 m2
-port "wbs_adr_i[28]" 581 111632 -800 111744 480 m2
-port "wbs_dat_o[27]" 645 110450 -800 110562 480 m2
-port "wbs_dat_i[27]" 613 109268 -800 109380 480 m2
-port "wbs_adr_i[27]" 580 108086 -800 108198 480 m2
-port "wbs_dat_o[26]" 644 106904 -800 107016 480 m2
-port "wbs_dat_i[26]" 612 105722 -800 105834 480 m2
-port "wbs_adr_i[26]" 579 104540 -800 104652 480 m2
-port "wbs_dat_o[25]" 643 103358 -800 103470 480 m2
-port "wbs_dat_i[25]" 611 102176 -800 102288 480 m2
-port "wbs_adr_i[25]" 578 100994 -800 101106 480 m2
-port "wbs_dat_o[24]" 642 99812 -800 99924 480 m2
-port "wbs_dat_i[24]" 610 98630 -800 98742 480 m2
-port "wbs_adr_i[24]" 577 97448 -800 97560 480 m2
-port "wbs_dat_o[23]" 641 96266 -800 96378 480 m2
-port "wbs_dat_i[23]" 609 95084 -800 95196 480 m2
-port "wbs_adr_i[23]" 576 93902 -800 94014 480 m2
-port "wbs_dat_o[22]" 640 92720 -800 92832 480 m2
-port "wbs_dat_i[22]" 608 91538 -800 91650 480 m2
-port "wbs_adr_i[22]" 575 90356 -800 90468 480 m2
-port "wbs_dat_o[21]" 639 89174 -800 89286 480 m2
-port "wbs_dat_i[21]" 607 87992 -800 88104 480 m2
-port "wbs_adr_i[21]" 574 86810 -800 86922 480 m2
-port "wbs_dat_o[20]" 638 85628 -800 85740 480 m2
-port "wbs_dat_i[20]" 606 84446 -800 84558 480 m2
-port "wbs_adr_i[20]" 573 83264 -800 83376 480 m2
-port "wbs_dat_o[19]" 636 82082 -800 82194 480 m2
-port "wbs_dat_i[19]" 604 80900 -800 81012 480 m2
-port "wbs_adr_i[19]" 571 79718 -800 79830 480 m2
-port "wbs_dat_o[18]" 635 78536 -800 78648 480 m2
-port "wbs_dat_i[18]" 603 77354 -800 77466 480 m2
-port "wbs_adr_i[18]" 570 76172 -800 76284 480 m2
-port "wbs_dat_o[17]" 634 74990 -800 75102 480 m2
-port "wbs_dat_i[17]" 602 73808 -800 73920 480 m2
-port "wbs_adr_i[17]" 569 72626 -800 72738 480 m2
-port "wbs_dat_o[16]" 633 71444 -800 71556 480 m2
-port "wbs_dat_i[16]" 601 70262 -800 70374 480 m2
-port "wbs_adr_i[16]" 568 69080 -800 69192 480 m2
-port "wbs_dat_o[15]" 632 67898 -800 68010 480 m2
-port "wbs_dat_i[15]" 600 66716 -800 66828 480 m2
-port "wbs_adr_i[15]" 567 65534 -800 65646 480 m2
-port "wbs_dat_o[14]" 631 64352 -800 64464 480 m2
-port "wbs_dat_i[14]" 599 63170 -800 63282 480 m2
-port "wbs_adr_i[14]" 566 61988 -800 62100 480 m2
-port "wbs_dat_o[13]" 630 60806 -800 60918 480 m2
-port "wbs_dat_i[13]" 598 59624 -800 59736 480 m2
-port "wbs_adr_i[13]" 565 58442 -800 58554 480 m2
-port "wbs_dat_o[12]" 629 57260 -800 57372 480 m2
-port "wbs_dat_i[12]" 597 56078 -800 56190 480 m2
-port "wbs_adr_i[12]" 564 54896 -800 55008 480 m2
-port "wbs_dat_o[11]" 628 53714 -800 53826 480 m2
-port "wbs_dat_i[11]" 596 52532 -800 52644 480 m2
-port "wbs_adr_i[11]" 563 51350 -800 51462 480 m2
-port "wbs_dat_o[10]" 627 50168 -800 50280 480 m2
-port "wbs_dat_i[10]" 595 48986 -800 49098 480 m2
-port "wbs_adr_i[10]" 562 47804 -800 47916 480 m2
-port "wbs_dat_o[9]" 657 46622 -800 46734 480 m2
-port "wbs_dat_i[9]" 625 45440 -800 45552 480 m2
-port "wbs_adr_i[9]" 592 44258 -800 44370 480 m2
-port "wbs_dat_o[8]" 656 43076 -800 43188 480 m2
-port "wbs_dat_i[8]" 624 41894 -800 42006 480 m2
-port "wbs_adr_i[8]" 591 40712 -800 40824 480 m2
-port "wbs_dat_o[7]" 655 39530 -800 39642 480 m2
-port "wbs_dat_i[7]" 623 38348 -800 38460 480 m2
-port "wbs_adr_i[7]" 590 37166 -800 37278 480 m2
-port "wbs_dat_o[6]" 654 35984 -800 36096 480 m2
-port "wbs_dat_i[6]" 622 34802 -800 34914 480 m2
-port "wbs_adr_i[6]" 589 33620 -800 33732 480 m2
-port "wbs_dat_o[5]" 653 32438 -800 32550 480 m2
-port "wbs_dat_i[5]" 621 31256 -800 31368 480 m2
-port "wbs_adr_i[5]" 588 30074 -800 30186 480 m2
-port "wbs_dat_o[4]" 652 28892 -800 29004 480 m2
-port "wbs_dat_i[4]" 620 27710 -800 27822 480 m2
-port "wbs_adr_i[4]" 587 26528 -800 26640 480 m2
-port "wbs_sel_i[3]" 661 25346 -800 25458 480 m2
-port "wbs_dat_o[3]" 651 24164 -800 24276 480 m2
-port "wbs_dat_i[3]" 619 22982 -800 23094 480 m2
-port "wbs_adr_i[3]" 586 21800 -800 21912 480 m2
-port "wbs_sel_i[2]" 660 20618 -800 20730 480 m2
-port "wbs_dat_o[2]" 648 19436 -800 19548 480 m2
-port "wbs_dat_i[2]" 616 18254 -800 18366 480 m2
-port "wbs_adr_i[2]" 583 17072 -800 17184 480 m2
-port "wbs_sel_i[1]" 659 15890 -800 16002 480 m2
-port "wbs_dat_o[1]" 637 14708 -800 14820 480 m2
-port "wbs_dat_i[1]" 605 13526 -800 13638 480 m2
-port "wbs_adr_i[1]" 572 12344 -800 12456 480 m2
-port "wbs_sel_i[0]" 658 11162 -800 11274 480 m2
-port "wbs_dat_o[0]" 626 9980 -800 10092 480 m2
-port "wbs_dat_i[0]" 594 8798 -800 8910 480 m2
-port "wbs_adr_i[0]" 561 7616 -800 7728 480 m2
-port "wbs_we_i" 663 6434 -800 6546 480 m2
-port "wbs_stb_i" 662 5252 -800 5364 480 m2
-port "wbs_cyc_i" 593 4070 -800 4182 480 m2
-port "wbs_ack_o" 560 2888 -800 3000 480 m2
-port "wb_rst_i" 559 1706 -800 1818 480 m2
-port "wb_clk_i" 558 524 -800 636 480 m2
-node "io_analog[4]" 0 2925 329294 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
-node "io_analog[4]" 0 2925 318994 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
-node "io_analog[5]" 0 2925 227594 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
-node "io_analog[5]" 0 2925 217294 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
-node "io_analog[6]" 0 2925 175894 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
-node "io_analog[6]" 0 2925 165594 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
-node "io_analog[4]" 0 2775 329294 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
-node "io_analog[4]" 0 2775 318994 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
-node "io_analog[5]" 0 2775 227594 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
-node "io_analog[5]" 0 2775 217294 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
-node "io_analog[6]" 0 2775 175894 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
-node "io_analog[6]" 0 2775 165594 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
-node "io_in_3v3[0]" 1 613.728 583520 1544 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[26]" 1 613.728 -800 1544 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[0]" 1 613.728 583520 2726 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[26]" 1 613.728 -800 2726 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[0]" 1 613.728 583520 3908 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[26]" 1 613.728 -800 3908 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[0]" 1 613.728 583520 5090 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[26]" 1 613.728 -800 5090 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[1]" 1 613.728 583520 6272 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[25]" 1 613.728 -800 6272 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[1]" 1 613.728 583520 7454 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[25]" 1 613.728 -800 7454 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[1]" 1 613.728 583520 8636 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[25]" 1 613.728 -800 8636 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[1]" 1 613.728 583520 9818 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[25]" 1 613.728 -800 9818 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[2]" 1 613.728 583520 11000 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[24]" 1 613.728 -800 11000 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[2]" 1 613.728 583520 12182 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[24]" 1 613.728 -800 12182 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[2]" 1 613.728 583520 13364 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[24]" 1 613.728 -800 13364 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[2]" 1 613.728 583520 14546 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[24]" 1 613.728 -800 14546 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[3]" 1 613.728 583520 15728 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[17]" 1 613.728 -800 15728 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[3]" 1 613.728 583520 16910 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[17]" 1 613.728 -800 16910 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[3]" 1 613.728 583520 18092 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[3]" 1 613.728 583520 19274 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[4]" 1 613.728 583520 20456 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[4]" 1 613.728 583520 21638 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[4]" 1 613.728 583520 22820 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[4]" 1 613.728 583520 24002 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[23]" 1 613.728 -800 32422 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[23]" 1 613.728 -800 33604 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[23]" 1 613.728 -800 34786 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[23]" 1 613.728 -800 35968 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[16]" 1 613.728 -800 37150 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[16]" 1 613.728 -800 38332 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[5]" 1 613.728 583520 46914 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[5]" 1 613.728 583520 48096 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[5]" 1 613.728 583520 49278 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[5]" 1 613.728 583520 50460 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[22]" 1 613.728 -800 75644 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[22]" 1 613.728 -800 76826 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[22]" 1 613.728 -800 78008 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[22]" 1 613.728 -800 79190 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[15]" 1 613.728 -800 80372 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[15]" 1 613.728 -800 81554 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[6]" 1 613.728 583520 91572 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[6]" 1 613.728 583520 92754 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[6]" 1 613.728 583520 93936 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[6]" 1 613.728 583520 95118 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[21]" 1 613.728 -800 118866 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[21]" 1 613.728 -800 120048 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[21]" 1 613.728 -800 121230 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[21]" 1 613.728 -800 122412 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[14]" 1 613.728 -800 123594 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[14]" 1 613.728 -800 124776 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "vssa1" 0 6519 582340 136830 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vssa1" 0 6519 582340 146830 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vssd2" 0 6519 0 162888 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vssd2" 0 6519 0 172888 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vssd1" 0 6519 582340 181430 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vssd1" 0 6519 582340 191430 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vdda2" 0 6519 0 204888 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vdda2" 0 6519 0 214888 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vdda1" 0 6519 582340 225230 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vdda1" 0 6519 582340 235230 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "io_oeb[20]" 1 613.728 -800 246488 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[20]" 1 613.728 -800 247670 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[20]" 1 613.728 -800 248852 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[20]" 1 613.728 -800 250034 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[13]" 1 613.728 -800 251216 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[13]" 1 613.728 -800 252398 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[0]" 1 613.728 583520 269230 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[0]" 1 613.728 583520 270412 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[7]" 1 613.728 583520 271594 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[7]" 1 613.728 583520 272776 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[7]" 1 613.728 583520 273958 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[7]" 1 613.728 583520 275140 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[19]" 1 613.728 -800 289510 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[19]" 1 613.728 -800 290692 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[19]" 1 613.728 -800 291874 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[19]" 1 613.728 -800 293056 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[12]" 1 613.728 -800 294238 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[12]" 1 613.728 -800 295420 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[1]" 1 613.728 583520 313652 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[1]" 1 613.728 583520 314834 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[8]" 1 613.728 583520 316016 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[8]" 1 613.728 583520 317198 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[8]" 1 613.728 583520 318380 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[8]" 1 613.728 583520 319562 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[18]" 1 613.728 -800 332732 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[18]" 1 613.728 -800 333914 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[18]" 1 613.728 -800 335096 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[18]" 1 613.728 -800 336278 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[11]" 1 613.728 -800 337460 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[11]" 1 613.728 -800 338642 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[2]" 1 613.728 583520 358874 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[2]" 1 613.728 583520 360056 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[9]" 1 613.728 583520 361238 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[9]" 1 613.728 583520 362420 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[9]" 1 613.728 583520 363602 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[9]" 1 613.728 583520 364784 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[17]" 1 613.728 -800 375954 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[17]" 1 613.728 -800 377136 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[17]" 1 613.728 -800 378318 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[17]" 1 613.728 -800 379500 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[10]" 1 613.728 -800 380682 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[10]" 1 613.728 -800 381864 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[3]" 1 613.728 583520 405296 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[3]" 1 613.728 583520 406478 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[10]" 1 613.728 583520 407660 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[10]" 1 613.728 583520 408842 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[10]" 1 613.728 583520 410024 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[10]" 1 613.728 583520 411206 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[16]" 1 613.728 -800 419176 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[16]" 1 613.728 -800 420358 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[16]" 1 613.728 -800 421540 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[16]" 1 613.728 -800 422722 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[9]" 1 613.728 -800 423904 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[9]" 1 613.728 -800 425086 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[4]" 1 613.728 583520 449718 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[4]" 1 613.728 583520 450900 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[11]" 1 613.728 583520 452082 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[11]" 1 613.728 583520 453264 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[11]" 1 613.728 583520 454446 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[11]" 1 613.728 583520 455628 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[15]" 1 613.728 -800 462398 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[15]" 1 613.728 -800 463580 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[15]" 1 613.728 -800 464762 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[15]" 1 613.728 -800 465944 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[8]" 1 613.728 -800 467126 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[8]" 1 613.728 -800 468308 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[5]" 1 613.728 583520 494140 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[5]" 1 613.728 583520 495322 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[12]" 1 613.728 583520 496504 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[12]" 1 613.728 583520 497686 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[12]" 1 613.728 583520 498868 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[12]" 1 613.728 583520 500050 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[14]" 1 613.728 -800 505620 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[14]" 1 613.728 -800 506802 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[14]" 1 613.728 -800 507984 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[14]" 1 613.728 -800 509166 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[7]" 1 613.728 -800 510348 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[7]" 1 613.728 -800 511530 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "vdda1" 0 6519 582340 540562 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vdda1" 0 6519 582340 550562 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vssa2" 0 6519 0 549442 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vssa2" 0 6519 0 559442 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "gpio_analog[6]" 1 613.728 583520 583562 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[6]" 1 613.728 583520 584744 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[13]" 1 613.728 583520 585926 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[13]" 1 613.728 583520 587108 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[13]" 1 613.728 583520 588290 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[13]" 1 613.728 583520 589472 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "vccd1" 0 6519 582340 629784 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vccd2" 0 6519 0 633842 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vccd1" 0 6519 582340 639784 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vccd2" 0 6519 0 643842 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "io_analog[0]" 0 6825 582300 677984 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_analog[10]" 0 6825 0 680242 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_analog[1]" 0 6825 566594 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "vssa1" 0 6519 520594 702340 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vssa1" 0 6519 510594 702340 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "io_analog[2]" 0 6825 465394 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_analog[3]" 0 6825 413394 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_analog[4]" 0 6825 329294 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_clamp_high[0]" 0 3577 326794 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5500000 9400 0 0 0 0 0 0
-node "io_clamp_low[0]" 0 3577 324294 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5500000 9400 0 0 0 0 0 0
-node "io_analog[4]" 0 6825 318994 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_analog[5]" 0 6825 227594 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_clamp_high[1]" 0 3577 225094 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5500000 9400 0 0 0 0 0 0
-node "io_clamp_low[1]" 0 3577 222594 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5500000 9400 0 0 0 0 0 0
-node "io_analog[5]" 0 6825 217294 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_analog[6]" 0 6825 175894 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_clamp_high[2]" 0 3577 173394 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5500000 9400 0 0 0 0 0 0
-node "io_clamp_low[2]" 0 3577 170894 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5500000 9400 0 0 0 0 0 0
-node "io_analog[6]" 0 6825 165594 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_analog[7]" 0 6825 120194 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_analog[8]" 0 6825 68194 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_analog[9]" 0 6825 16194 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "user_irq[2]" 1 631.648 583250 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "user_irq[1]" 1 631.648 582068 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "user_irq[0]" 1 631.648 580886 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "user_clock2" 1 631.648 579704 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[127]" 1 631.648 578522 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[127]" 1 631.648 577340 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[127]" 1 631.648 576158 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[126]" 1 631.648 574976 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[126]" 1 631.648 573794 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[126]" 1 631.648 572612 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[125]" 1 631.648 571430 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[125]" 1 631.648 570248 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[125]" 1 631.648 569066 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[124]" 1 631.648 567884 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[124]" 1 631.648 566702 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[124]" 1 631.648 565520 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[123]" 1 631.648 564338 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[123]" 1 631.648 563156 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[123]" 1 631.648 561974 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[122]" 1 631.648 560792 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[122]" 1 631.648 559610 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[122]" 1 631.648 558428 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[121]" 1 631.648 557246 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[121]" 1 631.648 556064 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[121]" 1 631.648 554882 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[120]" 1 631.648 553700 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[120]" 1 631.648 552518 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[120]" 1 631.648 551336 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[119]" 1 631.648 550154 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[119]" 1 631.648 548972 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[119]" 1 631.648 547790 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[118]" 1 631.648 546608 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[118]" 1 631.648 545426 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[118]" 1 631.648 544244 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[117]" 1 631.648 543062 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[117]" 1 631.648 541880 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[117]" 1 631.648 540698 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[116]" 1 631.648 539516 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[116]" 1 631.648 538334 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[116]" 1 631.648 537152 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[115]" 1 631.648 535970 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[115]" 1 631.648 534788 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[115]" 1 631.648 533606 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[114]" 1 631.648 532424 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[114]" 1 631.648 531242 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[114]" 1 631.648 530060 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[113]" 1 631.648 528878 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[113]" 1 631.648 527696 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[113]" 1 631.648 526514 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[112]" 1 631.648 525332 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[112]" 1 631.648 524150 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[112]" 1 631.648 522968 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[111]" 1 631.648 521786 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[111]" 1 631.648 520604 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[111]" 1 631.648 519422 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[110]" 1 631.648 518240 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[110]" 1 631.648 517058 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[110]" 1 631.648 515876 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[109]" 1 631.648 514694 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[109]" 1 631.648 513512 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[109]" 1 631.648 512330 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[108]" 1 631.648 511148 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[108]" 1 631.648 509966 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[108]" 1 631.648 508784 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[107]" 1 631.648 507602 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[107]" 1 631.648 506420 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[107]" 1 631.648 505238 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[106]" 1 631.648 504056 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[106]" 1 631.648 502874 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[106]" 1 631.648 501692 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[105]" 1 631.648 500510 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[105]" 1 631.648 499328 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[105]" 1 631.648 498146 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[104]" 1 631.648 496964 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[104]" 1 631.648 495782 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[104]" 1 631.648 494600 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[103]" 1 631.648 493418 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[103]" 1 631.648 492236 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[103]" 1 631.648 491054 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[102]" 1 631.648 489872 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[102]" 1 631.648 488690 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[102]" 1 631.648 487508 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[101]" 1 631.648 486326 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[101]" 1 631.648 485144 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[101]" 1 631.648 483962 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[100]" 1 631.648 482780 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[100]" 1 631.648 481598 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[100]" 1 631.648 480416 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[99]" 1 631.648 479234 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[99]" 1 631.648 478052 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[99]" 1 631.648 476870 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[98]" 1 631.648 475688 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[98]" 1 631.648 474506 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[98]" 1 631.648 473324 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[97]" 1 631.648 472142 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[97]" 1 631.648 470960 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[97]" 1 631.648 469778 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[96]" 1 631.648 468596 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[96]" 1 631.648 467414 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[96]" 1 631.648 466232 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[95]" 1 631.648 465050 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[95]" 1 631.648 463868 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[95]" 1 631.648 462686 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[94]" 1 631.648 461504 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[94]" 1 631.648 460322 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[94]" 1 631.648 459140 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[93]" 1 631.648 457958 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[93]" 1 631.648 456776 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[93]" 1 631.648 455594 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[92]" 1 631.648 454412 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[92]" 1 631.648 453230 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[92]" 1 631.648 452048 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[91]" 1 631.648 450866 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[91]" 1 631.648 449684 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[91]" 1 631.648 448502 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[90]" 1 631.648 447320 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[90]" 1 631.648 446138 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[90]" 1 631.648 444956 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[89]" 1 631.648 443774 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[89]" 1 631.648 442592 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[89]" 1 631.648 441410 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[88]" 1 631.648 440228 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[88]" 1 631.648 439046 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[88]" 1 631.648 437864 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[87]" 1 631.648 436682 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[87]" 1 631.648 435500 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[87]" 1 631.648 434318 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[86]" 1 631.648 433136 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[86]" 1 631.648 431954 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[86]" 1 631.648 430772 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[85]" 1 631.648 429590 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[85]" 1 631.648 428408 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[85]" 1 631.648 427226 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[84]" 1 631.648 426044 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[84]" 1 631.648 424862 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[84]" 1 631.648 423680 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[83]" 1 631.648 422498 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[83]" 1 631.648 421316 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[83]" 1 631.648 420134 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[82]" 1 631.648 418952 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[82]" 1 631.648 417770 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[82]" 1 631.648 416588 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[81]" 1 631.648 415406 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[81]" 1 631.648 414224 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[81]" 1 631.648 413042 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[80]" 1 631.648 411860 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[80]" 1 631.648 410678 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[80]" 1 631.648 409496 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[79]" 1 631.648 408314 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[79]" 1 631.648 407132 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[79]" 1 631.648 405950 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[78]" 1 631.648 404768 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[78]" 1 631.648 403586 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[78]" 1 631.648 402404 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[77]" 1 631.648 401222 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[77]" 1 631.648 400040 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[77]" 1 631.648 398858 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[76]" 1 631.648 397676 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[76]" 1 631.648 396494 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[76]" 1 631.648 395312 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[75]" 1 631.648 394130 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[75]" 1 631.648 392948 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[75]" 1 631.648 391766 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[74]" 1 631.648 390584 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[74]" 1 631.648 389402 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[74]" 1 631.648 388220 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[73]" 1 631.648 387038 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[73]" 1 631.648 385856 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[73]" 1 631.648 384674 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[72]" 1 631.648 383492 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[72]" 1 631.648 382310 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[72]" 1 631.648 381128 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[71]" 1 631.648 379946 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[71]" 1 631.648 378764 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[71]" 1 631.648 377582 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[70]" 1 631.648 376400 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[70]" 1 631.648 375218 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[70]" 1 631.648 374036 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[69]" 1 631.648 372854 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[69]" 1 631.648 371672 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[69]" 1 631.648 370490 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[68]" 1 631.648 369308 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[68]" 1 631.648 368126 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[68]" 1 631.648 366944 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[67]" 1 631.648 365762 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[67]" 1 631.648 364580 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[67]" 1 631.648 363398 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[66]" 1 631.648 362216 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[66]" 1 631.648 361034 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[66]" 1 631.648 359852 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[65]" 1 631.648 358670 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[65]" 1 631.648 357488 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[65]" 1 631.648 356306 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[64]" 1 631.648 355124 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[64]" 1 631.648 353942 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[64]" 1 631.648 352760 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[63]" 1 631.648 351578 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[63]" 1 631.648 350396 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[63]" 1 631.648 349214 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[62]" 1 631.648 348032 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[62]" 1 631.648 346850 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[62]" 1 631.648 345668 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[61]" 1 631.648 344486 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[61]" 1 631.648 343304 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[61]" 1 631.648 342122 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[60]" 1 631.648 340940 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[60]" 1 631.648 339758 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[60]" 1 631.648 338576 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[59]" 1 631.648 337394 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[59]" 1 631.648 336212 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[59]" 1 631.648 335030 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[58]" 1 631.648 333848 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[58]" 1 631.648 332666 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[58]" 1 631.648 331484 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[57]" 1 631.648 330302 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[57]" 1 631.648 329120 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[57]" 1 631.648 327938 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[56]" 1 631.648 326756 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[56]" 1 631.648 325574 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[56]" 1 631.648 324392 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[55]" 1 631.648 323210 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[55]" 1 631.648 322028 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[55]" 1 631.648 320846 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[54]" 1 631.648 319664 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[54]" 1 631.648 318482 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[54]" 1 631.648 317300 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[53]" 1 631.648 316118 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[53]" 1 631.648 314936 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[53]" 1 631.648 313754 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[52]" 1 631.648 312572 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[52]" 1 631.648 311390 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[52]" 1 631.648 310208 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[51]" 1 631.648 309026 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[51]" 1 631.648 307844 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[51]" 1 631.648 306662 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[50]" 1 631.648 305480 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[50]" 1 631.648 304298 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[50]" 1 631.648 303116 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[49]" 1 631.648 301934 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[49]" 1 631.648 300752 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[49]" 1 631.648 299570 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[48]" 1 631.648 298388 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[48]" 1 631.648 297206 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[48]" 1 631.648 296024 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[47]" 1 631.648 294842 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[47]" 1 631.648 293660 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[47]" 1 631.648 292478 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[46]" 1 631.648 291296 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[46]" 1 631.648 290114 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[46]" 1 631.648 288932 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[45]" 1 631.648 287750 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[45]" 1 631.648 286568 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[45]" 1 631.648 285386 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[44]" 1 631.648 284204 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[44]" 1 631.648 283022 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[44]" 1 631.648 281840 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[43]" 1 631.648 280658 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[43]" 1 631.648 279476 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[43]" 1 631.648 278294 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[42]" 1 631.648 277112 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[42]" 1 631.648 275930 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[42]" 1 631.648 274748 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[41]" 1 631.648 273566 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[41]" 1 631.648 272384 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[41]" 1 631.648 271202 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[40]" 1 631.648 270020 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[40]" 1 631.648 268838 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[40]" 1 631.648 267656 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[39]" 1 631.648 266474 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[39]" 1 631.648 265292 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[39]" 1 631.648 264110 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[38]" 1 631.648 262928 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[38]" 1 631.648 261746 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[38]" 1 631.648 260564 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[37]" 1 631.648 259382 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[37]" 1 631.648 258200 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[37]" 1 631.648 257018 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[36]" 1 631.648 255836 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[36]" 1 631.648 254654 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[36]" 1 631.648 253472 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[35]" 1 631.648 252290 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[35]" 1 631.648 251108 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[35]" 1 631.648 249926 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[34]" 1 631.648 248744 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[34]" 1 631.648 247562 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[34]" 1 631.648 246380 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[33]" 1 631.648 245198 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[33]" 1 631.648 244016 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[33]" 1 631.648 242834 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[32]" 1 631.648 241652 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[32]" 1 631.648 240470 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[32]" 1 631.648 239288 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[31]" 1 631.648 238106 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[31]" 1 631.648 236924 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[31]" 1 631.648 235742 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[30]" 1 631.648 234560 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[30]" 1 631.648 233378 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[30]" 1 631.648 232196 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[29]" 1 631.648 231014 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[29]" 1 631.648 229832 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[29]" 1 631.648 228650 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[28]" 1 631.648 227468 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[28]" 1 631.648 226286 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[28]" 1 631.648 225104 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[27]" 1 631.648 223922 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[27]" 1 631.648 222740 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[27]" 1 631.648 221558 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[26]" 1 631.648 220376 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[26]" 1 631.648 219194 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[26]" 1 631.648 218012 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[25]" 1 631.648 216830 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[25]" 1 631.648 215648 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[25]" 1 631.648 214466 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[24]" 1 631.648 213284 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[24]" 1 631.648 212102 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[24]" 1 631.648 210920 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[23]" 1 631.648 209738 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[23]" 1 631.648 208556 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[23]" 1 631.648 207374 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[22]" 1 631.648 206192 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[22]" 1 631.648 205010 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[22]" 1 631.648 203828 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[21]" 1 631.648 202646 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[21]" 1 631.648 201464 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[21]" 1 631.648 200282 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[20]" 1 631.648 199100 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[20]" 1 631.648 197918 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[20]" 1 631.648 196736 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[19]" 1 631.648 195554 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[19]" 1 631.648 194372 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[19]" 1 631.648 193190 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[18]" 1 631.648 192008 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[18]" 1 631.648 190826 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[18]" 1 631.648 189644 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[17]" 1 631.648 188462 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[17]" 1 631.648 187280 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[17]" 1 631.648 186098 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[16]" 1 631.648 184916 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[16]" 1 631.648 183734 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[16]" 1 631.648 182552 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[15]" 1 631.648 181370 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[15]" 1 631.648 180188 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[15]" 1 631.648 179006 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[14]" 1 631.648 177824 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[14]" 1 631.648 176642 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[14]" 1 631.648 175460 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[13]" 1 631.648 174278 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[13]" 1 631.648 173096 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[13]" 1 631.648 171914 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[12]" 1 631.648 170732 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[12]" 1 631.648 169550 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[12]" 1 631.648 168368 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[11]" 1 631.648 167186 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[11]" 1 631.648 166004 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[11]" 1 631.648 164822 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[10]" 1 631.648 163640 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[10]" 1 631.648 162458 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[10]" 1 631.648 161276 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[9]" 1 631.648 160094 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[9]" 1 631.648 158912 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[9]" 1 631.648 157730 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[8]" 1 631.648 156548 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[8]" 1 631.648 155366 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[8]" 1 631.648 154184 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[7]" 1 631.648 153002 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[7]" 1 631.648 151820 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[7]" 1 631.648 150638 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[6]" 1 631.648 149456 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[6]" 1 631.648 148274 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[6]" 1 631.648 147092 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[5]" 1 631.648 145910 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[5]" 1 631.648 144728 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[5]" 1 631.648 143546 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[4]" 1 631.648 142364 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[4]" 1 631.648 141182 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[4]" 1 631.648 140000 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[3]" 1 631.648 138818 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[3]" 1 631.648 137636 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[3]" 1 631.648 136454 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[2]" 1 631.648 135272 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[2]" 1 631.648 134090 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[2]" 1 631.648 132908 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[1]" 1 631.648 131726 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[1]" 1 631.648 130544 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[1]" 1 631.648 129362 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[0]" 1 631.648 128180 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[0]" 1 631.648 126998 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[0]" 1 631.648 125816 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[31]" 1 631.648 124634 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[31]" 1 631.648 123452 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[31]" 1 631.648 122270 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[30]" 1 631.648 121088 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[30]" 1 631.648 119906 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[30]" 1 631.648 118724 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[29]" 1 631.648 117542 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[29]" 1 631.648 116360 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[29]" 1 631.648 115178 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[28]" 1 631.648 113996 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[28]" 1 631.648 112814 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[28]" 1 631.648 111632 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[27]" 1 631.648 110450 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[27]" 1 631.648 109268 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[27]" 1 631.648 108086 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[26]" 1 631.648 106904 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[26]" 1 631.648 105722 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[26]" 1 631.648 104540 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[25]" 1 631.648 103358 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[25]" 1 631.648 102176 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[25]" 1 631.648 100994 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[24]" 1 631.648 99812 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[24]" 1 631.648 98630 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[24]" 1 631.648 97448 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[23]" 1 631.648 96266 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[23]" 1 631.648 95084 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[23]" 1 631.648 93902 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[22]" 1 631.648 92720 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[22]" 1 631.648 91538 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[22]" 1 631.648 90356 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[21]" 1 631.648 89174 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[21]" 1 631.648 87992 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[21]" 1 631.648 86810 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[20]" 1 631.648 85628 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[20]" 1 631.648 84446 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[20]" 1 631.648 83264 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[19]" 1 631.648 82082 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[19]" 1 631.648 80900 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[19]" 1 631.648 79718 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[18]" 1 631.648 78536 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[18]" 1 631.648 77354 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[18]" 1 631.648 76172 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[17]" 1 631.648 74990 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[17]" 1 631.648 73808 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[17]" 1 631.648 72626 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[16]" 1 631.648 71444 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[16]" 1 631.648 70262 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[16]" 1 631.648 69080 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[15]" 1 631.648 67898 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[15]" 1 631.648 66716 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[15]" 1 631.648 65534 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[14]" 1 631.648 64352 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[14]" 1 631.648 63170 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[14]" 1 631.648 61988 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[13]" 1 631.648 60806 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[13]" 1 631.648 59624 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[13]" 1 631.648 58442 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[12]" 1 631.648 57260 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[12]" 1 631.648 56078 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[12]" 1 631.648 54896 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[11]" 1 631.648 53714 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[11]" 1 631.648 52532 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[11]" 1 631.648 51350 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[10]" 1 631.648 50168 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[10]" 1 631.648 48986 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[10]" 1 631.648 47804 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[9]" 1 631.648 46622 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[9]" 1 631.648 45440 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[9]" 1 631.648 44258 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[8]" 1 631.648 43076 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[8]" 1 631.648 41894 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[8]" 1 631.648 40712 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[7]" 1 631.648 39530 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[7]" 1 631.648 38348 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[7]" 1 631.648 37166 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[6]" 1 631.648 35984 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[6]" 1 631.648 34802 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[6]" 1 631.648 33620 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[5]" 1 631.648 32438 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[5]" 1 631.648 31256 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[5]" 1 631.648 30074 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[4]" 1 631.648 28892 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[4]" 1 631.648 27710 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[4]" 1 631.648 26528 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_sel_i[3]" 1 631.648 25346 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[3]" 1 631.648 24164 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[3]" 1 631.648 22982 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[3]" 1 631.648 21800 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_sel_i[2]" 1 631.648 20618 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[2]" 1 631.648 19436 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[2]" 1 631.648 18254 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[2]" 1 631.648 17072 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_sel_i[1]" 1 631.648 15890 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[1]" 1 631.648 14708 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[1]" 1 631.648 13526 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[1]" 1 631.648 12344 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_sel_i[0]" 1 631.648 11162 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[0]" 1 631.648 9980 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[0]" 1 631.648 8798 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[0]" 1 631.648 7616 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_we_i" 1 631.648 6434 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_stb_i" 1 631.648 5252 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_cyc_i" 1 631.648 4070 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_ack_o" 1 631.648 2888 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wb_rst_i" 1 631.648 1706 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wb_clk_i" 1 631.648 524 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "io_analog[4]" "io_analog[4]" 26250
-cap "io_analog[5]" "io_analog[5]" 21250
-cap "io_analog[6]" "io_analog[6]" 21250
-cap "io_analog[4]" "io_analog[4]" 26250
-cap "io_clamp_low[1]" "io_analog[5]" 525
-cap "io_clamp_low[0]" "io_analog[4]" 525
-cap "io_analog[4]" "io_analog[4]" 21250
-cap "io_clamp_high[2]" "io_analog[6]" 525
-cap "io_analog[5]" "io_analog[5]" 26250
-cap "io_analog[6]" "io_analog[6]" 26250
-cap "io_analog[4]" "io_analog[4]" 21250
-cap "io_analog[6]" "io_analog[6]" 26250
-cap "io_analog[5]" "io_analog[5]" 26250
-cap "io_clamp_high[1]" "io_analog[5]" 525
-cap "io_clamp_low[0]" "io_clamp_high[0]" 525
-cap "io_analog[6]" "io_analog[6]" 21250
-cap "io_analog[5]" "io_analog[5]" 21250
-cap "io_clamp_high[2]" "io_clamp_low[2]" 525
-cap "io_clamp_high[0]" "io_analog[4]" 525
-cap "io_clamp_high[1]" "io_clamp_low[1]" 525
-cap "io_clamp_low[2]" "io_analog[6]" 525
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
index e7e7674..deaa72a 100644
--- a/gds/user_analog_project_wrapper.gds
+++ b/gds/user_analog_project_wrapper.gds
Binary files differ
diff --git a/gds/user_analog_project_wrapper.gds.gz b/gds/user_analog_project_wrapper.gds.gz
deleted file mode 100644
index 7d1a9d2..0000000
--- a/gds/user_analog_project_wrapper.gds.gz
+++ /dev/null
Binary files differ
diff --git a/mag/detV2.ext b/mag/detV2.ext
index 1be2e30..3b2f5d4 100644
--- a/mag/detV2.ext
+++ b/mag/detV2.ext
@@ -1,4 +1,4 @@
-timestamp 1648041187
+timestamp 1648179029
 version 8.3
 tech sky130A
 style ngspice()
@@ -9,20 +9,24 @@
 parameters sky130_fd_pr__cap_mim_m3_1 w=w l=l
 port "din" 1 -63034 -25264 -63034 -25264 m4
 port "do" 3 -35040 -25176 -35040 -25176 m4
-port "db" 2 -45852 -26620 -45852 -26620 li
 port "gnd" 4 -44380 -3006 -44380 -3006 m1
+port "db" 2 -45852 -26620 -45852 -26620 li
+port "vbody" 5 -47262 -2418 -47262 -2418 m4
 node "din" 0 5432.53 -63034 -25264 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 57996708 32168 0 0 0 0
-node "m2_n40996_n4008#" 0 114204 -40996 -4008 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1008016 4016 312853200 76780 0 0 0 0 0 0
 node "do" 5 22500.1 -35040 -25176 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8300544 37092 2560000 6400 2560000 6400 64947092 41180 0 0 0 0
-node "bot_cin" 4376 113372 -46000 -11880 ndif 0 0 0 0 0 0 0 0 630000 9280 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 248880 6636 134620 3992 28640 964 312824408 80772 0 0 0 0 0 0
-node "db" 7836 16773.5 -45852 -26620 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 156600 9960 0 0 8715904 30440 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "gnd" 0 0 -44380 -3006 m1 0 0 0 0 0 0 0 0 630000 9280 630000 9280 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 14807500 40148 14307200 28476 0 0 0 0 0 0 0 0 0 0
+node "bot_co" 4102 128055 -45830 -11880 ndif 0 0 0 0 0 0 0 0 630000 9280 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8880096 27972 8475744 17756 1076868 4152 312865828 76804 0 0 0 0 0 0
+equiv "bot_co" "gnd"
+node "bot_cin" 4376 113375 -46000 -11880 ndif 0 0 0 0 0 0 0 0 630000 9280 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 248880 6636 134620 3992 28640 964 312824408 80772 0 0 0 0 0 0
+node "db" 7836 16748.7 -45852 -26620 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 156600 9960 0 0 8715904 30440 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "vbody" 0 0 -47262 -2418 m4 0 0 0 0 0 0 0 0 0 0 874800 9148 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 475724 8408 55696 944 55696 944 55696 944 580186 11430 0 0 0 0
+cap "bot_co" "db" 11.6016
+cap "bot_co" "bot_cin" 948.157
 cap "bot_cin" "db" 11.6617
+cap "bot_co" "do" 103090
 cap "din" "bot_cin" 95616.7
-cap "m2_n40996_n4008#" "do" 103090
-device csubckt sky130_fd_pr__cap_mim_m3_1 -43000 -23676 -42999 -23675 w=17400 l=17400 "None" "do" 14656 0 "m2_n40996_n4008#" 70 0
+device csubckt sky130_fd_pr__cap_mim_m3_1 -43000 -23676 -42999 -23675 w=17400 l=17400 "None" "do" 14656 0 "bot_co" 70 0
 device csubckt sky130_fd_pr__cap_mim_m3_1 -64884 -23708 -64883 -23707 w=17400 l=17400 "None" "din" 14656 0 "bot_cin" 70 0
-device msubckt sky130_fd_pr__nfet_01v8 -45860 -11880 -45859 -11879 l=30 w=4500 "gnd" "db" 60 0 "bot_cin" 4500 0 "gnd" 4500 0
+device msubckt sky130_fd_pr__nfet_01v8 -45860 -11880 -45859 -11879 l=30 w=4500 "vbody" "db" 60 0 "bot_cin" 4500 0 "bot_co" 4500 0
 merge "R/a_n35_n2292#" "do" -15.927 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3300 -108 0 0 0 0 0 0 0 0 0 0
 merge "R/a_n35_1860#" "bot_cin" -14.896 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -100 0 0 0 0 0 0 0 0 0 0
-merge "R/VSUBS" "gnd" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "R/VSUBS" "vbody" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/mag/detV2.mag b/mag/detV2.mag
index a6a5cea..89b6b63 100644
--- a/mag/detV2.mag
+++ b/mag/detV2.mag
@@ -1,9 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1648041187
-<< pwell >>
-rect -45690 -11880 -45550 -7380
+timestamp 1648179029
 << nmos >>
 rect -45860 -11880 -45830 -7380
 << ndiff >>
@@ -107,64 +105,20 @@
 rect -45751 -11637 -45717 -11603
 rect -45751 -11705 -45717 -11671
 << psubdiff >>
-rect -45690 -7459 -45550 -7380
-rect -45690 -7493 -45657 -7459
-rect -45623 -7493 -45550 -7459
-rect -45690 -7527 -45550 -7493
-rect -45690 -7561 -45657 -7527
-rect -45623 -7561 -45550 -7527
-rect -45690 -7899 -45550 -7561
-rect -45690 -7933 -45657 -7899
-rect -45623 -7933 -45550 -7899
-rect -45690 -7967 -45550 -7933
-rect -45690 -8001 -45657 -7967
-rect -45623 -8001 -45550 -7967
-rect -45690 -8409 -45550 -8001
-rect -45690 -8443 -45653 -8409
-rect -45619 -8443 -45550 -8409
-rect -45690 -8477 -45550 -8443
-rect -45690 -8511 -45653 -8477
-rect -45619 -8511 -45550 -8477
-rect -45690 -9199 -45550 -8511
-rect -45690 -9233 -45655 -9199
-rect -45621 -9233 -45550 -9199
-rect -45690 -9267 -45550 -9233
-rect -45690 -9301 -45655 -9267
-rect -45621 -9301 -45550 -9267
-rect -45690 -9799 -45550 -9301
-rect -45690 -9833 -45657 -9799
-rect -45623 -9833 -45550 -9799
-rect -45690 -9867 -45550 -9833
-rect -45690 -9901 -45657 -9867
-rect -45623 -9901 -45550 -9867
-rect -45690 -10409 -45550 -9901
-rect -45690 -10443 -45653 -10409
-rect -45619 -10443 -45550 -10409
-rect -45690 -10477 -45550 -10443
-rect -45690 -10511 -45653 -10477
-rect -45619 -10511 -45550 -10477
-rect -45690 -11599 -45550 -10511
-rect -45690 -11633 -45657 -11599
-rect -45623 -11633 -45550 -11599
-rect -45690 -11667 -45550 -11633
-rect -45690 -11701 -45657 -11667
-rect -45623 -11701 -45550 -11667
-rect -45690 -11880 -45550 -11701
+rect -45540 -7636 -45340 -7466
+rect -45540 -8110 -45472 -7636
+rect -45412 -8110 -45340 -7636
+rect -45540 -9426 -45340 -8110
+rect -45540 -9900 -45482 -9426
+rect -45422 -9900 -45340 -9426
+rect -45540 -11268 -45340 -9900
+rect -45540 -11742 -45472 -11268
+rect -45412 -11742 -45340 -11268
+rect -45540 -11840 -45340 -11742
 << psubdiffcont >>
-rect -45657 -7493 -45623 -7459
-rect -45657 -7561 -45623 -7527
-rect -45657 -7933 -45623 -7899
-rect -45657 -8001 -45623 -7967
-rect -45653 -8443 -45619 -8409
-rect -45653 -8511 -45619 -8477
-rect -45655 -9233 -45621 -9199
-rect -45655 -9301 -45621 -9267
-rect -45657 -9833 -45623 -9799
-rect -45657 -9901 -45623 -9867
-rect -45653 -10443 -45619 -10409
-rect -45653 -10511 -45619 -10477
-rect -45657 -11633 -45623 -11599
-rect -45657 -11701 -45623 -11667
+rect -45472 -8110 -45412 -7636
+rect -45482 -9900 -45422 -9426
+rect -45472 -11742 -45412 -11268
 << poly >>
 rect -45860 -7380 -45830 -7280
 rect -45860 -11996 -45830 -11880
@@ -175,51 +129,50 @@
 << polycont >>
 rect -45862 -12047 -45828 -12013
 << locali >>
-rect -52134 -3134 -38984 -2964
-rect -52134 -3162 -48566 -3134
-rect -52134 -3872 -51168 -3162
-rect -50012 -3844 -48566 -3162
-rect -47410 -3844 -46242 -3134
+rect -46766 -3134 -38984 -2964
+rect -46766 -3844 -46242 -3134
 rect -45086 -3140 -38984 -3134
 rect -45086 -3844 -43696 -3140
-rect -50012 -3850 -43696 -3844
-rect -42540 -3850 -38984 -3140
-rect -50012 -3872 -38984 -3850
-rect -52134 -4052 -38984 -3872
+rect -46766 -3850 -43696 -3844
+rect -42540 -3228 -38984 -3140
+rect -42540 -3764 -40770 -3228
+rect -40234 -3764 -38984 -3228
+rect -42540 -3850 -38984 -3764
+rect -46766 -4052 -38984 -3850
 rect -46010 -5492 -45840 -5458
 rect -46010 -5526 -45945 -5492
 rect -45911 -5526 -45840 -5492
 rect -46010 -5570 -45840 -5526
 rect -45970 -7459 -45886 -5570
-rect -45730 -7420 -45650 -4052
 rect -45970 -7493 -45943 -7459
 rect -45909 -7493 -45886 -7459
 rect -45970 -7527 -45886 -7493
 rect -45970 -7561 -45943 -7527
 rect -45909 -7561 -45886 -7527
 rect -45970 -7590 -45886 -7561
-rect -45780 -7453 -45586 -7420
+rect -45780 -7453 -45690 -4052
+rect -45546 -5874 -45310 -5830
+rect -45546 -6024 -45504 -5874
+rect -45360 -6024 -45310 -5874
+rect -45546 -6066 -45310 -6024
 rect -45780 -7487 -45751 -7453
-rect -45717 -7459 -45586 -7453
-rect -45717 -7487 -45657 -7459
-rect -45780 -7493 -45657 -7487
-rect -45623 -7493 -45586 -7459
-rect -45780 -7521 -45586 -7493
+rect -45717 -7487 -45690 -7453
+rect -45780 -7521 -45690 -7487
 rect -45780 -7555 -45751 -7521
-rect -45717 -7527 -45586 -7521
-rect -45717 -7555 -45657 -7527
-rect -45780 -7561 -45657 -7555
-rect -45623 -7561 -45586 -7527
-rect -45780 -7590 -45586 -7561
-rect -45784 -7899 -45590 -7858
+rect -45717 -7555 -45690 -7521
+rect -45484 -7550 -45392 -6066
+rect -45780 -7590 -45690 -7555
+rect -45516 -7636 -45366 -7550
+rect -45784 -7899 -45690 -7858
 rect -45784 -7933 -45753 -7899
-rect -45719 -7933 -45657 -7899
-rect -45623 -7933 -45590 -7899
-rect -45784 -7967 -45590 -7933
+rect -45719 -7933 -45690 -7899
+rect -45784 -7967 -45690 -7933
 rect -45784 -8001 -45753 -7967
-rect -45719 -8001 -45657 -7967
-rect -45623 -8001 -45590 -7967
-rect -45784 -8028 -45590 -8001
+rect -45719 -8001 -45690 -7967
+rect -45784 -8028 -45690 -8001
+rect -45516 -8110 -45472 -7636
+rect -45412 -8110 -45366 -7636
+rect -45516 -8180 -45366 -8110
 rect -45970 -8298 -45876 -8270
 rect -45970 -8332 -45941 -8298
 rect -45907 -8332 -45876 -8298
@@ -227,19 +180,13 @@
 rect -45970 -8400 -45941 -8366
 rect -45907 -8400 -45876 -8366
 rect -45970 -8430 -45876 -8400
-rect -45780 -8405 -45588 -8370
+rect -45780 -8405 -45690 -8370
 rect -45780 -8439 -45749 -8405
-rect -45715 -8409 -45588 -8405
-rect -45715 -8439 -45653 -8409
-rect -45780 -8443 -45653 -8439
-rect -45619 -8443 -45588 -8409
-rect -45780 -8473 -45588 -8443
+rect -45715 -8439 -45690 -8405
+rect -45780 -8473 -45690 -8439
 rect -45780 -8507 -45749 -8473
-rect -45715 -8477 -45588 -8473
-rect -45715 -8507 -45653 -8477
-rect -45780 -8511 -45653 -8507
-rect -45619 -8511 -45588 -8477
-rect -45780 -8540 -45588 -8511
+rect -45715 -8507 -45690 -8473
+rect -45780 -8540 -45690 -8507
 rect -45970 -8999 -45876 -8970
 rect -45970 -9033 -45941 -8999
 rect -45907 -9033 -45876 -8999
@@ -247,28 +194,24 @@
 rect -45970 -9101 -45941 -9067
 rect -45907 -9101 -45876 -9067
 rect -45970 -9130 -45876 -9101
-rect -45790 -9193 -45590 -9160
+rect -45790 -9193 -45690 -9160
 rect -45790 -9227 -45761 -9193
-rect -45727 -9199 -45590 -9193
-rect -45727 -9227 -45655 -9199
-rect -45790 -9233 -45655 -9227
-rect -45621 -9233 -45590 -9199
-rect -45790 -9261 -45590 -9233
+rect -45727 -9227 -45690 -9193
+rect -45790 -9261 -45690 -9227
 rect -45790 -9295 -45761 -9261
-rect -45727 -9267 -45590 -9261
-rect -45727 -9295 -45655 -9267
-rect -45790 -9301 -45655 -9295
-rect -45621 -9301 -45590 -9267
-rect -45790 -9330 -45590 -9301
-rect -45784 -9799 -45590 -9758
+rect -45727 -9295 -45690 -9261
+rect -45790 -9330 -45690 -9295
+rect -45520 -9426 -45370 -9324
+rect -45784 -9799 -45690 -9758
 rect -45784 -9833 -45755 -9799
-rect -45721 -9833 -45657 -9799
-rect -45623 -9833 -45590 -9799
-rect -45784 -9867 -45590 -9833
+rect -45721 -9833 -45690 -9799
+rect -45784 -9867 -45690 -9833
 rect -45784 -9901 -45755 -9867
-rect -45721 -9901 -45657 -9867
-rect -45623 -9901 -45590 -9867
-rect -45784 -9928 -45590 -9901
+rect -45721 -9901 -45690 -9867
+rect -45784 -9928 -45690 -9901
+rect -45520 -9900 -45482 -9426
+rect -45422 -9900 -45370 -9426
+rect -45520 -9954 -45370 -9900
 rect -45970 -10299 -45876 -10270
 rect -45970 -10333 -45941 -10299
 rect -45907 -10333 -45876 -10299
@@ -276,19 +219,14 @@
 rect -45970 -10401 -45941 -10367
 rect -45907 -10401 -45876 -10367
 rect -45970 -10430 -45876 -10401
-rect -45780 -10405 -45588 -10370
+rect -45780 -10405 -45690 -10370
 rect -45780 -10439 -45749 -10405
-rect -45715 -10409 -45588 -10405
-rect -45715 -10439 -45653 -10409
-rect -45780 -10443 -45653 -10439
-rect -45619 -10443 -45588 -10409
-rect -45780 -10473 -45588 -10443
+rect -45715 -10439 -45690 -10405
+rect -45780 -10473 -45690 -10439
 rect -45780 -10507 -45749 -10473
-rect -45715 -10477 -45588 -10473
-rect -45715 -10507 -45653 -10477
-rect -45780 -10511 -45653 -10507
-rect -45619 -10511 -45588 -10477
-rect -45780 -10540 -45588 -10511
+rect -45715 -10507 -45690 -10473
+rect -45780 -10540 -45690 -10507
+rect -45514 -11268 -45364 -11158
 rect -45970 -11599 -45876 -11570
 rect -45970 -11633 -45941 -11599
 rect -45907 -11633 -45876 -11599
@@ -296,41 +234,39 @@
 rect -45970 -11701 -45941 -11667
 rect -45907 -11701 -45876 -11667
 rect -45970 -11730 -45876 -11701
-rect -45780 -11599 -45588 -11570
-rect -45780 -11603 -45657 -11599
+rect -45780 -11603 -45690 -11570
 rect -45780 -11637 -45751 -11603
-rect -45717 -11633 -45657 -11603
-rect -45623 -11633 -45588 -11599
-rect -45717 -11637 -45588 -11633
-rect -45780 -11667 -45588 -11637
-rect -45780 -11671 -45657 -11667
+rect -45717 -11637 -45690 -11603
+rect -45780 -11671 -45690 -11637
 rect -45780 -11705 -45751 -11671
-rect -45717 -11701 -45657 -11671
-rect -45623 -11701 -45588 -11667
-rect -45717 -11705 -45588 -11701
-rect -45780 -11740 -45588 -11705
+rect -45717 -11705 -45690 -11671
+rect -45780 -11740 -45690 -11705
+rect -45514 -11742 -45472 -11268
+rect -45412 -11742 -45364 -11268
+rect -45514 -11788 -45364 -11742
 rect -46122 -12013 -45526 -11996
 rect -46122 -12047 -45862 -12013
 rect -45828 -12047 -45526 -12013
 rect -46122 -26620 -45526 -12047
 << viali >>
-rect -51168 -3872 -50012 -3162
-rect -48566 -3844 -47410 -3134
 rect -46242 -3844 -45086 -3134
 rect -43696 -3850 -42540 -3140
+rect -40770 -3764 -40234 -3228
 rect -45945 -5526 -45911 -5492
+rect -45504 -6024 -45360 -5874
 << metal1 >>
-rect -52134 -3134 -38984 -2964
-rect -52134 -3162 -48566 -3134
-rect -52134 -3872 -51168 -3162
-rect -50012 -3844 -48566 -3162
-rect -47410 -3844 -46242 -3134
+rect -46766 -3134 -38984 -2964
+rect -46766 -3844 -46242 -3134
 rect -45086 -3140 -38984 -3134
 rect -45086 -3844 -43696 -3140
-rect -50012 -3850 -43696 -3844
-rect -42540 -3850 -38984 -3140
-rect -50012 -3872 -38984 -3850
-rect -52134 -4052 -38984 -3872
+rect -46766 -3850 -43696 -3844
+rect -42540 -3228 -38984 -3140
+rect -42540 -3764 -40770 -3228
+rect -40234 -3764 -38984 -3228
+rect -42540 -3850 -38984 -3764
+rect -46766 -4052 -38984 -3850
+rect -41026 -4058 -39876 -4052
+rect -41006 -4060 -39992 -4058
 rect -46010 -5483 -45840 -5458
 rect -46010 -5535 -45954 -5483
 rect -45902 -5535 -45840 -5483
@@ -339,6 +275,10 @@
 rect -44716 -5516 -44680 -5464
 rect -44800 -5530 -44680 -5516
 rect -46010 -5570 -45840 -5535
+rect -45546 -5874 -45310 -5830
+rect -45546 -6024 -45504 -5874
+rect -45360 -6024 -45310 -5874
+rect -45546 -6066 -45310 -6024
 rect -44770 -7044 -44700 -5530
 rect -44760 -11620 -44710 -11612
 rect -44770 -12551 -44700 -11620
@@ -351,18 +291,20 @@
 rect -41920 -25468 -41392 -24904
 rect -42992 -25990 -41392 -25468
 << via1 >>
+rect -40770 -3764 -40234 -3228
 rect -45954 -5492 -45902 -5483
 rect -45954 -5526 -45945 -5492
 rect -45945 -5526 -45911 -5492
 rect -45911 -5526 -45902 -5492
 rect -45954 -5535 -45902 -5526
 rect -44768 -5516 -44716 -5464
+rect -45504 -6024 -45360 -5874
 rect -42484 -25468 -41920 -24904
 << metal2 >>
-rect -40996 -3228 -39992 -3004
-rect -40996 -3764 -40770 -3228
+rect -41006 -3228 -39992 -2998
+rect -41006 -3764 -40770 -3228
 rect -40234 -3764 -39992 -3228
-rect -40996 -4008 -39992 -3764
+rect -41006 -4060 -39992 -3764
 rect -46010 -5481 -45840 -5458
 rect -46010 -5537 -45956 -5481
 rect -45900 -5537 -45840 -5481
@@ -371,6 +313,10 @@
 rect -44714 -5518 -44680 -5462
 rect -44800 -5530 -44680 -5518
 rect -46010 -5570 -45840 -5537
+rect -45546 -5874 -45310 -5830
+rect -45546 -6024 -45504 -5874
+rect -45360 -6024 -45310 -5874
+rect -45546 -6066 -45310 -6024
 rect -42992 -24904 -41392 -24390
 rect -42992 -25468 -42484 -24904
 rect -41920 -25468 -41392 -24904
@@ -387,12 +333,14 @@
 rect -44768 -5516 -44716 -5464
 rect -44716 -5516 -44714 -5464
 rect -44770 -5518 -44714 -5516
+rect -45504 -6024 -45360 -5874
 rect -42470 -25454 -41934 -24918
 << metal3 >>
-rect -41006 -3228 -40002 -3000
+rect -41006 -3228 -39992 -2998
 rect -41006 -3764 -40770 -3228
-rect -40234 -3764 -40002 -3228
-rect -41006 -4800 -40002 -3764
+rect -40234 -3764 -39992 -3228
+rect -41006 -4060 -39992 -3764
+rect -41006 -4800 -40002 -4060
 rect -47686 -5000 -44398 -4998
 rect -49400 -5002 -44398 -5000
 rect -49400 -5462 -44392 -5002
@@ -405,6 +353,10 @@
 rect -49400 -5600 -44392 -5537
 rect -49400 -5602 -44398 -5600
 rect -49400 -6208 -48400 -5602
+rect -45546 -5874 -45310 -5830
+rect -45546 -6024 -45504 -5874
+rect -45360 -6024 -45310 -5874
+rect -45546 -6066 -45310 -6024
 rect -41002 -6188 -40002 -5400
 rect -64974 -23788 -47386 -6208
 rect -43100 -23782 -25500 -6188
@@ -413,6 +365,7 @@
 rect -41930 -25458 -41392 -24914
 rect -42992 -25990 -41392 -25458
 << via3 >>
+rect -45504 -6024 -45360 -5874
 rect -42474 -24918 -41930 -24914
 rect -42474 -25454 -42470 -24918
 rect -42470 -25454 -41934 -24918
@@ -431,6 +384,13 @@
 rect -62437 -19995 -58133 -16971
 rect -40023 -19653 -35719 -16629
 << metal4 >>
+rect -47300 -5904 -47210 -2417
+rect -45546 -5874 -45310 -5830
+rect -45546 -5904 -45504 -5874
+rect -47300 -6024 -45504 -5904
+rect -45360 -6024 -45310 -5874
+rect -47300 -6026 -47210 -6024
+rect -45546 -6066 -45310 -6024
 rect -63034 -16971 -57576 -16188
 rect -63034 -19995 -62437 -16971
 rect -58133 -19995 -57576 -16971
@@ -460,4 +420,7 @@
 port 3 nsew
 rlabel metal1 -44380 -3006 -44380 -3006 1 gnd
 port 4 n
+rlabel metal4 -47262 -2418 -47262 -2418 1 vbody
+port 5 n
+flabel metal3 -40926 -5184 -40044 -4558 0 FreeSans 1600 0 0 0 bot_co
 << end >>
diff --git a/mag/detV3.mag b/mag/detV3.mag
new file mode 100644
index 0000000..a6a5cea
--- /dev/null
+++ b/mag/detV3.mag
@@ -0,0 +1,463 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1648041187
+<< pwell >>
+rect -45690 -11880 -45550 -7380
+<< nmos >>
+rect -45860 -11880 -45830 -7380
+<< ndiff >>
+rect -46000 -7459 -45860 -7380
+rect -46000 -7493 -45943 -7459
+rect -45909 -7493 -45860 -7459
+rect -46000 -7527 -45860 -7493
+rect -46000 -7561 -45943 -7527
+rect -45909 -7561 -45860 -7527
+rect -46000 -8298 -45860 -7561
+rect -46000 -8332 -45941 -8298
+rect -45907 -8332 -45860 -8298
+rect -46000 -8366 -45860 -8332
+rect -46000 -8400 -45941 -8366
+rect -45907 -8400 -45860 -8366
+rect -46000 -8999 -45860 -8400
+rect -46000 -9033 -45941 -8999
+rect -45907 -9033 -45860 -8999
+rect -46000 -9067 -45860 -9033
+rect -46000 -9101 -45941 -9067
+rect -45907 -9101 -45860 -9067
+rect -46000 -10299 -45860 -9101
+rect -46000 -10333 -45941 -10299
+rect -45907 -10333 -45860 -10299
+rect -46000 -10367 -45860 -10333
+rect -46000 -10401 -45941 -10367
+rect -45907 -10401 -45860 -10367
+rect -46000 -11599 -45860 -10401
+rect -46000 -11633 -45941 -11599
+rect -45907 -11633 -45860 -11599
+rect -46000 -11667 -45860 -11633
+rect -46000 -11701 -45941 -11667
+rect -45907 -11701 -45860 -11667
+rect -46000 -11880 -45860 -11701
+rect -45830 -7453 -45690 -7380
+rect -45830 -7487 -45751 -7453
+rect -45717 -7487 -45690 -7453
+rect -45830 -7521 -45690 -7487
+rect -45830 -7555 -45751 -7521
+rect -45717 -7555 -45690 -7521
+rect -45830 -7899 -45690 -7555
+rect -45830 -7933 -45753 -7899
+rect -45719 -7933 -45690 -7899
+rect -45830 -7967 -45690 -7933
+rect -45830 -8001 -45753 -7967
+rect -45719 -8001 -45690 -7967
+rect -45830 -8405 -45690 -8001
+rect -45830 -8439 -45749 -8405
+rect -45715 -8439 -45690 -8405
+rect -45830 -8473 -45690 -8439
+rect -45830 -8507 -45749 -8473
+rect -45715 -8507 -45690 -8473
+rect -45830 -9193 -45690 -8507
+rect -45830 -9227 -45761 -9193
+rect -45727 -9227 -45690 -9193
+rect -45830 -9261 -45690 -9227
+rect -45830 -9295 -45761 -9261
+rect -45727 -9295 -45690 -9261
+rect -45830 -9799 -45690 -9295
+rect -45830 -9833 -45755 -9799
+rect -45721 -9833 -45690 -9799
+rect -45830 -9867 -45690 -9833
+rect -45830 -9901 -45755 -9867
+rect -45721 -9901 -45690 -9867
+rect -45830 -10405 -45690 -9901
+rect -45830 -10439 -45749 -10405
+rect -45715 -10439 -45690 -10405
+rect -45830 -10473 -45690 -10439
+rect -45830 -10507 -45749 -10473
+rect -45715 -10507 -45690 -10473
+rect -45830 -11603 -45690 -10507
+rect -45830 -11637 -45751 -11603
+rect -45717 -11637 -45690 -11603
+rect -45830 -11671 -45690 -11637
+rect -45830 -11705 -45751 -11671
+rect -45717 -11705 -45690 -11671
+rect -45830 -11880 -45690 -11705
+<< ndiffc >>
+rect -45943 -7493 -45909 -7459
+rect -45943 -7561 -45909 -7527
+rect -45941 -8332 -45907 -8298
+rect -45941 -8400 -45907 -8366
+rect -45941 -9033 -45907 -8999
+rect -45941 -9101 -45907 -9067
+rect -45941 -10333 -45907 -10299
+rect -45941 -10401 -45907 -10367
+rect -45941 -11633 -45907 -11599
+rect -45941 -11701 -45907 -11667
+rect -45751 -7487 -45717 -7453
+rect -45751 -7555 -45717 -7521
+rect -45753 -7933 -45719 -7899
+rect -45753 -8001 -45719 -7967
+rect -45749 -8439 -45715 -8405
+rect -45749 -8507 -45715 -8473
+rect -45761 -9227 -45727 -9193
+rect -45761 -9295 -45727 -9261
+rect -45755 -9833 -45721 -9799
+rect -45755 -9901 -45721 -9867
+rect -45749 -10439 -45715 -10405
+rect -45749 -10507 -45715 -10473
+rect -45751 -11637 -45717 -11603
+rect -45751 -11705 -45717 -11671
+<< psubdiff >>
+rect -45690 -7459 -45550 -7380
+rect -45690 -7493 -45657 -7459
+rect -45623 -7493 -45550 -7459
+rect -45690 -7527 -45550 -7493
+rect -45690 -7561 -45657 -7527
+rect -45623 -7561 -45550 -7527
+rect -45690 -7899 -45550 -7561
+rect -45690 -7933 -45657 -7899
+rect -45623 -7933 -45550 -7899
+rect -45690 -7967 -45550 -7933
+rect -45690 -8001 -45657 -7967
+rect -45623 -8001 -45550 -7967
+rect -45690 -8409 -45550 -8001
+rect -45690 -8443 -45653 -8409
+rect -45619 -8443 -45550 -8409
+rect -45690 -8477 -45550 -8443
+rect -45690 -8511 -45653 -8477
+rect -45619 -8511 -45550 -8477
+rect -45690 -9199 -45550 -8511
+rect -45690 -9233 -45655 -9199
+rect -45621 -9233 -45550 -9199
+rect -45690 -9267 -45550 -9233
+rect -45690 -9301 -45655 -9267
+rect -45621 -9301 -45550 -9267
+rect -45690 -9799 -45550 -9301
+rect -45690 -9833 -45657 -9799
+rect -45623 -9833 -45550 -9799
+rect -45690 -9867 -45550 -9833
+rect -45690 -9901 -45657 -9867
+rect -45623 -9901 -45550 -9867
+rect -45690 -10409 -45550 -9901
+rect -45690 -10443 -45653 -10409
+rect -45619 -10443 -45550 -10409
+rect -45690 -10477 -45550 -10443
+rect -45690 -10511 -45653 -10477
+rect -45619 -10511 -45550 -10477
+rect -45690 -11599 -45550 -10511
+rect -45690 -11633 -45657 -11599
+rect -45623 -11633 -45550 -11599
+rect -45690 -11667 -45550 -11633
+rect -45690 -11701 -45657 -11667
+rect -45623 -11701 -45550 -11667
+rect -45690 -11880 -45550 -11701
+<< psubdiffcont >>
+rect -45657 -7493 -45623 -7459
+rect -45657 -7561 -45623 -7527
+rect -45657 -7933 -45623 -7899
+rect -45657 -8001 -45623 -7967
+rect -45653 -8443 -45619 -8409
+rect -45653 -8511 -45619 -8477
+rect -45655 -9233 -45621 -9199
+rect -45655 -9301 -45621 -9267
+rect -45657 -9833 -45623 -9799
+rect -45657 -9901 -45623 -9867
+rect -45653 -10443 -45619 -10409
+rect -45653 -10511 -45619 -10477
+rect -45657 -11633 -45623 -11599
+rect -45657 -11701 -45623 -11667
+<< poly >>
+rect -45860 -7380 -45830 -7280
+rect -45860 -11996 -45830 -11880
+rect -45934 -12013 -45754 -11996
+rect -45934 -12047 -45862 -12013
+rect -45828 -12047 -45754 -12013
+rect -45934 -12080 -45754 -12047
+<< polycont >>
+rect -45862 -12047 -45828 -12013
+<< locali >>
+rect -52134 -3134 -38984 -2964
+rect -52134 -3162 -48566 -3134
+rect -52134 -3872 -51168 -3162
+rect -50012 -3844 -48566 -3162
+rect -47410 -3844 -46242 -3134
+rect -45086 -3140 -38984 -3134
+rect -45086 -3844 -43696 -3140
+rect -50012 -3850 -43696 -3844
+rect -42540 -3850 -38984 -3140
+rect -50012 -3872 -38984 -3850
+rect -52134 -4052 -38984 -3872
+rect -46010 -5492 -45840 -5458
+rect -46010 -5526 -45945 -5492
+rect -45911 -5526 -45840 -5492
+rect -46010 -5570 -45840 -5526
+rect -45970 -7459 -45886 -5570
+rect -45730 -7420 -45650 -4052
+rect -45970 -7493 -45943 -7459
+rect -45909 -7493 -45886 -7459
+rect -45970 -7527 -45886 -7493
+rect -45970 -7561 -45943 -7527
+rect -45909 -7561 -45886 -7527
+rect -45970 -7590 -45886 -7561
+rect -45780 -7453 -45586 -7420
+rect -45780 -7487 -45751 -7453
+rect -45717 -7459 -45586 -7453
+rect -45717 -7487 -45657 -7459
+rect -45780 -7493 -45657 -7487
+rect -45623 -7493 -45586 -7459
+rect -45780 -7521 -45586 -7493
+rect -45780 -7555 -45751 -7521
+rect -45717 -7527 -45586 -7521
+rect -45717 -7555 -45657 -7527
+rect -45780 -7561 -45657 -7555
+rect -45623 -7561 -45586 -7527
+rect -45780 -7590 -45586 -7561
+rect -45784 -7899 -45590 -7858
+rect -45784 -7933 -45753 -7899
+rect -45719 -7933 -45657 -7899
+rect -45623 -7933 -45590 -7899
+rect -45784 -7967 -45590 -7933
+rect -45784 -8001 -45753 -7967
+rect -45719 -8001 -45657 -7967
+rect -45623 -8001 -45590 -7967
+rect -45784 -8028 -45590 -8001
+rect -45970 -8298 -45876 -8270
+rect -45970 -8332 -45941 -8298
+rect -45907 -8332 -45876 -8298
+rect -45970 -8366 -45876 -8332
+rect -45970 -8400 -45941 -8366
+rect -45907 -8400 -45876 -8366
+rect -45970 -8430 -45876 -8400
+rect -45780 -8405 -45588 -8370
+rect -45780 -8439 -45749 -8405
+rect -45715 -8409 -45588 -8405
+rect -45715 -8439 -45653 -8409
+rect -45780 -8443 -45653 -8439
+rect -45619 -8443 -45588 -8409
+rect -45780 -8473 -45588 -8443
+rect -45780 -8507 -45749 -8473
+rect -45715 -8477 -45588 -8473
+rect -45715 -8507 -45653 -8477
+rect -45780 -8511 -45653 -8507
+rect -45619 -8511 -45588 -8477
+rect -45780 -8540 -45588 -8511
+rect -45970 -8999 -45876 -8970
+rect -45970 -9033 -45941 -8999
+rect -45907 -9033 -45876 -8999
+rect -45970 -9067 -45876 -9033
+rect -45970 -9101 -45941 -9067
+rect -45907 -9101 -45876 -9067
+rect -45970 -9130 -45876 -9101
+rect -45790 -9193 -45590 -9160
+rect -45790 -9227 -45761 -9193
+rect -45727 -9199 -45590 -9193
+rect -45727 -9227 -45655 -9199
+rect -45790 -9233 -45655 -9227
+rect -45621 -9233 -45590 -9199
+rect -45790 -9261 -45590 -9233
+rect -45790 -9295 -45761 -9261
+rect -45727 -9267 -45590 -9261
+rect -45727 -9295 -45655 -9267
+rect -45790 -9301 -45655 -9295
+rect -45621 -9301 -45590 -9267
+rect -45790 -9330 -45590 -9301
+rect -45784 -9799 -45590 -9758
+rect -45784 -9833 -45755 -9799
+rect -45721 -9833 -45657 -9799
+rect -45623 -9833 -45590 -9799
+rect -45784 -9867 -45590 -9833
+rect -45784 -9901 -45755 -9867
+rect -45721 -9901 -45657 -9867
+rect -45623 -9901 -45590 -9867
+rect -45784 -9928 -45590 -9901
+rect -45970 -10299 -45876 -10270
+rect -45970 -10333 -45941 -10299
+rect -45907 -10333 -45876 -10299
+rect -45970 -10367 -45876 -10333
+rect -45970 -10401 -45941 -10367
+rect -45907 -10401 -45876 -10367
+rect -45970 -10430 -45876 -10401
+rect -45780 -10405 -45588 -10370
+rect -45780 -10439 -45749 -10405
+rect -45715 -10409 -45588 -10405
+rect -45715 -10439 -45653 -10409
+rect -45780 -10443 -45653 -10439
+rect -45619 -10443 -45588 -10409
+rect -45780 -10473 -45588 -10443
+rect -45780 -10507 -45749 -10473
+rect -45715 -10477 -45588 -10473
+rect -45715 -10507 -45653 -10477
+rect -45780 -10511 -45653 -10507
+rect -45619 -10511 -45588 -10477
+rect -45780 -10540 -45588 -10511
+rect -45970 -11599 -45876 -11570
+rect -45970 -11633 -45941 -11599
+rect -45907 -11633 -45876 -11599
+rect -45970 -11667 -45876 -11633
+rect -45970 -11701 -45941 -11667
+rect -45907 -11701 -45876 -11667
+rect -45970 -11730 -45876 -11701
+rect -45780 -11599 -45588 -11570
+rect -45780 -11603 -45657 -11599
+rect -45780 -11637 -45751 -11603
+rect -45717 -11633 -45657 -11603
+rect -45623 -11633 -45588 -11599
+rect -45717 -11637 -45588 -11633
+rect -45780 -11667 -45588 -11637
+rect -45780 -11671 -45657 -11667
+rect -45780 -11705 -45751 -11671
+rect -45717 -11701 -45657 -11671
+rect -45623 -11701 -45588 -11667
+rect -45717 -11705 -45588 -11701
+rect -45780 -11740 -45588 -11705
+rect -46122 -12013 -45526 -11996
+rect -46122 -12047 -45862 -12013
+rect -45828 -12047 -45526 -12013
+rect -46122 -26620 -45526 -12047
+<< viali >>
+rect -51168 -3872 -50012 -3162
+rect -48566 -3844 -47410 -3134
+rect -46242 -3844 -45086 -3134
+rect -43696 -3850 -42540 -3140
+rect -45945 -5526 -45911 -5492
+<< metal1 >>
+rect -52134 -3134 -38984 -2964
+rect -52134 -3162 -48566 -3134
+rect -52134 -3872 -51168 -3162
+rect -50012 -3844 -48566 -3162
+rect -47410 -3844 -46242 -3134
+rect -45086 -3140 -38984 -3134
+rect -45086 -3844 -43696 -3140
+rect -50012 -3850 -43696 -3844
+rect -42540 -3850 -38984 -3140
+rect -50012 -3872 -38984 -3850
+rect -52134 -4052 -38984 -3872
+rect -46010 -5483 -45840 -5458
+rect -46010 -5535 -45954 -5483
+rect -45902 -5535 -45840 -5483
+rect -44800 -5464 -44680 -5450
+rect -44800 -5516 -44768 -5464
+rect -44716 -5516 -44680 -5464
+rect -44800 -5530 -44680 -5516
+rect -46010 -5570 -45840 -5535
+rect -44770 -7044 -44700 -5530
+rect -44760 -11620 -44710 -11612
+rect -44770 -12551 -44700 -11620
+rect -44936 -25000 -44534 -12551
+rect -42992 -24904 -41392 -24390
+rect -42992 -25000 -42484 -24904
+rect -44936 -25342 -42484 -25000
+rect -44936 -25356 -44534 -25342
+rect -42992 -25468 -42484 -25342
+rect -41920 -25468 -41392 -24904
+rect -42992 -25990 -41392 -25468
+<< via1 >>
+rect -45954 -5492 -45902 -5483
+rect -45954 -5526 -45945 -5492
+rect -45945 -5526 -45911 -5492
+rect -45911 -5526 -45902 -5492
+rect -45954 -5535 -45902 -5526
+rect -44768 -5516 -44716 -5464
+rect -42484 -25468 -41920 -24904
+<< metal2 >>
+rect -40996 -3228 -39992 -3004
+rect -40996 -3764 -40770 -3228
+rect -40234 -3764 -39992 -3228
+rect -40996 -4008 -39992 -3764
+rect -46010 -5481 -45840 -5458
+rect -46010 -5537 -45956 -5481
+rect -45900 -5537 -45840 -5481
+rect -44800 -5462 -44680 -5450
+rect -44800 -5518 -44770 -5462
+rect -44714 -5518 -44680 -5462
+rect -44800 -5530 -44680 -5518
+rect -46010 -5570 -45840 -5537
+rect -42992 -24904 -41392 -24390
+rect -42992 -25468 -42484 -24904
+rect -41920 -25468 -41392 -24904
+rect -42992 -25990 -41392 -25468
+<< via2 >>
+rect -40770 -3764 -40234 -3228
+rect -45956 -5483 -45900 -5481
+rect -45956 -5535 -45954 -5483
+rect -45954 -5535 -45902 -5483
+rect -45902 -5535 -45900 -5483
+rect -45956 -5537 -45900 -5535
+rect -44770 -5464 -44714 -5462
+rect -44770 -5516 -44768 -5464
+rect -44768 -5516 -44716 -5464
+rect -44716 -5516 -44714 -5464
+rect -44770 -5518 -44714 -5516
+rect -42470 -25454 -41934 -24918
+<< metal3 >>
+rect -41006 -3228 -40002 -3000
+rect -41006 -3764 -40770 -3228
+rect -40234 -3764 -40002 -3228
+rect -41006 -4800 -40002 -3764
+rect -47686 -5000 -44398 -4998
+rect -49400 -5002 -44398 -5000
+rect -49400 -5462 -44392 -5002
+rect -41004 -5400 -39998 -4800
+rect -49400 -5481 -44770 -5462
+rect -49400 -5537 -45956 -5481
+rect -45900 -5518 -44770 -5481
+rect -44714 -5518 -44392 -5462
+rect -45900 -5537 -44392 -5518
+rect -49400 -5600 -44392 -5537
+rect -49400 -5602 -44398 -5600
+rect -49400 -6208 -48400 -5602
+rect -41002 -6188 -40002 -5400
+rect -64974 -23788 -47386 -6208
+rect -43100 -23782 -25500 -6188
+rect -42992 -24914 -41392 -24390
+rect -42992 -25458 -42474 -24914
+rect -41930 -25458 -41392 -24914
+rect -42992 -25990 -41392 -25458
+<< via3 >>
+rect -42474 -24918 -41930 -24914
+rect -42474 -25454 -42470 -24918
+rect -42470 -25454 -41934 -24918
+rect -41934 -25454 -41930 -24918
+rect -42474 -25458 -41930 -25454
+<< mimcap >>
+rect -64884 -16971 -47484 -6308
+rect -64884 -19995 -62437 -16971
+rect -58133 -19995 -47484 -16971
+rect -64884 -23708 -47484 -19995
+rect -43000 -16629 -25600 -6276
+rect -43000 -19653 -40023 -16629
+rect -35719 -19653 -25600 -16629
+rect -43000 -23676 -25600 -19653
+<< mimcapcontact >>
+rect -62437 -19995 -58133 -16971
+rect -40023 -19653 -35719 -16629
+<< metal4 >>
+rect -63034 -16971 -57576 -16188
+rect -63034 -19995 -62437 -16971
+rect -58133 -19995 -57576 -16971
+rect -63034 -26814 -57576 -19995
+rect -40492 -16629 -35034 -15568
+rect -40492 -19653 -40023 -16629
+rect -35719 -19653 -35034 -16629
+rect -42992 -24914 -41392 -24390
+rect -42992 -25458 -42474 -24914
+rect -41930 -25008 -41392 -24914
+rect -40492 -25008 -35034 -19653
+rect -41930 -25350 -35034 -25008
+rect -41930 -25458 -41392 -25350
+rect -42992 -25990 -41392 -25458
+rect -40492 -26942 -35034 -25350
+use sky130_fd_pr__res_xhigh_po_0p35_HDW2JU  R
+timestamp 1647797958
+transform 1 0 -44735 0 1 -9330
+box -35 -2292 35 2292
+<< labels >>
+flabel metal3 s -49252 -5534 -48136 -5026 0 FreeSans 1250 0 0 0 bot_cin
+rlabel metal4 s -63034 -25264 -63034 -25264 4 din
+port 1 nsew
+rlabel locali s -45852 -26620 -45852 -26620 4 db
+port 2 nsew
+rlabel metal4 s -35040 -25176 -35040 -25176 4 do
+port 3 nsew
+rlabel metal1 -44380 -3006 -44380 -3006 1 gnd
+port 4 n
+<< end >>
diff --git a/mag/otaV.mag b/mag/otaV.mag
new file mode 100644
index 0000000..a8a61cb
--- /dev/null
+++ b/mag/otaV.mag
@@ -0,0 +1,533 @@
+magic
+tech sky130A
+timestamp 1647895533
+<< nwell >>
+rect 3509 833 4727 2260
+<< nmos >>
+rect 3495 505 3895 585
+rect 4085 507 4485 587
+rect 3495 375 3895 455
+rect 4085 377 4485 457
+<< pmos >>
+rect 3835 1725 4235 2225
+rect 3835 1155 4235 1655
+rect 4513 1083 4613 1223
+rect 3585 898 3785 1068
+rect 3835 898 4035 1068
+rect 4513 893 4613 1033
+<< ndiff >>
+rect 3445 573 3495 585
+rect 3445 553 3457 573
+rect 3477 553 3495 573
+rect 3445 505 3495 553
+rect 3895 561 3945 585
+rect 3895 540 3910 561
+rect 3931 540 3945 561
+rect 3895 505 3945 540
+rect 4035 571 4085 587
+rect 4035 550 4051 571
+rect 4072 550 4085 571
+rect 4035 507 4085 550
+rect 4485 561 4535 587
+rect 4485 540 4498 561
+rect 4519 540 4535 561
+rect 4485 507 4535 540
+rect 3445 421 3495 455
+rect 3445 400 3460 421
+rect 3481 400 3495 421
+rect 3445 375 3495 400
+rect 3895 421 3945 455
+rect 3895 400 3910 421
+rect 3931 400 3945 421
+rect 3895 375 3945 400
+rect 4035 421 4085 457
+rect 4035 400 4050 421
+rect 4071 400 4085 421
+rect 4035 377 4085 400
+rect 4485 431 4535 457
+rect 4485 410 4500 431
+rect 4521 410 4535 431
+rect 4485 377 4535 410
+<< pdiff >>
+rect 3785 2198 3835 2225
+rect 3785 2177 3800 2198
+rect 3821 2177 3835 2198
+rect 3785 1725 3835 2177
+rect 4235 2203 4285 2225
+rect 4235 2182 4248 2203
+rect 4269 2182 4285 2203
+rect 4235 2050 4285 2182
+rect 4235 2000 4257 2050
+rect 4278 2000 4285 2050
+rect 4235 1766 4285 2000
+rect 4235 1745 4249 1766
+rect 4270 1745 4285 1766
+rect 4235 1725 4285 1745
+rect 3785 1206 3835 1655
+rect 3785 1185 3800 1206
+rect 3821 1185 3835 1206
+rect 3785 1155 3835 1185
+rect 4235 1641 4285 1655
+rect 4235 1620 4249 1641
+rect 4270 1620 4285 1641
+rect 4235 1155 4285 1620
+rect 4463 1143 4513 1223
+rect 4463 1122 4476 1143
+rect 4497 1122 4513 1143
+rect 4463 1083 4513 1122
+rect 4613 1214 4663 1223
+rect 4613 1193 4627 1214
+rect 4648 1193 4663 1214
+rect 4613 1110 4663 1193
+rect 4613 1089 4626 1110
+rect 4647 1089 4663 1110
+rect 4613 1083 4663 1089
+rect 3535 951 3585 1068
+rect 3535 930 3549 951
+rect 3570 930 3585 951
+rect 3535 898 3585 930
+rect 3785 1061 3835 1068
+rect 3785 1040 3799 1061
+rect 3820 1040 3835 1061
+rect 3785 898 3835 1040
+rect 4035 941 4085 1068
+rect 4035 920 4049 941
+rect 4070 920 4085 941
+rect 4035 898 4085 920
+rect 4463 926 4513 1033
+rect 4463 905 4477 926
+rect 4498 905 4513 926
+rect 4463 893 4513 905
+rect 4613 1023 4663 1033
+rect 4613 1002 4625 1023
+rect 4646 1002 4663 1023
+rect 4613 893 4663 1002
+<< ndiffc >>
+rect 3457 553 3477 573
+rect 3910 540 3931 561
+rect 4051 550 4072 571
+rect 4498 540 4519 561
+rect 3460 400 3481 421
+rect 3910 400 3931 421
+rect 4050 400 4071 421
+rect 4500 410 4521 431
+<< pdiffc >>
+rect 3800 2177 3821 2198
+rect 4248 2182 4269 2203
+rect 4257 2000 4278 2050
+rect 4249 1745 4270 1766
+rect 3800 1185 3821 1206
+rect 4249 1620 4270 1641
+rect 4476 1122 4497 1143
+rect 4627 1193 4648 1214
+rect 4626 1089 4647 1110
+rect 3549 930 3570 951
+rect 3799 1040 3820 1061
+rect 4049 920 4070 941
+rect 4477 905 4498 926
+rect 4625 1002 4646 1023
+<< psubdiff >>
+rect 4535 561 4585 587
+rect 4535 539 4544 561
+rect 4567 539 4585 561
+rect 4535 507 4585 539
+<< nsubdiff >>
+rect 4285 2050 4335 2225
+rect 4285 2000 4295 2050
+rect 4316 2000 4335 2050
+rect 4285 1725 4335 2000
+<< psubdiffcont >>
+rect 4544 539 4567 561
+<< nsubdiffcont >>
+rect 4295 2000 4316 2050
+<< poly >>
+rect 3870 2295 3940 2310
+rect 3870 2265 3890 2295
+rect 3920 2265 3940 2295
+rect 3870 2255 3940 2265
+rect 3835 2225 4235 2255
+rect 3835 1655 4235 1725
+rect 4524 1292 4565 1298
+rect 4524 1271 4533 1292
+rect 4554 1271 4565 1292
+rect 4524 1248 4565 1271
+rect 4513 1223 4613 1248
+rect 3835 1135 4235 1155
+rect 3585 1068 3785 1093
+rect 3835 1068 4035 1093
+rect 4513 1033 4613 1083
+rect 3585 873 3785 898
+rect 3835 873 4035 898
+rect 3600 865 3670 873
+rect 3600 835 3620 865
+rect 3650 835 3670 865
+rect 3600 820 3670 835
+rect 3850 865 3920 873
+rect 4513 868 4613 893
+rect 3850 835 3870 865
+rect 3900 835 3920 865
+rect 3850 820 3920 835
+rect 3606 654 3676 668
+rect 3606 624 3626 654
+rect 3656 624 3676 654
+rect 3606 615 3676 624
+rect 4120 650 4190 670
+rect 4120 620 4140 650
+rect 4170 620 4190 650
+rect 4120 617 4190 620
+rect 3495 585 3895 615
+rect 4085 587 4485 617
+rect 3495 455 3895 505
+rect 4085 457 4485 507
+rect 3495 355 3895 375
+rect 4085 357 4485 377
+<< polycont >>
+rect 3890 2265 3920 2295
+rect 4533 1271 4554 1292
+rect 3620 835 3650 865
+rect 3870 835 3900 865
+rect 3626 624 3656 654
+rect 4140 620 4170 650
+<< locali >>
+rect 3397 2458 4759 2476
+rect 3397 2379 3537 2458
+rect 3659 2379 3837 2458
+rect 3959 2379 4137 2458
+rect 4259 2379 4437 2458
+rect 4559 2379 4759 2458
+rect 3397 2367 4759 2379
+rect 2996 2311 3096 2332
+rect 2996 2251 3014 2311
+rect 3076 2297 3096 2311
+rect 3870 2297 3940 2310
+rect 3076 2295 3940 2297
+rect 3076 2270 3890 2295
+rect 3076 2251 3096 2270
+rect 2996 2232 3096 2251
+rect 3792 2198 3829 2270
+rect 3870 2265 3890 2270
+rect 3920 2265 3940 2295
+rect 3870 2251 3940 2265
+rect 3792 2177 3800 2198
+rect 3821 2177 3829 2198
+rect 3792 2169 3829 2177
+rect 4240 2203 4277 2367
+rect 4240 2182 4248 2203
+rect 4269 2182 4277 2203
+rect 4240 2174 4277 2182
+rect 4250 2050 4320 2080
+rect 4250 2000 4257 2050
+rect 4278 2000 4295 2050
+rect 4316 2000 4320 2050
+rect 4250 1950 4320 2000
+rect 3300 1876 3400 1899
+rect 3300 1823 3318 1876
+rect 3377 1823 3400 1876
+rect 3300 1799 3400 1823
+rect 3317 865 3377 1799
+rect 4243 1766 4276 1780
+rect 4243 1745 4249 1766
+rect 4270 1745 4276 1766
+rect 4243 1641 4276 1745
+rect 4243 1620 4249 1641
+rect 4270 1620 4276 1641
+rect 4243 1610 4276 1620
+rect 4410 1292 4565 1298
+rect 4410 1271 4533 1292
+rect 4554 1271 4565 1292
+rect 3791 1206 3828 1227
+rect 3791 1185 3800 1206
+rect 3821 1185 3828 1206
+rect 3791 1061 3828 1185
+rect 4343 1147 4393 1153
+rect 4410 1147 4437 1271
+rect 4619 1214 4656 2367
+rect 4619 1193 4627 1214
+rect 4648 1193 4656 1214
+rect 4619 1184 4656 1193
+rect 4468 1147 4505 1152
+rect 4343 1120 4354 1147
+rect 4381 1143 4505 1147
+rect 4381 1122 4476 1143
+rect 4497 1122 4505 1143
+rect 4381 1120 4505 1122
+rect 4343 1113 4393 1120
+rect 4468 1112 4505 1120
+rect 3791 1040 3799 1061
+rect 3820 1040 3828 1061
+rect 3791 1015 3828 1040
+rect 4618 1110 4655 1113
+rect 4618 1089 4626 1110
+rect 4647 1089 4655 1110
+rect 4618 1023 4655 1089
+rect 4618 1002 4625 1023
+rect 4646 1002 4655 1023
+rect 4618 993 4655 1002
+rect 3540 954 3580 960
+rect 3540 927 3546 954
+rect 3573 927 3580 954
+rect 3540 920 3580 927
+rect 4042 941 4079 960
+rect 4042 920 4049 941
+rect 4070 920 4079 941
+rect 3600 865 3670 880
+rect 3317 835 3620 865
+rect 3650 835 3670 865
+rect 3143 813 3243 830
+rect 3600 820 3670 835
+rect 3850 865 3920 880
+rect 3850 835 3870 865
+rect 3900 835 3920 865
+rect 3850 820 3920 835
+rect 3143 750 3160 813
+rect 3226 795 3243 813
+rect 3870 795 3900 820
+rect 3226 765 3900 795
+rect 3226 750 3243 765
+rect 3143 730 3243 750
+rect 3446 736 3489 748
+rect 3446 709 3453 736
+rect 3480 709 3489 736
+rect 3446 700 3489 709
+rect 3453 654 3480 700
+rect 3606 654 3676 668
+rect 3453 624 3626 654
+rect 3656 624 3676 654
+rect 3453 573 3480 624
+rect 3606 608 3676 624
+rect 4042 650 4079 920
+rect 4470 926 4505 935
+rect 4470 905 4477 926
+rect 4498 905 4505 926
+rect 4470 895 4505 905
+rect 4474 860 4501 895
+rect 4890 870 4970 893
+rect 4463 855 4513 860
+rect 4890 855 4906 870
+rect 4463 854 4906 855
+rect 4463 827 4474 854
+rect 4501 827 4906 854
+rect 4463 825 4906 827
+rect 4463 820 4513 825
+rect 4890 820 4906 825
+rect 4956 820 4970 870
+rect 4890 793 4970 820
+rect 4120 650 4190 670
+rect 4042 620 4140 650
+rect 4170 620 4190 650
+rect 3453 553 3457 573
+rect 3477 553 3480 573
+rect 4042 571 4079 620
+rect 4120 610 4190 620
+rect 3453 530 3480 553
+rect 3902 561 3939 570
+rect 3902 540 3910 561
+rect 3931 540 3939 561
+rect 3396 424 3489 430
+rect 3396 397 3407 424
+rect 3434 421 3489 424
+rect 3434 400 3460 421
+rect 3481 400 3489 421
+rect 3434 397 3489 400
+rect 3396 390 3489 397
+rect 3902 421 3939 540
+rect 4042 550 4051 571
+rect 4072 550 4079 571
+rect 4042 530 4079 550
+rect 4492 561 4573 570
+rect 4492 540 4498 561
+rect 4519 540 4544 561
+rect 4492 539 4544 540
+rect 4567 539 4573 561
+rect 4492 510 4573 539
+rect 4492 431 4529 510
+rect 3902 400 3910 421
+rect 3931 400 3939 421
+rect 3902 226 3939 400
+rect 3985 424 4080 430
+rect 3985 397 3996 424
+rect 4023 421 4080 424
+rect 4023 400 4050 421
+rect 4071 400 4080 421
+rect 4023 397 4080 400
+rect 3985 391 4080 397
+rect 4492 410 4500 431
+rect 4521 410 4529 431
+rect 3985 390 4041 391
+rect 4492 226 4529 410
+rect 3396 208 4759 226
+rect 3396 129 3537 208
+rect 3659 129 3837 208
+rect 3959 129 4137 208
+rect 4259 129 4437 208
+rect 4559 129 4759 208
+rect 3396 117 4759 129
+<< viali >>
+rect 3537 2379 3659 2458
+rect 3837 2379 3959 2458
+rect 4137 2379 4259 2458
+rect 4437 2379 4559 2458
+rect 3014 2251 3076 2311
+rect 3318 1823 3377 1876
+rect 4354 1120 4381 1147
+rect 3546 951 3573 954
+rect 3546 930 3549 951
+rect 3549 930 3570 951
+rect 3570 930 3573 951
+rect 3546 927 3573 930
+rect 3160 750 3226 813
+rect 3453 709 3480 736
+rect 4474 827 4501 854
+rect 4906 820 4956 870
+rect 3407 397 3434 424
+rect 3996 397 4023 424
+rect 3537 129 3659 208
+rect 3837 129 3959 208
+rect 4137 129 4259 208
+rect 4437 129 4559 208
+<< metal1 >>
+rect 3956 4197 4156 6496
+rect 3956 3996 4157 4197
+rect 3957 2476 4157 3996
+rect 3397 2458 4759 2476
+rect 3397 2379 3537 2458
+rect 3659 2379 3837 2458
+rect 3959 2379 4137 2458
+rect 4259 2379 4437 2458
+rect 4559 2379 4759 2458
+rect 3397 2367 4759 2379
+rect 2996 2311 3096 2332
+rect 2996 2251 3014 2311
+rect 3076 2251 3096 2311
+rect 2996 2232 3096 2251
+rect 3300 1876 3400 1899
+rect 3300 1823 3318 1876
+rect 3377 1823 3400 1876
+rect 3300 1799 3400 1823
+rect 4343 1147 4393 1153
+rect 4343 1120 4354 1147
+rect 4381 1120 4393 1147
+rect 4343 1113 4393 1120
+rect 3540 954 3580 960
+rect 3540 927 3546 954
+rect 3573 927 3580 954
+rect 3540 920 3580 927
+rect 3143 813 3243 830
+rect 3143 750 3160 813
+rect 3226 750 3243 813
+rect 3143 730 3243 750
+rect 3446 736 3489 748
+rect 3546 736 3573 920
+rect 4354 776 4381 1113
+rect 4890 870 4970 893
+rect 4463 854 4513 860
+rect 4463 827 4474 854
+rect 4501 827 4513 854
+rect 4463 820 4513 827
+rect 4890 820 4906 870
+rect 4956 820 4970 870
+rect 3446 709 3453 736
+rect 3480 709 3573 736
+rect 3941 749 4381 776
+rect 3446 700 3489 709
+rect 3941 493 3968 749
+rect 4474 720 4501 820
+rect 4890 793 4970 820
+rect 3407 466 3968 493
+rect 3996 693 4501 720
+rect 3407 430 3434 466
+rect 3996 430 4023 693
+rect 3396 424 3446 430
+rect 3396 397 3407 424
+rect 3434 397 3446 424
+rect 3396 390 3446 397
+rect 3985 424 4035 430
+rect 3985 397 3996 424
+rect 4023 397 4035 424
+rect 3985 390 4035 397
+rect 3396 208 4759 226
+rect 3396 129 3537 208
+rect 3659 129 3837 208
+rect 3959 129 4137 208
+rect 4259 129 4437 208
+rect 4559 129 4759 208
+rect 3396 117 4759 129
+rect 3946 -1403 4146 117
+<< via1 >>
+rect 3014 2251 3076 2311
+rect 3318 1823 3377 1876
+rect 3160 750 3226 813
+rect 4906 820 4956 870
+<< metal2 >>
+rect 2996 2311 3096 2332
+rect 2996 2251 3014 2311
+rect 3076 2251 3096 2311
+rect 2996 2232 3096 2251
+rect 3300 1876 3400 6376
+rect 3300 1823 3318 1876
+rect 3377 1823 3400 1876
+rect 3300 1799 3400 1823
+rect 4890 870 4970 893
+rect 3143 813 3243 830
+rect 3143 750 3160 813
+rect 3226 750 3243 813
+rect 4890 820 4906 870
+rect 4956 820 4970 870
+rect 4890 793 4970 820
+rect 3143 730 3243 750
+<< via2 >>
+rect 3014 2251 3076 2311
+rect 3160 750 3226 813
+rect 4906 820 4956 870
+<< metal3 >>
+rect 2996 2311 3096 6511
+rect 5010 3178 9010 3678
+rect 2996 2251 3014 2311
+rect 3076 2251 3096 2311
+rect 2996 2232 3096 2251
+rect 5011 893 5111 3178
+rect 5242 893 8506 2736
+rect 4890 870 8506 893
+rect 3143 813 3243 830
+rect 3143 750 3160 813
+rect 3226 750 3243 813
+rect 4890 820 4906 870
+rect 4956 820 8506 870
+rect 4890 793 8506 820
+rect 5011 792 5111 793
+rect 3143 730 3243 750
+rect 5242 -537 8506 793
+<< via3 >>
+rect 3160 750 3226 813
+<< mimcap >>
+rect 5272 1354 8472 2703
+rect 5272 854 7562 1354
+rect 8062 854 8472 1354
+rect 5272 -497 8472 854
+<< mimcapcontact >>
+rect 7562 854 8062 1354
+<< metal4 >>
+rect 7001 1354 9001 1602
+rect 7001 854 7562 1354
+rect 8062 854 9001 1354
+rect 2758 813 3243 830
+rect 2758 750 3160 813
+rect 3226 750 3243 813
+rect 2758 730 3243 750
+rect 7001 602 9001 854
+<< labels >>
+flabel locali 3792 2198 3829 2297 0 FreeSans 160 0 0 0 Ib
+port 6 nsew
+flabel locali 3602 823 3668 879 0 FreeSans 160 0 0 0 Inp
+port 1 nsew
+flabel metal1 3989 2375 4105 2468 0 FreeSans 160 0 0 0 Vp
+port 4 nsew
+flabel metal1 3983 118 4099 211 0 FreeSans 160 0 0 0 Vn
+port 5 nsew
+flabel metal1 4466 825 4511 858 0 FreeSans 160 0 0 0 Vout
+port 3 nsew
+rlabel metal4 8979 1091 8979 1091 1 cltop
+port 7 n
+rlabel locali 3855 825 3914 865 1 Inn
+port 2 n
+<< end >>
diff --git a/mag/otaV5.ext b/mag/otaV5.ext
index 7c3083d..21f821c 100644
--- a/mag/otaV5.ext
+++ b/mag/otaV5.ext
@@ -25,26 +25,26 @@
 node "Ib" 2537 7734.7 7584 4396 li 0 0 0 0 0 0 0 0 0 0 100000 2200 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1807400 6300 0 0 155060 4708 40000 800 40000 800 1711600 17516 0 0 0 0 0 0
 node "Vp" 10161 31123.6 7978 4750 m1 0 0 0 0 6952344 10580 0 0 100000 2200 256000 5920 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 874080 13628 3810636 21968 0 0 0 0 0 0 0 0 0 0
 substrate "Vn" 0 0 7966 236 m1 0 0 0 0 0 0 0 0 64000 2080 16000 520 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 706652 8816 1810268 11968 0 0 0 0 0 0 0 0 0 0
-cap "a_6890_1010#" "Vp" 2.457
-cap "Inp" "Ib" 79.1
-cap "cltop" "Vout" 15919.1
-cap "a_6890_1010#" "Inn" 218.838
-cap "a_6890_1010#" "a_8070_1014#" 11.3789
+cap "Vout" "a_6890_750#" 1068.46
 cap "a_6890_1010#" "a_6890_750#" 444.221
-cap "Vp" "a_8070_1014#" 8.8985
-cap "Vp" "a_6890_750#" 90.392
-cap "a_6890_1010#" "Inp" 158.593
-cap "a_8070_1014#" "Inn" 29.0112
+cap "a_7570_1796#" "Vp" 11.9103
+cap "Inp" "a_6890_1010#" 158.593
+cap "a_6890_1010#" "Inn" 218.838
+cap "a_8070_1014#" "Vp" 8.8985
 cap "a_8070_1014#" "a_6890_750#" 73.9486
-cap "Inp" "Vp" 168.013
+cap "Vp" "a_6890_750#" 90.392
+cap "cltop" "Vout" 15919.1
 cap "Ib" "Vp" 268.304
-cap "Vp" "Vout" 34.5591
-cap "Inp" "Inn" 366.647
+cap "Inp" "Vp" 168.013
+cap "Inp" "Ib" 79.1
+cap "a_8070_1014#" "Inn" 29.0112
 cap "Ib" "Inn" 109.524
-cap "Vp" "a_7570_1796#" 11.9103
-cap "a_8070_1014#" "Vout" 86.3323
-cap "a_6890_750#" "Vout" 1068.46
-device csubckt sky130_fd_pr__cap_mim_m3_1 10544 -994 10545 -993 w=6400 l=6400 "None" "cltop" 4000 0 "Vout" 4500 0
+cap "Inp" "Inn" 366.647
+cap "Vout" "a_8070_1014#" 86.3323
+cap "Vout" "Vp" 34.5591
+cap "a_8070_1014#" "a_6890_1010#" 11.3789
+cap "Vp" "a_6890_1010#" 2.457
+device csubckt sky130_fd_pr__cap_mim_m3_1 10544 -994 10545 -993 w=6400 l=6400 "None" "cltop" 4000 0 "Vout" 0 0
 device msubckt sky130_fd_pr__nfet_01v8 8170 754 8171 755 l=800 w=160 "Vn" "a_8070_1014#" 1600 0 "Vout" 160 0 "Vn" 160 0
 device msubckt sky130_fd_pr__nfet_01v8 6990 750 6991 751 l=800 w=160 "Vn" "a_6890_1010#" 1600 0 "a_6890_750#" 160 0 "Vn" 160 0
 device msubckt sky130_fd_pr__nfet_01v8 8170 1014 8171 1015 l=800 w=160 "Vn" "a_8070_1014#" 1600 0 "a_8070_1014#" 160 0 "Vn" 160 0
diff --git a/mag/otaV5_2.ext b/mag/otaV5_2.ext
new file mode 100644
index 0000000..605176d
--- /dev/null
+++ b/mag/otaV5_2.ext
@@ -0,0 +1,51 @@
+timestamp 1648174146
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+parameters sky130_fd_pr__nfet_01v8 l=l w=w
+parameters sky130_fd_pr__pfet_01v8 l=l w=w
+parameters sky130_fd_pr__cap_mim_m3_1 w=w l=l
+port "cltop" 7 17958 2182 17958 2182 m4
+port "Vout" 3 8932 1650 9022 1716 m1
+port "Inn" 2 7710 1650 7828 1730 li
+port "Inp" 1 7204 1646 7336 1758 li
+port "Ib" 6 7584 4396 7658 4594 li
+port "Vp" 4 7978 4750 8210 4936 m1
+port "Vn" 5 7966 236 8198 422 m1
+node "cltop" 0 1132.3 17958 2182 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8000000 12000 0 0 0 0
+node "Vout" 1034 28644.4 8932 1650 m1 0 0 0 0 0 0 0 0 16000 520 28000 760 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 109664 3340 141744 4804 32000 720 51787488 54300 0 0 0 0 0 0
+node "a_8070_1014#" 1158 2465.05 8070 1014 ndif 0 0 0 0 0 0 0 0 16000 520 34000 880 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 430840 2852 0 0 85360 2432 0 0 0 0 0 0 0 0 0 0 0 0
+node "Inn" 423 2997.09 7710 1650 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 190840 1892 0 0 138640 3928 40000 800 40000 800 40000 800 194000 2340 0 0 0 0
+node "Inp" 432 7351.43 7204 1646 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 190840 1892 0 0 314920 5948 40000 800 1830800 18708 0 0 0 0 0 0 0 0
+node "a_6890_750#" 1244 2885.82 6890 750 ndif 0 0 0 0 0 0 0 0 16000 520 28000 760 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 160200 2120 0 0 67032 2508 192040 7132 0 0 0 0 0 0 0 0 0 0
+node "a_7570_1796#" 3150 95.7 7570 1796 pdif 0 0 0 0 0 0 0 0 0 0 134000 3080 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 31376 996 0 0 0 0 0 0 0 0 0 0 0 0
+node "Ib" 2537 7734.7 7584 4396 li 0 0 0 0 0 0 0 0 0 0 100000 2200 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1807400 6300 0 0 155060 4708 40000 800 40000 800 1711600 17516 0 0 0 0 0 0
+node "Vp" 10161 31126.1 7978 4750 m1 0 0 0 0 6952344 10580 0 0 100000 2200 256000 5920 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 874080 13628 3810636 21968 0 0 0 0 0 0 0 0 0 0
+substrate "Vn" 0 0 7966 236 m1 0 0 0 0 0 0 0 0 80000 2600 66000 1920 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 430840 2852 0 0 858476 13404 1853868 13616 0 0 0 0 0 0 0 0 0 0
+cap "a_8070_1014#" "a_6890_750#" 73.9486
+cap "Ib" "Inp" 79.1
+cap "Vout" "a_8070_1014#" 86.3323
+cap "Vp" "a_8070_1014#" 8.8985
+cap "Vout" "cltop" 15919.1
+cap "a_8070_1014#" "Inn" 29.0112
+cap "Vp" "a_7570_1796#" 11.9103
+cap "Vp" "Inp" 168.013
+cap "Inn" "Inp" 366.647
+cap "Ib" "Vp" 268.304
+cap "Ib" "Inn" 109.524
+cap "Vout" "a_6890_750#" 1068.46
+cap "Vp" "a_6890_750#" 90.392
+cap "Vp" "Vout" 34.5591
+device csubckt sky130_fd_pr__cap_mim_m3_1 10544 -994 10545 -993 w=6400 l=6400 "None" "cltop" 4000 0 "Vout" 1000 0
+device msubckt sky130_fd_pr__nfet_01v8 8170 754 8171 755 l=800 w=160 "Vn" "a_8070_1014#" 1600 0 "Vout" 160 0 "Vn" 160 0
+device msubckt sky130_fd_pr__nfet_01v8 6990 750 6991 751 l=800 w=160 "Vn" "Vn" 1600 0 "a_6890_750#" 160 0 "Vn" 160 0
+device msubckt sky130_fd_pr__nfet_01v8 8170 1014 8171 1015 l=800 w=160 "Vn" "a_8070_1014#" 1600 0 "a_8070_1014#" 160 0 "Vn" 160 0
+device msubckt sky130_fd_pr__nfet_01v8 6990 1010 6991 1011 l=800 w=160 "Vn" "Vn" 1600 0 "Vn" 320 0 "Vn" 0 0
+device msubckt sky130_fd_pr__pfet_01v8 9026 1786 9027 1787 l=200 w=280 "Vp" "a_6890_750#" 400 0 "Vout" 280 0 "Vp" 280 0
+device msubckt sky130_fd_pr__pfet_01v8 7670 1796 7671 1797 l=400 w=340 "Vp" "Inn" 800 0 "a_7570_1796#" 340 0 "a_8070_1014#" 340 0
+device msubckt sky130_fd_pr__pfet_01v8 7170 1796 7171 1797 l=400 w=340 "Vp" "Inp" 800 0 "Vn" 340 0 "a_7570_1796#" 340 0
+device msubckt sky130_fd_pr__pfet_01v8 9026 2166 9027 2167 l=200 w=280 "Vp" "a_6890_750#" 400 0 "a_6890_750#" 280 0 "Vp" 280 0
+device msubckt sky130_fd_pr__pfet_01v8 7670 2310 7671 2311 l=800 w=1000 "Vp" "Ib" 1600 0 "a_7570_1796#" 1000 0 "Vp" 1000 0
+device msubckt sky130_fd_pr__pfet_01v8 7670 3450 7671 3451 l=800 w=1000 "Vp" "Ib" 1600 0 "Ib" 1000 0 "Vp" 1000 0
diff --git a/mag/otaV5_2.mag b/mag/otaV5_2.mag
new file mode 100644
index 0000000..49be454
--- /dev/null
+++ b/mag/otaV5_2.mag
@@ -0,0 +1,547 @@
+magic
+tech sky130A
+timestamp 1648174146
+<< nwell >>
+rect 3509 833 4727 2260
+<< nmos >>
+rect 3495 505 3895 585
+rect 4085 507 4485 587
+rect 3495 375 3895 455
+rect 4085 377 4485 457
+<< pmos >>
+rect 3835 1725 4235 2225
+rect 3835 1155 4235 1655
+rect 4513 1083 4613 1223
+rect 3585 898 3785 1068
+rect 3835 898 4035 1068
+rect 4513 893 4613 1033
+<< ndiff >>
+rect 3445 573 3495 585
+rect 3445 553 3457 573
+rect 3477 553 3495 573
+rect 3445 505 3495 553
+rect 3895 561 3945 585
+rect 3895 540 3910 561
+rect 3931 540 3945 561
+rect 3895 505 3945 540
+rect 4035 571 4085 587
+rect 4035 550 4051 571
+rect 4072 550 4085 571
+rect 4035 507 4085 550
+rect 4485 561 4535 587
+rect 4485 540 4498 561
+rect 4519 540 4535 561
+rect 4485 507 4535 540
+rect 3445 421 3495 455
+rect 3445 400 3460 421
+rect 3481 400 3495 421
+rect 3445 375 3495 400
+rect 3895 421 3945 455
+rect 3895 400 3910 421
+rect 3931 400 3945 421
+rect 3895 375 3945 400
+rect 4035 421 4085 457
+rect 4035 400 4050 421
+rect 4071 400 4085 421
+rect 4035 377 4085 400
+rect 4485 431 4535 457
+rect 4485 410 4500 431
+rect 4521 410 4535 431
+rect 4485 377 4535 410
+<< pdiff >>
+rect 3785 2198 3835 2225
+rect 3785 2177 3800 2198
+rect 3821 2177 3835 2198
+rect 3785 1725 3835 2177
+rect 4235 2203 4285 2225
+rect 4235 2182 4248 2203
+rect 4269 2182 4285 2203
+rect 4235 2050 4285 2182
+rect 4235 2000 4257 2050
+rect 4278 2000 4285 2050
+rect 4235 1766 4285 2000
+rect 4235 1745 4249 1766
+rect 4270 1745 4285 1766
+rect 4235 1725 4285 1745
+rect 3785 1206 3835 1655
+rect 3785 1185 3800 1206
+rect 3821 1185 3835 1206
+rect 3785 1155 3835 1185
+rect 4235 1641 4285 1655
+rect 4235 1620 4249 1641
+rect 4270 1620 4285 1641
+rect 4235 1155 4285 1620
+rect 4463 1143 4513 1223
+rect 4463 1122 4476 1143
+rect 4497 1122 4513 1143
+rect 4463 1083 4513 1122
+rect 4613 1214 4663 1223
+rect 4613 1193 4627 1214
+rect 4648 1193 4663 1214
+rect 4613 1110 4663 1193
+rect 4613 1089 4626 1110
+rect 4647 1089 4663 1110
+rect 4613 1083 4663 1089
+rect 3535 951 3585 1068
+rect 3535 930 3549 951
+rect 3570 930 3585 951
+rect 3535 898 3585 930
+rect 3785 1061 3835 1068
+rect 3785 1040 3799 1061
+rect 3820 1040 3835 1061
+rect 3785 898 3835 1040
+rect 4035 941 4085 1068
+rect 4035 920 4049 941
+rect 4070 920 4085 941
+rect 4035 898 4085 920
+rect 4463 926 4513 1033
+rect 4463 905 4477 926
+rect 4498 905 4513 926
+rect 4463 893 4513 905
+rect 4613 1023 4663 1033
+rect 4613 1002 4625 1023
+rect 4646 1002 4663 1023
+rect 4613 893 4663 1002
+<< ndiffc >>
+rect 3457 553 3477 573
+rect 3910 540 3931 561
+rect 4051 550 4072 571
+rect 4498 540 4519 561
+rect 3460 400 3481 421
+rect 3910 400 3931 421
+rect 4050 400 4071 421
+rect 4500 410 4521 431
+<< pdiffc >>
+rect 3800 2177 3821 2198
+rect 4248 2182 4269 2203
+rect 4257 2000 4278 2050
+rect 4249 1745 4270 1766
+rect 3800 1185 3821 1206
+rect 4249 1620 4270 1641
+rect 4476 1122 4497 1143
+rect 4627 1193 4648 1214
+rect 4626 1089 4647 1110
+rect 3549 930 3570 951
+rect 3799 1040 3820 1061
+rect 4049 920 4070 941
+rect 4477 905 4498 926
+rect 4625 1002 4646 1023
+<< psubdiff >>
+rect 3395 570 3445 585
+rect 3395 548 3410 570
+rect 3433 548 3445 570
+rect 3395 505 3445 548
+rect 4535 561 4585 587
+rect 4535 539 4544 561
+rect 4567 539 4585 561
+rect 4535 507 4585 539
+<< nsubdiff >>
+rect 4285 2050 4335 2225
+rect 4285 2000 4295 2050
+rect 4316 2000 4335 2050
+rect 4285 1725 4335 2000
+<< psubdiffcont >>
+rect 3410 548 3433 570
+rect 4544 539 4567 561
+<< nsubdiffcont >>
+rect 4295 2000 4316 2050
+<< poly >>
+rect 3870 2295 3940 2310
+rect 3870 2265 3890 2295
+rect 3920 2265 3940 2295
+rect 3870 2255 3940 2265
+rect 3835 2225 4235 2255
+rect 3835 1655 4235 1725
+rect 4524 1292 4565 1298
+rect 4524 1271 4533 1292
+rect 4554 1271 4565 1292
+rect 4524 1248 4565 1271
+rect 4513 1223 4613 1248
+rect 3835 1135 4235 1155
+rect 3585 1068 3785 1093
+rect 3835 1068 4035 1093
+rect 4513 1033 4613 1083
+rect 3585 873 3785 898
+rect 3835 873 4035 898
+rect 3600 865 3670 873
+rect 3600 835 3620 865
+rect 3650 835 3670 865
+rect 3600 820 3670 835
+rect 3850 865 3920 873
+rect 4513 868 4613 893
+rect 3850 835 3870 865
+rect 3900 835 3920 865
+rect 3850 820 3920 835
+rect 3606 654 3676 668
+rect 3606 624 3626 654
+rect 3656 624 3676 654
+rect 3606 615 3676 624
+rect 4120 650 4190 670
+rect 4120 620 4140 650
+rect 4170 620 4190 650
+rect 4120 617 4190 620
+rect 3495 585 3895 615
+rect 4085 587 4485 617
+rect 3495 455 3895 505
+rect 4085 457 4485 507
+rect 3495 355 3895 375
+rect 4085 357 4485 377
+<< polycont >>
+rect 3890 2265 3920 2295
+rect 4533 1271 4554 1292
+rect 3620 835 3650 865
+rect 3870 835 3900 865
+rect 3626 624 3656 654
+rect 4140 620 4170 650
+<< locali >>
+rect 3397 2458 4759 2476
+rect 3397 2379 3537 2458
+rect 3659 2379 3837 2458
+rect 3959 2379 4137 2458
+rect 4259 2379 4437 2458
+rect 4559 2379 4759 2458
+rect 3397 2367 4759 2379
+rect 2996 2311 3096 2332
+rect 2996 2251 3014 2311
+rect 3076 2297 3096 2311
+rect 3870 2297 3940 2310
+rect 3076 2295 3940 2297
+rect 3076 2270 3890 2295
+rect 3076 2251 3096 2270
+rect 2996 2232 3096 2251
+rect 3792 2198 3829 2270
+rect 3870 2265 3890 2270
+rect 3920 2265 3940 2295
+rect 3870 2251 3940 2265
+rect 3792 2177 3800 2198
+rect 3821 2177 3829 2198
+rect 3792 2169 3829 2177
+rect 4240 2203 4277 2367
+rect 4240 2182 4248 2203
+rect 4269 2182 4277 2203
+rect 4240 2174 4277 2182
+rect 4250 2050 4320 2080
+rect 4250 2000 4257 2050
+rect 4278 2000 4295 2050
+rect 4316 2000 4320 2050
+rect 4250 1950 4320 2000
+rect 3300 1876 3400 1899
+rect 3300 1823 3318 1876
+rect 3377 1823 3400 1876
+rect 3300 1799 3400 1823
+rect 3317 865 3377 1799
+rect 4243 1766 4276 1780
+rect 4243 1745 4249 1766
+rect 4270 1745 4276 1766
+rect 4243 1641 4276 1745
+rect 4243 1620 4249 1641
+rect 4270 1620 4276 1641
+rect 4243 1610 4276 1620
+rect 4410 1292 4565 1298
+rect 4410 1271 4533 1292
+rect 4554 1271 4565 1292
+rect 3791 1206 3828 1227
+rect 3791 1185 3800 1206
+rect 3821 1185 3828 1206
+rect 3791 1061 3828 1185
+rect 4343 1147 4393 1153
+rect 4410 1147 4437 1271
+rect 4619 1214 4656 2367
+rect 4619 1193 4627 1214
+rect 4648 1193 4656 1214
+rect 4619 1184 4656 1193
+rect 4468 1147 4505 1152
+rect 4343 1120 4354 1147
+rect 4381 1143 4505 1147
+rect 4381 1122 4476 1143
+rect 4497 1122 4505 1143
+rect 4381 1120 4505 1122
+rect 4343 1113 4393 1120
+rect 4468 1112 4505 1120
+rect 3791 1040 3799 1061
+rect 3820 1040 3828 1061
+rect 3791 1015 3828 1040
+rect 4618 1110 4655 1113
+rect 4618 1089 4626 1110
+rect 4647 1089 4655 1110
+rect 4618 1023 4655 1089
+rect 4618 1002 4625 1023
+rect 4646 1002 4655 1023
+rect 4618 993 4655 1002
+rect 3540 954 3580 960
+rect 3540 927 3546 954
+rect 3573 927 3580 954
+rect 3540 920 3580 927
+rect 4042 941 4079 960
+rect 4042 920 4049 941
+rect 4070 920 4079 941
+rect 3600 865 3670 880
+rect 3317 835 3620 865
+rect 3650 835 3670 865
+rect 3143 813 3243 830
+rect 3600 820 3670 835
+rect 3850 865 3920 880
+rect 3850 835 3870 865
+rect 3900 835 3920 865
+rect 3850 820 3920 835
+rect 3143 750 3160 813
+rect 3226 795 3243 813
+rect 3870 795 3900 820
+rect 3226 765 3900 795
+rect 3226 750 3243 765
+rect 3143 730 3243 750
+rect 3446 736 3489 748
+rect 3446 709 3453 736
+rect 3480 709 3489 736
+rect 3446 700 3489 709
+rect 3453 654 3480 700
+rect 3606 654 3676 668
+rect 3453 624 3626 654
+rect 3656 624 3676 654
+rect 3453 579 3480 624
+rect 3606 608 3676 624
+rect 4042 650 4079 920
+rect 4470 926 4505 935
+rect 4470 905 4477 926
+rect 4498 905 4505 926
+rect 4470 895 4505 905
+rect 4474 860 4501 895
+rect 4890 870 4970 893
+rect 4463 855 4513 860
+rect 4890 855 4906 870
+rect 4463 854 4906 855
+rect 4463 827 4474 854
+rect 4501 827 4906 854
+rect 4463 825 4906 827
+rect 4463 820 4513 825
+rect 4890 820 4906 825
+rect 4956 820 4970 870
+rect 4890 793 4970 820
+rect 4120 650 4190 670
+rect 4042 620 4140 650
+rect 4170 620 4190 650
+rect 3401 573 3481 579
+rect 3401 571 3457 573
+rect 3308 570 3457 571
+rect 3308 548 3410 570
+rect 3433 553 3457 570
+rect 3477 553 3481 573
+rect 4042 571 4079 620
+rect 4120 610 4190 620
+rect 3433 548 3481 553
+rect 3308 541 3481 548
+rect 3308 308 3345 541
+rect 3401 530 3481 541
+rect 3902 561 3939 570
+rect 3902 540 3910 561
+rect 3931 540 3939 561
+rect 3396 424 3489 430
+rect 3396 397 3407 424
+rect 3434 421 3489 424
+rect 3434 400 3460 421
+rect 3481 400 3489 421
+rect 3434 397 3489 400
+rect 3396 390 3489 397
+rect 3902 421 3939 540
+rect 4042 550 4051 571
+rect 4072 550 4079 571
+rect 4042 530 4079 550
+rect 4492 561 4573 570
+rect 4492 540 4498 561
+rect 4519 540 4544 561
+rect 4492 539 4544 540
+rect 4567 539 4573 561
+rect 4492 510 4573 539
+rect 4492 431 4529 510
+rect 3902 400 3910 421
+rect 3931 400 3939 421
+rect 3308 278 3501 308
+rect 3464 226 3501 278
+rect 3902 226 3939 400
+rect 3985 424 4080 430
+rect 3985 397 3996 424
+rect 4023 421 4080 424
+rect 4023 400 4050 421
+rect 4071 400 4080 421
+rect 4023 397 4080 400
+rect 3985 391 4080 397
+rect 4492 410 4500 431
+rect 4521 410 4529 431
+rect 3985 390 4041 391
+rect 4492 226 4529 410
+rect 3396 208 4759 226
+rect 3396 129 3537 208
+rect 3659 129 3837 208
+rect 3959 129 4137 208
+rect 4259 129 4437 208
+rect 4559 129 4759 208
+rect 3396 117 4759 129
+<< viali >>
+rect 3537 2379 3659 2458
+rect 3837 2379 3959 2458
+rect 4137 2379 4259 2458
+rect 4437 2379 4559 2458
+rect 3014 2251 3076 2311
+rect 3318 1823 3377 1876
+rect 4354 1120 4381 1147
+rect 3546 951 3573 954
+rect 3546 930 3549 951
+rect 3549 930 3570 951
+rect 3570 930 3573 951
+rect 3546 927 3573 930
+rect 3160 750 3226 813
+rect 3453 709 3480 736
+rect 4474 827 4501 854
+rect 4906 820 4956 870
+rect 3407 397 3434 424
+rect 3996 397 4023 424
+rect 3537 129 3659 208
+rect 3837 129 3959 208
+rect 4137 129 4259 208
+rect 4437 129 4559 208
+<< metal1 >>
+rect 3956 4197 4156 6496
+rect 3956 3996 4157 4197
+rect 3957 2476 4157 3996
+rect 3397 2458 4759 2476
+rect 3397 2379 3537 2458
+rect 3659 2379 3837 2458
+rect 3959 2379 4137 2458
+rect 4259 2379 4437 2458
+rect 4559 2379 4759 2458
+rect 3397 2367 4759 2379
+rect 2996 2311 3096 2332
+rect 2996 2251 3014 2311
+rect 3076 2251 3096 2311
+rect 2996 2232 3096 2251
+rect 3300 1876 3400 1899
+rect 3300 1823 3318 1876
+rect 3377 1823 3400 1876
+rect 3300 1799 3400 1823
+rect 4343 1147 4393 1153
+rect 4343 1120 4354 1147
+rect 4381 1120 4393 1147
+rect 4343 1113 4393 1120
+rect 3540 954 3580 960
+rect 3540 927 3546 954
+rect 3573 927 3580 954
+rect 3540 920 3580 927
+rect 3143 813 3243 830
+rect 3143 750 3160 813
+rect 3226 750 3243 813
+rect 3143 730 3243 750
+rect 3446 736 3489 748
+rect 3546 736 3573 920
+rect 4354 776 4381 1113
+rect 4890 870 4970 893
+rect 4463 854 4513 860
+rect 4463 827 4474 854
+rect 4501 827 4513 854
+rect 4463 820 4513 827
+rect 4890 820 4906 870
+rect 4956 820 4970 870
+rect 3446 709 3453 736
+rect 3480 709 3573 736
+rect 3941 749 4381 776
+rect 3446 700 3489 709
+rect 3941 493 3968 749
+rect 4474 720 4501 820
+rect 4890 793 4970 820
+rect 3407 466 3968 493
+rect 3996 693 4501 720
+rect 3407 430 3434 466
+rect 3996 430 4023 693
+rect 3396 424 3446 430
+rect 3396 397 3407 424
+rect 3434 397 3446 424
+rect 3396 390 3446 397
+rect 3985 424 4035 430
+rect 3985 397 3996 424
+rect 4023 397 4035 424
+rect 3985 390 4035 397
+rect 3396 208 4759 226
+rect 3396 129 3537 208
+rect 3659 129 3837 208
+rect 3959 129 4137 208
+rect 4259 129 4437 208
+rect 4559 129 4759 208
+rect 3396 117 4759 129
+rect 3946 -1403 4146 117
+<< via1 >>
+rect 3014 2251 3076 2311
+rect 3318 1823 3377 1876
+rect 3160 750 3226 813
+rect 4906 820 4956 870
+<< metal2 >>
+rect 2996 2311 3096 2332
+rect 2996 2251 3014 2311
+rect 3076 2251 3096 2311
+rect 2996 2232 3096 2251
+rect 3300 1876 3400 6376
+rect 3300 1823 3318 1876
+rect 3377 1823 3400 1876
+rect 3300 1799 3400 1823
+rect 4890 870 4970 893
+rect 3143 813 3243 830
+rect 3143 750 3160 813
+rect 3226 750 3243 813
+rect 4890 820 4906 870
+rect 4956 820 4970 870
+rect 4890 793 4970 820
+rect 3143 730 3243 750
+<< via2 >>
+rect 3014 2251 3076 2311
+rect 3160 750 3226 813
+rect 4906 820 4956 870
+<< metal3 >>
+rect 2996 2311 3096 6511
+rect 5010 3178 9010 3678
+rect 2996 2251 3014 2311
+rect 3076 2251 3096 2311
+rect 2996 2232 3096 2251
+rect 5011 893 5111 3178
+rect 5242 893 8506 2736
+rect 4890 870 8506 893
+rect 3143 813 3243 830
+rect 3143 750 3160 813
+rect 3226 750 3243 813
+rect 4890 820 4906 870
+rect 4956 820 8506 870
+rect 4890 793 8506 820
+rect 5011 792 5111 793
+rect 3143 730 3243 750
+rect 5242 -537 8506 793
+<< via3 >>
+rect 3160 750 3226 813
+<< mimcap >>
+rect 5272 1354 8472 2703
+rect 5272 854 7562 1354
+rect 8062 854 8472 1354
+rect 5272 -497 8472 854
+<< mimcapcontact >>
+rect 7562 854 8062 1354
+<< metal4 >>
+rect 7001 1354 9001 1602
+rect 7001 854 7562 1354
+rect 8062 854 9001 1354
+rect 2758 813 3243 830
+rect 2758 750 3160 813
+rect 3226 750 3243 813
+rect 2758 730 3243 750
+rect 7001 602 9001 854
+<< labels >>
+flabel locali 3792 2198 3829 2297 0 FreeSans 160 0 0 0 Ib
+port 6 nsew
+flabel locali 3602 823 3668 879 0 FreeSans 160 0 0 0 Inp
+port 1 nsew
+flabel metal1 3989 2375 4105 2468 0 FreeSans 160 0 0 0 Vp
+port 4 nsew
+flabel metal1 3983 118 4099 211 0 FreeSans 160 0 0 0 Vn
+port 5 nsew
+flabel metal1 4466 825 4511 858 0 FreeSans 160 0 0 0 Vout
+port 3 nsew
+rlabel metal4 8979 1091 8979 1091 1 cltop
+port 7 n
+rlabel locali 3855 825 3914 865 1 Inn
+port 2 n
+<< end >>
diff --git a/mag/otaV5_2.nodes b/mag/otaV5_2.nodes
new file mode 100644
index 0000000..959499d
--- /dev/null
+++ b/mag/otaV5_2.nodes
@@ -0,0 +1,11 @@
+cltop 17958 2182 m4
+Vout 8932 1650 m1
+Inn 7710 1650 li
+Inp 7204 1646 li
+Ib 7584 4396 li
+Vp 7978 4750 m1
+Vn 7966 236 m1
+a_8070_1014# 8070 1014 ndif
+a_6890_1010# 6890 1010 ndif
+a_6890_750# 6890 750 ndif
+a_7570_1796# 7570 1796 pdif
diff --git a/mag/otaV5_2.res.ext b/mag/otaV5_2.res.ext
new file mode 100644
index 0000000..ea2f4b8
--- /dev/null
+++ b/mag/otaV5_2.res.ext
@@ -0,0 +1,116 @@
+scale 1000 1 500000
+rnode "Inn.t0" 0 373.666 7870 1966 0
+rnode "Inn" 0 2008.41 7710 1650 0
+rnode "Inn.n0" 0 339.216 7770 1700 0
+rnode "Inn" 0 28.7085 7769 1730 0
+resist "Inn" "Inn.n0" 2.614
+resist "Inn.n0" "Inn" 4.357
+resist "Inn.n0" "Inn.t0" 20.485
+rnode "cltop.t0" 0 15132.5 15624 2208 0
+rnode "cltop" 0 787.546 17958 2182 0
+resist "cltop" "cltop.t0" 0.054
+rnode "Ib.t0" 0 1680.59 8070 2810 0
+rnode "Ib.n0" 0 3017.23 8070 3950 0
+rnode "Ib.n1" 0 145.589 7670 3950 0
+rnode "Ib" 0 2886.59 7584 4396 0
+resist "Ib.n0" "Ib.t0" 68.685
+resist "Ib" "Ib.n0" 84.078
+resist "Ib" "Ib.n1" 840.712
+rnode "Vout.t2" 0 18.3961 8170 834 0
+rnode "Vout.t0" 0 25.2525 9026 1926 0
+rnode "Vout.t1" 0 44306.3 13744 2206 0
+rnode "Vout.n0" 0 54.7708 8975 1681 0
+rnode "Vout.n1" 0 152.603 8975 1681 0
+rnode "Vout" 0 2.71451 8932 1650 0
+resist "Vout.n1" "Vout.n0" 0.0005
+resist "Vout" "Vout.n1" 0.067
+resist "Vout.n1" "Vout.t2" 56.3965
+resist "Vout.n0" "Vout.t1" 166.261
+resist "Vout.n0" "Vout.t0" 210.109
+killnode "a_8070_1014#"
+rnode "a_8070_1014.t3" 0 507.368 8570 834 0
+rnode "a_8070_1014.t1" 0 997.93 8570 1094 0
+rnode "a_8070_1014.t2" 0 62.9548 8170 1094 0
+rnode "a_8070_1014.n0" 0 713.865 8158 1270 0
+rnode "a_8070_1014.t0" 0 167.883 8070 1966 0
+resist "a_8070_1014.t1" "a_8070_1014.t3" 10.845
+resist "a_8070_1014.n0" "a_8070_1014.t2" 59.814
+resist "a_8070_1014.n0" "a_8070_1014.t1" 85.4099
+resist "a_8070_1014.t0" "a_8070_1014.n0" 304.285
+rnode "Vp.t5" 0 139.851 9226 1926 0
+rnode "Vp.t4" 0 364.691 9226 2306 0
+rnode "Vp.t6" 0 6430.51 7370 1966 0
+rnode "Vp.t0" 0 3738.67 7870 1966 0
+rnode "Vp.t1" 0 3957.65 8070 2810 0
+rnode "Vp.t3" 0 6254.26 9126 2306 0
+rnode "Vp.n0" 0 6079.53 8611 4050 0
+rnode "Vp.t2" 0 439.965 8470 2810 0
+rnode "Vp.n1" 0 391.437 8535 4050 0
+rnode "Vp.n2" 0 2546.38 8396 4837 0
+rnode "Vp" 0 777.057 7978 4750 0
+resist "Vp" "Vp.n2" 0.145101
+resist "Vp.n1" "Vp.n0" 3.566
+resist "Vp.t1" "Vp.t0" 119.131
+resist "Vp.t0" "Vp.t6" 297.827
+resist "Vp.n0" "Vp.t3" 306.762
+resist "Vp.n0" "Vp.t1" 322.249
+resist "Vp.t4" "Vp.t5" 434.506
+resist "Vp.n2" "Vp.t4" 598.495
+resist "Vp.n2" "Vp.n1" 722.838
+resist "Vp.n1" "Vp.t2" 1996.51
+killnode "a_7570_1796#"
+rnode "a_7570_1796.t2" 0 0 7570 1966 0
+rnode "a_7570_1796.t0" 0 0 7670 1966 0
+rnode "a_7570_1796.n0" 0 0 7619 2101 0
+rnode "a_7570_1796.t1" 0 0 7670 2810 0
+resist "a_7570_1796.n0" "a_7570_1796.t2" 28.391
+resist "a_7570_1796.n0" "a_7570_1796.t0" 29.55
+resist "a_7570_1796.t1" "a_7570_1796.n0" 873.24
+rnode "Inp.t0" 0 313.979 7370 1966 0
+rnode "Inp" 0 6876.02 7204 1646 0
+resist "Inp" "Inp.t0" 27.195
+rnode "Vn.t1" 0 0 8970 834 0
+rnode "Vn.t0" 0 0 8970 1094 0
+rnode "Vn.n0" 0 0 9021 841 0
+rnode "Vn.t3" 0 0 7790 830 0
+rnode "Vn.t2" 0 0 7790 1090 0
+rnode "Vn.n1" 0 0 7841 821 0
+rnode "Vn.n2" 0 0 7841 452 0
+rnode "Vn" 0 0 7966 236 0
+resist "Vn" "Vn.n2" 0.00592427
+resist "Vn.n1" "Vn.t3" 38.25
+resist "Vn.n0" "Vn.t1" 38.25
+resist "Vn.n2" "Vn.n1" 63.2084
+resist "Vn.n0" "Vn.t0" 65.166
+resist "Vn.n2" "Vn.n0" 65.9382
+resist "Vn.n1" "Vn.t2" 84.412
+killnode "a_6890_750#"
+rnode "a_6890_750.t3" 0 298.147 9126 1926 0
+rnode "a_6890_750.t0" 0 454.2 9126 2306 0
+rnode "a_6890_750.t2" 0 422.304 6990 830 0
+rnode "a_6890_750.n0" 0 1084.84 8847 2294 0
+rnode "a_6890_750.t1" 0 100.51 9026 2306 0
+resist "a_6890_750.t1" "a_6890_750.n0" 63.038
+resist "a_6890_750.n0" "a_6890_750.t2" 80.953
+resist "a_6890_750.t0" "a_6890_750.t3" 91.58
+resist "a_6890_750.n0" "a_6890_750.t0" 207.335
+killnode "a_6890_1010#"
+rnode "a_6890_1010.t2" 0 58.431 6990 1090 0
+rnode "a_6890_1010.t3" 0 516.659 7390 830 0
+rnode "a_6890_1010.t1" 0 1213.34 7390 1090 0
+rnode "a_6890_1010.n0" 0 664.783 6933 1308 0
+rnode "a_6890_1010.t0" 0 156.788 7170 1966 0
+resist "a_6890_1010.t1" "a_6890_1010.t3" 10.845
+resist "a_6890_1010.n0" "a_6890_1010.t2" 69.562
+resist "a_6890_1010.n0" "a_6890_1010.t1" 92.6448
+resist "a_6890_1010.t0" "a_6890_1010.n0" 195.921
+device msubckt sky130_fd_pr__pfet_01v8 7670 1796 7671 1797  "Vp.t0" "Inn.t0" 800 0 "a_7570_1796.t0" 340 0 "a_8070_1014.t0" 340 0
+device msubckt sky130_fd_pr__pfet_01v8 9026 2166 9027 2167  "Vp.t3" "a_6890_750.t0" 400 0 "a_6890_750.t1" 280 0 "Vp.t4" 280 0
+device msubckt sky130_fd_pr__nfet_01v8 8170 754 8171 755  "Vn" "a_8070_1014.t3" 1600 0 "Vout.t2" 160 0 "Vn.t1" 160 0
+device csubckt sky130_fd_pr__cap_mim_m3_1 10544 -994 10545 -993  "None" "cltop.t0" 29600 0 "Vout.t1" -12980 0
+device msubckt sky130_fd_pr__pfet_01v8 7670 2310 7671 2311  "Vp.t1" "Ib.t0" 1600 0 "a_7570_1796.t1" 1000 0 "Vp.t2" 1000 0
+device msubckt sky130_fd_pr__pfet_01v8 9026 1786 9027 1787  "Vp.t3" "a_6890_750.t3" 400 0 "Vout.t0" 280 0 "Vp.t5" 280 0
+device msubckt sky130_fd_pr__nfet_01v8 6990 1010 6991 1011  "Vn" "a_6890_1010.t1" 1600 0 "a_6890_1010.t2" 160 0 "Vn.t2" 160 0
+device msubckt sky130_fd_pr__nfet_01v8 8170 1014 8171 1015  "Vn" "a_8070_1014.t1" 1600 0 "a_8070_1014.t2" 160 0 "Vn.t0" 160 0
+device msubckt sky130_fd_pr__pfet_01v8 7170 1796 7171 1797  "Vp.t6" "Inp.t0" 800 0 "a_6890_1010.t0" 340 0 "a_7570_1796.t2" 340 0
+device msubckt sky130_fd_pr__nfet_01v8 6990 750 6991 751  "Vn" "a_6890_1010.t3" 1600 0 "a_6890_750.t2" 160 0 "Vn.t3" 160 0
diff --git a/mag/otaV5_2.sim b/mag/otaV5_2.sim
new file mode 100644
index 0000000..f0d45f8
--- /dev/null
+++ b/mag/otaV5_2.sim
@@ -0,0 +1,31 @@
+| units: 1 tech: sky130A format: MIT
+x a_6890_1010# a_6890_750# Vn Vn l=4e+08 w=8e+07 x=3.495e+09 y=3.75e+08 sky130_fd_pr__nfet_01v8
+x Inp a_6890_1010# a_7570_1796# Vp l=2e+08 w=1.7e+08 x=3.585e+09 y=8.98e+08 sky130_fd_pr__pfet_01v8
+x a_8070_1014# a_8070_1014# Vn Vn l=4e+08 w=8e+07 x=4.085e+09 y=5.07e+08 sky130_fd_pr__nfet_01v8
+x a_6890_1010# a_6890_1010# Vn Vn l=4e+08 w=8e+07 x=3.495e+09 y=5.05e+08 sky130_fd_pr__nfet_01v8
+x a_6890_750# Vout Vp Vp l=1e+08 w=1.4e+08 x=4.513e+09 y=8.93e+08 sky130_fd_pr__pfet_01v8
+x Ib a_7570_1796# Vp Vp l=4e+08 w=5e+08 x=3.835e+09 y=1.155e+09 sky130_fd_pr__pfet_01v8
+x cltop Vout l=3.2e+09 w=3.2e+09 x=5.272e+09 y=-4.965e+08 sky130_fd_pr__cap_mim_m3_1
+x a_8070_1014# Vout Vn Vn l=4e+08 w=8e+07 x=4.085e+09 y=3.77e+08 sky130_fd_pr__nfet_01v8
+x a_6890_750# a_6890_750# Vp Vp l=1e+08 w=1.4e+08 x=4.513e+09 y=1.083e+09 sky130_fd_pr__pfet_01v8
+x Inn a_7570_1796# a_8070_1014# Vp l=2e+08 w=1.7e+08 x=3.835e+09 y=8.98e+08 sky130_fd_pr__pfet_01v8
+x Ib Ib Vp Vp l=4e+08 w=5e+08 x=3.835e+09 y=1.725e+09 sky130_fd_pr__pfet_01v8
+C Vout cltop 15.92
+C Vout GND 28.64
+R Vout 1035
+C Inn GND 2.75
+R Inn 423
+C Inp GND 7.19
+R Inp 432
+C Ib GND 7.73
+R Ib 2537
+C Vp GND 31.12
+R Vp 10161
+R Vn 2408
+C a_8070_1014# GND 2.45
+R a_8070_1014# 1158
+C a_6890_1010# GND 2.61
+R a_6890_1010# 1190
+C a_6890_750# GND 2.36
+R a_6890_750# 1244
+R a_7570_1796# 3150
diff --git a/mag/otaV5_2.spice b/mag/otaV5_2.spice
new file mode 100644
index 0000000..f86749d
--- /dev/null
+++ b/mag/otaV5_2.spice
@@ -0,0 +1,68 @@
+* NGSPICE file created from otaV5.ext - technology: sky130A
+
+.subckt otaV5 Inp Inn Vout Ib cltop Vp Vn
+X0 Vn.t3 a_6890_1010.t3 a_6890_750.t2 Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
+X1 a_7570_1796.t2 Inp.t0 a_6890_1010.t0 Vp.t6 sky130_fd_pr__pfet_01v8 w=1.7e+06u l=2e+06u
+X2 Vn.t0 a_8070_1014.t1 a_8070_1014.t2 Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
+X3 Vn.t2 a_6890_1010.t1 a_6890_1010.t2 Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
+X4 Vp.t5 a_6890_750.t3 Vout.t0 Vp.t3 sky130_fd_pr__pfet_01v8 w=1.4e+06u l=1e+06u
+X5 Vp.t2 Ib.t0 a_7570_1796.t1 Vp.t1 sky130_fd_pr__pfet_01v8 w=5e+06u l=4e+06u
+X6 cltop.t0 Vout.t1 sky130_fd_pr__cap_mim_m3_1 l=3.2e+07u w=3.2e+07u
+X7 Vn.t1 a_8070_1014.t3 Vout.t2 Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
+X8 Vp.t4 a_6890_750.t0 a_6890_750.t1 Vp.t3 sky130_fd_pr__pfet_01v8 w=1.4e+06u l=1e+06u
+X9 a_8070_1014.t0 Inn.t0 a_7570_1796.t0 Vp.t0 sky130_fd_pr__pfet_01v8 w=1.7e+06u l=2e+06u
+X10 Vp Ib Ib Vp sky130_fd_pr__pfet_01v8 w=5e+06u l=4e+06u
+R0 a_6890_1010.t0 a_6890_1010.n0 195.921
+R1 a_6890_1010.n0 a_6890_1010.t1 92.645
+R2 a_6890_1010.n0 a_6890_1010.t2 69.562
+R3 a_6890_1010.t1 a_6890_1010.t3 10.845
+R4 a_6890_750.n0 a_6890_750.t0 207.335
+R5 a_6890_750.t0 a_6890_750.t3 91.58
+R6 a_6890_750.n0 a_6890_750.t2 80.953
+R7 a_6890_750.t1 a_6890_750.n0 63.038
+R8 Vn.n1 Vn.t2 84.412
+R9 Vn.n2 Vn.n0 65.938
+R10 Vn.n0 Vn.t0 65.166
+R11 Vn.n2 Vn.n1 63.208
+R12 Vn.n0 Vn.t1 38.25
+R13 Vn.n1 Vn.t3 38.25
+R14 Vn Vn.n2 0.006
+R15 Inp Inp.t0 27.195
+R16 a_7570_1796.t1 a_7570_1796.n0 873.24
+R17 a_7570_1796.n0 a_7570_1796.t0 29.55
+R18 a_7570_1796.n0 a_7570_1796.t2 28.391
+R19 Vp.n1 Vp.t2 1996.51
+R20 Vp.n2 Vp.n1 722.838
+R21 Vp.n2 Vp.t4 598.495
+R22 Vp.t4 Vp.t5 434.506
+R23 Vp.n0 Vp.t1 322.249
+R24 Vp.n0 Vp.t3 306.762
+R25 Vp.t0 Vp.t6 297.827
+R26 Vp.t1 Vp.t0 119.131
+R27 Vp.n1 Vp.n0 3.566
+R28 Vp Vp.n2 0.145
+R29 a_8070_1014.t0 a_8070_1014.n0 304.285
+R30 a_8070_1014.n0 a_8070_1014.t1 85.41
+R31 a_8070_1014.n0 a_8070_1014.t2 59.814
+R32 a_8070_1014.t1 a_8070_1014.t3 10.845
+R33 Vout.n0 Vout.t0 210.109
+R34 Vout.n0 Vout.t1 166.261
+R35 Vout.n1 Vout.t2 56.397
+R36 Vout Vout.n1 0.067
+R37 Vout.n1 Vout.n0 0.001
+R38 Ib Ib.n1 840.712
+R39 Ib Ib.n0 84.078
+R40 Ib.n0 Ib.t0 68.685
+R41 cltop cltop.t0 0.054
+R42 Inn.n0 Inn.t0 20.485
+R43 Inn.n0 Inn 4.357
+R44 Inn Inn.n0 2.614
+C0 Vp Ib 0.27fF
+C1 Inp Inn 0.37fF
+C2 Ib Inn 0.11fF
+C3 Vp Vout 0.03fF
+C4 Inp Vp 0.17fF
+C5 cltop Vout 15.92fF
+C6 Inp Ib 0.08fF
+.ends
+
diff --git a/mag/user_analog_project_wrapper.ext b/mag/user_analog_project_wrapper.ext
index e171f58..1ee112e 100644
--- a/mag/user_analog_project_wrapper.ext
+++ b/mag/user_analog_project_wrapper.ext
@@ -1,11 +1,11 @@
-timestamp 1648060736
+timestamp 1648178042
 version 8.3
 tech sky130A
 style ngspice()
 scale 1000 1 500000
 resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use otaV5 otaV5_0 1 0 194022 0 1 569416
 use detV2 detV2_0 1 0 492978 0 1 600834
+use otaV5 otaV5_0 1 0 194022 0 1 569416
 port "io_analog[4]" 41 329294 702300 334294 704800 m5
 port "io_analog[4]" 47 318994 702300 323994 704800 m5
 port "io_analog[5]" 42 227594 702300 232594 704800 m5
@@ -858,7 +858,7 @@
 node "gpio_analog[7]" 1 613.728 -800 511530 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "vdda1" 0 6519 582340 540562 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
 node "vdda1" 0 6519 582340 550562 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vssa2" 0 190973 0 549442 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 120766964 206224 207066276 401276 0 0 0 0
+node "vssa2" 0 217095 0 549442 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 308831004 577084 14468948 25884 0 0 0 0
 node "gpio_analog[6]" 1 613.728 583520 583562 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "gpio_noesd[6]" 1 613.728 583520 584744 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_in_3v3[13]" 1 613.728 583520 585926 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
@@ -1378,46 +1378,47 @@
 node "wb_rst_i" 1 631.648 1706 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
 node "wb_clk_i" 1 631.648 524 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
 node "io_analog[9]" 4 187386 16194 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3144500 20920 33649268 49108 291742816 575120 0 0 0 0
-node "vccd2" 0 233946 0 643842 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2872800 6792 2872800 6792 138190064 249148 245966588 492940 0 0 0 0
+node "vccd2" 0 399714 0 643842 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2872800 6792 2872800 6792 138190064 249148 429017016 847256 133029176 246532 0 0
 node "vccd1" 5 311462 582340 639784 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6768804 30644 1699200 5324 130123540 233496 11185380 17652 397904204 796952 0 0
 node "vssa1" 1 144615 520594 702340 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 14073160 24388 7466264 10932 71823052 101156 172938804 323564 0 0 0 0
 node "io_analog[1]" 80 175109 566594 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6666992 15228 4702064 8700 4702064 8700 41625032 62368 250773784 491468 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "io_clamp_low[2]" "io_clamp_high[2]" 525
-cap "io_analog[6]" "io_analog[6]" 26250
 cap "io_analog[6]" "io_analog[6]" 21250
 cap "io_analog[4]" "io_analog[4]" 26250
-cap "io_analog[4]" "io_clamp_high[0]" 525
-cap "io_clamp_low[0]" "io_analog[4]" 525
-cap "io_analog[5]" "io_analog[5]" 21250
-cap "io_analog[4]" "io_analog[4]" 21250
-cap "io_analog[6]" "io_analog[6]" 21250
-cap "io_analog[2]" "vccd1" 2994.94
-cap "io_analog[1]" "vccd1" 2994.94
-cap "io_analog[4]" "io_analog[4]" 26250
-cap "io_clamp_high[1]" "io_clamp_low[1]" 525
-cap "io_analog[5]" "io_analog[5]" 26250
-cap "io_clamp_high[1]" "io_analog[5]" 525
-cap "io_analog[4]" "io_analog[4]" 21250
-cap "io_clamp_low[0]" "io_clamp_high[0]" 525
-cap "io_analog[5]" "io_analog[5]" 21250
-cap "vccd1" "io_analog[3]" 3000
-cap "io_analog[8]" "io_analog[10]" 1320
-cap "io_analog[6]" "io_clamp_high[2]" 525
-cap "io_analog[5]" "io_analog[5]" 26250
-cap "vccd1" "io_analog[7]" 1262.28
-cap "io_analog[5]" "io_clamp_low[1]" 525
-cap "io_clamp_low[2]" "io_analog[6]" 525
-cap "io_analog[2]" "vssa1" 3240
 cap "vssa1" "vccd1" 3000
+cap "io_clamp_high[0]" "io_clamp_low[0]" 525
+cap "io_analog[5]" "io_analog[5]" 21250
+cap "io_analog[10]" "io_analog[8]" 1320
+cap "io_analog[5]" "io_analog[5]" 26250
+cap "io_analog[2]" "vssa1" 3240
+cap "io_clamp_low[2]" "io_analog[6]" 525
+cap "io_analog[4]" "io_analog[4]" 26250
+cap "io_analog[4]" "io_analog[4]" 21250
+cap "io_analog[3]" "vccd2" 3064.27
+cap "io_analog[4]" "io_clamp_low[0]" 525
+cap "io_analog[6]" "io_clamp_high[2]" 525
+cap "io_analog[7]" "vccd1" 1262.28
+cap "io_analog[2]" "vccd1" 2994.94
 cap "io_analog[8]" "io_analog[9]" 3000
+cap "io_clamp_high[1]" "io_analog[5]" 525
+cap "io_analog[4]" "io_clamp_high[0]" 525
+cap "io_clamp_low[1]" "io_analog[5]" 525
+cap "io_analog[5]" "io_analog[5]" 21250
 cap "io_analog[6]" "io_analog[6]" 26250
+cap "io_analog[5]" "io_analog[5]" 26250
+cap "vccd1" "io_analog[1]" 2994.94
+cap "io_analog[3]" "vccd1" 3000
+cap "io_analog[6]" "io_analog[6]" 21250
+cap "vssa2" "vccd2" 3268.1
+cap "io_clamp_low[1]" "io_clamp_high[1]" 525
+cap "io_analog[6]" "io_analog[6]" 26250
+cap "io_analog[4]" "io_analog[4]" 21250
+cap "io_clamp_low[2]" "io_clamp_high[2]" 525
 merge "detV2_0/din" "io_analog[3]" -760.336 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -708480 -3344 0 0 0 0
-merge "detV2_0/gnd" "vssa1" -8806.68 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2641956 -12846 -1661400 -6254 -1661400 -6254 -1661400 -6254 0 0 0 0
-merge "vssa1" "otaV5_0/Vn"
+merge "detV2_0/vbody" "otaV5_0/Vn" -7920.55 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2192200 -9708 -1661400 -6254 -1661400 -6254 -1613098 -6616 52060 0 0 0
 merge "otaV5_0/Vn" "vccd2"
 merge "vccd2" "VSUBS"
-merge "otaV5_0/cltop" "vssa2" -594.58 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -394000 -2788 0 0 0 0
+merge "otaV5_0/cltop" "vssa2" -1542.29 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1099540 -7148 0 0 0 0
 merge "otaV5_0/Vp" "vccd1" -150.743 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 46888 -884 0 0 0 0 0 0 0 0 0 0
 merge "otaV5_0/Inn" "io_analog[8]" -2327.2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -931506 -6124 -814506 -4278 0 0
 merge "otaV5_0/Inp" "io_analog[9]" -706.695 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -192600 -3048 0 0 0 0 0 0 0 0
@@ -1425,3 +1426,4 @@
 merge "otaV5_0/Vout" "io_analog[7]" -3756.75 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -4425000 -11850 0 0 0 0 0 0
 merge "detV2_0/do" "io_analog[2]" -4407.28 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -7580490 -15628 0 0 0 0
 merge "detV2_0/db" "io_analog[1]" -324.5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1180 0 0 0 0 0 0 0 0 0 0 0 0
+merge "detV2_0/bot_co" "vssa1" -1591.39 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1113588 -4232 0 0 0 0 0 0 0 0 0 0
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index e59a35a..73b75c1 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,2411 +1,2442 @@
 magic
 tech sky130A
-timestamp 1648060736
+magscale 1 2
+timestamp 1648178042
 << locali >>
-rect 223431 285475 223732 287107
-rect 223069 285291 224241 285475
-rect 223069 284635 223271 285291
-rect 224007 284635 224241 285291
-rect 223069 284472 224241 284635
+rect 446862 570950 447464 574214
+rect 446138 570582 448482 570950
+rect 446138 569270 446542 570582
+rect 448014 569270 448482 570582
+rect 446138 568944 448482 569270
 << viali >>
-rect 223271 284635 224007 285291
+rect 446542 569270 448014 570582
 << metal1 >>
-rect 222847 303312 224186 303600
-rect 222847 302511 223186 303312
-rect 223839 302511 224186 303312
-rect 222847 302206 224186 302511
-rect 223229 298842 223720 302206
-rect 100711 297935 101511 298042
-rect 100711 297613 100911 297935
-rect 101310 297613 101511 297935
-rect 100711 297511 101511 297613
-rect 100966 295991 101167 297511
-rect 100967 293682 101167 295991
-rect 100967 293601 101168 293682
-rect 100968 291182 101168 293601
-rect 223069 285291 224241 285475
-rect 223069 284635 223271 285291
-rect 224007 284635 224241 285291
-rect 223069 284472 224241 284635
-rect 100671 283630 101571 283768
-rect 100671 283137 100876 283630
-rect 101334 283137 101571 283630
-rect 100671 282970 101571 283137
+rect 445694 606624 448372 607200
+rect 445694 605022 446372 606624
+rect 447678 605022 448372 606624
+rect 445694 604412 448372 605022
+rect 446458 597684 447440 604412
+rect 201422 595870 203022 596084
+rect 201422 595226 201822 595870
+rect 202620 595226 203022 595870
+rect 201422 595022 203022 595226
+rect 201932 591982 202334 595022
+rect 201934 587364 202334 591982
+rect 201934 587202 202336 587364
+rect 201936 582364 202336 587202
+rect 446138 570582 448482 570950
+rect 446138 569270 446542 570582
+rect 448014 569270 448482 570582
+rect 446138 568944 448482 569270
+rect 201342 567260 203142 567536
+rect 201342 566274 201752 567260
+rect 202668 566274 203142 567260
+rect 201342 565940 203142 566274
 << via1 >>
-rect 223186 302511 223839 303312
-rect 100911 297613 101310 297935
-rect 223271 284635 224007 285291
-rect 100876 283137 101334 283630
+rect 446372 605022 447678 606624
+rect 201822 595226 202620 595870
+rect 446542 569270 448014 570582
+rect 201752 566274 202668 567260
 << metal2 >>
-rect 222847 303312 224186 303600
-rect 222847 302511 223186 303312
-rect 223839 302511 224186 303312
-rect 222847 302206 224186 302511
-rect 100711 297935 101511 298042
-rect 100711 297613 100911 297935
-rect 101310 297613 101511 297935
-rect 100711 297511 101511 297613
-rect 99959 295576 100534 295726
-rect 99959 295204 100058 295576
-rect 100432 295204 100534 295576
-rect 99959 295051 100534 295204
-rect 100311 291071 100411 295051
-rect 223069 285291 224241 285475
-rect 223069 284635 223271 285291
-rect 224007 284635 224241 285291
-rect 223069 284472 224241 284635
-rect 100671 283630 101571 283768
-rect 100671 283137 100876 283630
-rect 101334 283137 101571 283630
-rect 100671 282970 101571 283137
-rect 262 -400 318 240
-rect 853 -400 909 240
-rect 1444 -400 1500 240
-rect 2035 -400 2091 240
-rect 2626 -400 2682 240
-rect 3217 -400 3273 240
-rect 3808 -400 3864 240
-rect 4399 -400 4455 240
-rect 4990 -400 5046 240
-rect 5581 -400 5637 240
-rect 6172 -400 6228 240
-rect 6763 -400 6819 240
-rect 7354 -400 7410 240
-rect 7945 -400 8001 240
-rect 8536 -400 8592 240
-rect 9127 -400 9183 240
-rect 9718 -400 9774 240
-rect 10309 -400 10365 240
-rect 10900 -400 10956 240
-rect 11491 -400 11547 240
-rect 12082 -400 12138 240
-rect 12673 -400 12729 240
-rect 13264 -400 13320 240
-rect 13855 -400 13911 240
-rect 14446 -400 14502 240
-rect 15037 -400 15093 240
-rect 15628 -400 15684 240
-rect 16219 -400 16275 240
-rect 16810 -400 16866 240
-rect 17401 -400 17457 240
-rect 17992 -400 18048 240
-rect 18583 -400 18639 240
-rect 19174 -400 19230 240
-rect 19765 -400 19821 240
-rect 20356 -400 20412 240
-rect 20947 -400 21003 240
-rect 21538 -400 21594 240
-rect 22129 -400 22185 240
-rect 22720 -400 22776 240
-rect 23311 -400 23367 240
-rect 23902 -400 23958 240
-rect 24493 -400 24549 240
-rect 25084 -400 25140 240
-rect 25675 -400 25731 240
-rect 26266 -400 26322 240
-rect 26857 -400 26913 240
-rect 27448 -400 27504 240
-rect 28039 -400 28095 240
-rect 28630 -400 28686 240
-rect 29221 -400 29277 240
-rect 29812 -400 29868 240
-rect 30403 -400 30459 240
-rect 30994 -400 31050 240
-rect 31585 -400 31641 240
-rect 32176 -400 32232 240
-rect 32767 -400 32823 240
-rect 33358 -400 33414 240
-rect 33949 -400 34005 240
-rect 34540 -400 34596 240
-rect 35131 -400 35187 240
-rect 35722 -400 35778 240
-rect 36313 -400 36369 240
-rect 36904 -400 36960 240
-rect 37495 -400 37551 240
-rect 38086 -400 38142 240
-rect 38677 -400 38733 240
-rect 39268 -400 39324 240
-rect 39859 -400 39915 240
-rect 40450 -400 40506 240
-rect 41041 -400 41097 240
-rect 41632 -400 41688 240
-rect 42223 -400 42279 240
-rect 42814 -400 42870 240
-rect 43405 -400 43461 240
-rect 43996 -400 44052 240
-rect 44587 -400 44643 240
-rect 45178 -400 45234 240
-rect 45769 -400 45825 240
-rect 46360 -400 46416 240
-rect 46951 -400 47007 240
-rect 47542 -400 47598 240
-rect 48133 -400 48189 240
-rect 48724 -400 48780 240
-rect 49315 -400 49371 240
-rect 49906 -400 49962 240
-rect 50497 -400 50553 240
-rect 51088 -400 51144 240
-rect 51679 -400 51735 240
-rect 52270 -400 52326 240
-rect 52861 -400 52917 240
-rect 53452 -400 53508 240
-rect 54043 -400 54099 240
-rect 54634 -400 54690 240
-rect 55225 -400 55281 240
-rect 55816 -400 55872 240
-rect 56407 -400 56463 240
-rect 56998 -400 57054 240
-rect 57589 -400 57645 240
-rect 58180 -400 58236 240
-rect 58771 -400 58827 240
-rect 59362 -400 59418 240
-rect 59953 -400 60009 240
-rect 60544 -400 60600 240
-rect 61135 -400 61191 240
-rect 61726 -400 61782 240
-rect 62317 -400 62373 240
-rect 62908 -400 62964 240
-rect 63499 -400 63555 240
-rect 64090 -400 64146 240
-rect 64681 -400 64737 240
-rect 65272 -400 65328 240
-rect 65863 -400 65919 240
-rect 66454 -400 66510 240
-rect 67045 -400 67101 240
-rect 67636 -400 67692 240
-rect 68227 -400 68283 240
-rect 68818 -400 68874 240
-rect 69409 -400 69465 240
-rect 70000 -400 70056 240
-rect 70591 -400 70647 240
-rect 71182 -400 71238 240
-rect 71773 -400 71829 240
-rect 72364 -400 72420 240
-rect 72955 -400 73011 240
-rect 73546 -400 73602 240
-rect 74137 -400 74193 240
-rect 74728 -400 74784 240
-rect 75319 -400 75375 240
-rect 75910 -400 75966 240
-rect 76501 -400 76557 240
-rect 77092 -400 77148 240
-rect 77683 -400 77739 240
-rect 78274 -400 78330 240
-rect 78865 -400 78921 240
-rect 79456 -400 79512 240
-rect 80047 -400 80103 240
-rect 80638 -400 80694 240
-rect 81229 -400 81285 240
-rect 81820 -400 81876 240
-rect 82411 -400 82467 240
-rect 83002 -400 83058 240
-rect 83593 -400 83649 240
-rect 84184 -400 84240 240
-rect 84775 -400 84831 240
-rect 85366 -400 85422 240
-rect 85957 -400 86013 240
-rect 86548 -400 86604 240
-rect 87139 -400 87195 240
-rect 87730 -400 87786 240
-rect 88321 -400 88377 240
-rect 88912 -400 88968 240
-rect 89503 -400 89559 240
-rect 90094 -400 90150 240
-rect 90685 -400 90741 240
-rect 91276 -400 91332 240
-rect 91867 -400 91923 240
-rect 92458 -400 92514 240
-rect 93049 -400 93105 240
-rect 93640 -400 93696 240
-rect 94231 -400 94287 240
-rect 94822 -400 94878 240
-rect 95413 -400 95469 240
-rect 96004 -400 96060 240
-rect 96595 -400 96651 240
-rect 97186 -400 97242 240
-rect 97777 -400 97833 240
-rect 98368 -400 98424 240
-rect 98959 -400 99015 240
-rect 99550 -400 99606 240
-rect 100141 -400 100197 240
-rect 100732 -400 100788 240
-rect 101323 -400 101379 240
-rect 101914 -400 101970 240
-rect 102505 -400 102561 240
-rect 103096 -400 103152 240
-rect 103687 -400 103743 240
-rect 104278 -400 104334 240
-rect 104869 -400 104925 240
-rect 105460 -400 105516 240
-rect 106051 -400 106107 240
-rect 106642 -400 106698 240
-rect 107233 -400 107289 240
-rect 107824 -400 107880 240
-rect 108415 -400 108471 240
-rect 109006 -400 109062 240
-rect 109597 -400 109653 240
-rect 110188 -400 110244 240
-rect 110779 -400 110835 240
-rect 111370 -400 111426 240
-rect 111961 -400 112017 240
-rect 112552 -400 112608 240
-rect 113143 -400 113199 240
-rect 113734 -400 113790 240
-rect 114325 -400 114381 240
-rect 114916 -400 114972 240
-rect 115507 -400 115563 240
-rect 116098 -400 116154 240
-rect 116689 -400 116745 240
-rect 117280 -400 117336 240
-rect 117871 -400 117927 240
-rect 118462 -400 118518 240
-rect 119053 -400 119109 240
-rect 119644 -400 119700 240
-rect 120235 -400 120291 240
-rect 120826 -400 120882 240
-rect 121417 -400 121473 240
-rect 122008 -400 122064 240
-rect 122599 -400 122655 240
-rect 123190 -400 123246 240
-rect 123781 -400 123837 240
-rect 124372 -400 124428 240
-rect 124963 -400 125019 240
-rect 125554 -400 125610 240
-rect 126145 -400 126201 240
-rect 126736 -400 126792 240
-rect 127327 -400 127383 240
-rect 127918 -400 127974 240
-rect 128509 -400 128565 240
-rect 129100 -400 129156 240
-rect 129691 -400 129747 240
-rect 130282 -400 130338 240
-rect 130873 -400 130929 240
-rect 131464 -400 131520 240
-rect 132055 -400 132111 240
-rect 132646 -400 132702 240
-rect 133237 -400 133293 240
-rect 133828 -400 133884 240
-rect 134419 -400 134475 240
-rect 135010 -400 135066 240
-rect 135601 -400 135657 240
-rect 136192 -400 136248 240
-rect 136783 -400 136839 240
-rect 137374 -400 137430 240
-rect 137965 -400 138021 240
-rect 138556 -400 138612 240
-rect 139147 -400 139203 240
-rect 139738 -400 139794 240
-rect 140329 -400 140385 240
-rect 140920 -400 140976 240
-rect 141511 -400 141567 240
-rect 142102 -400 142158 240
-rect 142693 -400 142749 240
-rect 143284 -400 143340 240
-rect 143875 -400 143931 240
-rect 144466 -400 144522 240
-rect 145057 -400 145113 240
-rect 145648 -400 145704 240
-rect 146239 -400 146295 240
-rect 146830 -400 146886 240
-rect 147421 -400 147477 240
-rect 148012 -400 148068 240
-rect 148603 -400 148659 240
-rect 149194 -400 149250 240
-rect 149785 -400 149841 240
-rect 150376 -400 150432 240
-rect 150967 -400 151023 240
-rect 151558 -400 151614 240
-rect 152149 -400 152205 240
-rect 152740 -400 152796 240
-rect 153331 -400 153387 240
-rect 153922 -400 153978 240
-rect 154513 -400 154569 240
-rect 155104 -400 155160 240
-rect 155695 -400 155751 240
-rect 156286 -400 156342 240
-rect 156877 -400 156933 240
-rect 157468 -400 157524 240
-rect 158059 -400 158115 240
-rect 158650 -400 158706 240
-rect 159241 -400 159297 240
-rect 159832 -400 159888 240
-rect 160423 -400 160479 240
-rect 161014 -400 161070 240
-rect 161605 -400 161661 240
-rect 162196 -400 162252 240
-rect 162787 -400 162843 240
-rect 163378 -400 163434 240
-rect 163969 -400 164025 240
-rect 164560 -400 164616 240
-rect 165151 -400 165207 240
-rect 165742 -400 165798 240
-rect 166333 -400 166389 240
-rect 166924 -400 166980 240
-rect 167515 -400 167571 240
-rect 168106 -400 168162 240
-rect 168697 -400 168753 240
-rect 169288 -400 169344 240
-rect 169879 -400 169935 240
-rect 170470 -400 170526 240
-rect 171061 -400 171117 240
-rect 171652 -400 171708 240
-rect 172243 -400 172299 240
-rect 172834 -400 172890 240
-rect 173425 -400 173481 240
-rect 174016 -400 174072 240
-rect 174607 -400 174663 240
-rect 175198 -400 175254 240
-rect 175789 -400 175845 240
-rect 176380 -400 176436 240
-rect 176971 -400 177027 240
-rect 177562 -400 177618 240
-rect 178153 -400 178209 240
-rect 178744 -400 178800 240
-rect 179335 -400 179391 240
-rect 179926 -400 179982 240
-rect 180517 -400 180573 240
-rect 181108 -400 181164 240
-rect 181699 -400 181755 240
-rect 182290 -400 182346 240
-rect 182881 -400 182937 240
-rect 183472 -400 183528 240
-rect 184063 -400 184119 240
-rect 184654 -400 184710 240
-rect 185245 -400 185301 240
-rect 185836 -400 185892 240
-rect 186427 -400 186483 240
-rect 187018 -400 187074 240
-rect 187609 -400 187665 240
-rect 188200 -400 188256 240
-rect 188791 -400 188847 240
-rect 189382 -400 189438 240
-rect 189973 -400 190029 240
-rect 190564 -400 190620 240
-rect 191155 -400 191211 240
-rect 191746 -400 191802 240
-rect 192337 -400 192393 240
-rect 192928 -400 192984 240
-rect 193519 -400 193575 240
-rect 194110 -400 194166 240
-rect 194701 -400 194757 240
-rect 195292 -400 195348 240
-rect 195883 -400 195939 240
-rect 196474 -400 196530 240
-rect 197065 -400 197121 240
-rect 197656 -400 197712 240
-rect 198247 -400 198303 240
-rect 198838 -400 198894 240
-rect 199429 -400 199485 240
-rect 200020 -400 200076 240
-rect 200611 -400 200667 240
-rect 201202 -400 201258 240
-rect 201793 -400 201849 240
-rect 202384 -400 202440 240
-rect 202975 -400 203031 240
-rect 203566 -400 203622 240
-rect 204157 -400 204213 240
-rect 204748 -400 204804 240
-rect 205339 -400 205395 240
-rect 205930 -400 205986 240
-rect 206521 -400 206577 240
-rect 207112 -400 207168 240
-rect 207703 -400 207759 240
-rect 208294 -400 208350 240
-rect 208885 -400 208941 240
-rect 209476 -400 209532 240
-rect 210067 -400 210123 240
-rect 210658 -400 210714 240
-rect 211249 -400 211305 240
-rect 211840 -400 211896 240
-rect 212431 -400 212487 240
-rect 213022 -400 213078 240
-rect 213613 -400 213669 240
-rect 214204 -400 214260 240
-rect 214795 -400 214851 240
-rect 215386 -400 215442 240
-rect 215977 -400 216033 240
-rect 216568 -400 216624 240
-rect 217159 -400 217215 240
-rect 217750 -400 217806 240
-rect 218341 -400 218397 240
-rect 218932 -400 218988 240
-rect 219523 -400 219579 240
-rect 220114 -400 220170 240
-rect 220705 -400 220761 240
-rect 221296 -400 221352 240
-rect 221887 -400 221943 240
-rect 222478 -400 222534 240
-rect 223069 -400 223125 240
-rect 223660 -400 223716 240
-rect 224251 -400 224307 240
-rect 224842 -400 224898 240
-rect 225433 -400 225489 240
-rect 226024 -400 226080 240
-rect 226615 -400 226671 240
-rect 227206 -400 227262 240
-rect 227797 -400 227853 240
-rect 228388 -400 228444 240
-rect 228979 -400 229035 240
-rect 229570 -400 229626 240
-rect 230161 -400 230217 240
-rect 230752 -400 230808 240
-rect 231343 -400 231399 240
-rect 231934 -400 231990 240
-rect 232525 -400 232581 240
-rect 233116 -400 233172 240
-rect 233707 -400 233763 240
-rect 234298 -400 234354 240
-rect 234889 -400 234945 240
-rect 235480 -400 235536 240
-rect 236071 -400 236127 240
-rect 236662 -400 236718 240
-rect 237253 -400 237309 240
-rect 237844 -400 237900 240
-rect 238435 -400 238491 240
-rect 239026 -400 239082 240
-rect 239617 -400 239673 240
-rect 240208 -400 240264 240
-rect 240799 -400 240855 240
-rect 241390 -400 241446 240
-rect 241981 -400 242037 240
-rect 242572 -400 242628 240
-rect 243163 -400 243219 240
-rect 243754 -400 243810 240
-rect 244345 -400 244401 240
-rect 244936 -400 244992 240
-rect 245527 -400 245583 240
-rect 246118 -400 246174 240
-rect 246709 -400 246765 240
-rect 247300 -400 247356 240
-rect 247891 -400 247947 240
-rect 248482 -400 248538 240
-rect 249073 -400 249129 240
-rect 249664 -400 249720 240
-rect 250255 -400 250311 240
-rect 250846 -400 250902 240
-rect 251437 -400 251493 240
-rect 252028 -400 252084 240
-rect 252619 -400 252675 240
-rect 253210 -400 253266 240
-rect 253801 -400 253857 240
-rect 254392 -400 254448 240
-rect 254983 -400 255039 240
-rect 255574 -400 255630 240
-rect 256165 -400 256221 240
-rect 256756 -400 256812 240
-rect 257347 -400 257403 240
-rect 257938 -400 257994 240
-rect 258529 -400 258585 240
-rect 259120 -400 259176 240
-rect 259711 -400 259767 240
-rect 260302 -400 260358 240
-rect 260893 -400 260949 240
-rect 261484 -400 261540 240
-rect 262075 -400 262131 240
-rect 262666 -400 262722 240
-rect 263257 -400 263313 240
-rect 263848 -400 263904 240
-rect 264439 -400 264495 240
-rect 265030 -400 265086 240
-rect 265621 -400 265677 240
-rect 266212 -400 266268 240
-rect 266803 -400 266859 240
-rect 267394 -400 267450 240
-rect 267985 -400 268041 240
-rect 268576 -400 268632 240
-rect 269167 -400 269223 240
-rect 269758 -400 269814 240
-rect 270349 -400 270405 240
-rect 270940 -400 270996 240
-rect 271531 -400 271587 240
-rect 272122 -400 272178 240
-rect 272713 -400 272769 240
-rect 273304 -400 273360 240
-rect 273895 -400 273951 240
-rect 274486 -400 274542 240
-rect 275077 -400 275133 240
-rect 275668 -400 275724 240
-rect 276259 -400 276315 240
-rect 276850 -400 276906 240
-rect 277441 -400 277497 240
-rect 278032 -400 278088 240
-rect 278623 -400 278679 240
-rect 279214 -400 279270 240
-rect 279805 -400 279861 240
-rect 280396 -400 280452 240
-rect 280987 -400 281043 240
-rect 281578 -400 281634 240
-rect 282169 -400 282225 240
-rect 282760 -400 282816 240
-rect 283351 -400 283407 240
-rect 283942 -400 283998 240
-rect 284533 -400 284589 240
-rect 285124 -400 285180 240
-rect 285715 -400 285771 240
-rect 286306 -400 286362 240
-rect 286897 -400 286953 240
-rect 287488 -400 287544 240
-rect 288079 -400 288135 240
-rect 288670 -400 288726 240
-rect 289261 -400 289317 240
-rect 289852 -400 289908 240
-rect 290443 -400 290499 240
-rect 291034 -400 291090 240
-rect 291625 -400 291681 240
+rect 445694 606624 448372 607200
+rect 445694 605022 446372 606624
+rect 447678 605022 448372 606624
+rect 445694 604412 448372 605022
+rect 201422 595870 203022 596084
+rect 201422 595226 201822 595870
+rect 202620 595226 203022 595870
+rect 201422 595022 203022 595226
+rect 199918 591152 201068 591452
+rect 199918 590408 200116 591152
+rect 200864 590408 201068 591152
+rect 199918 590102 201068 590408
+rect 200622 582142 200822 590102
+rect 446138 570582 448482 570950
+rect 446138 569270 446542 570582
+rect 448014 569270 448482 570582
+rect 446138 568944 448482 569270
+rect 201342 567260 203142 567536
+rect 201342 566274 201752 567260
+rect 202668 566274 203142 567260
+rect 201342 565940 203142 566274
+rect 524 -800 636 480
+rect 1706 -800 1818 480
+rect 2888 -800 3000 480
+rect 4070 -800 4182 480
+rect 5252 -800 5364 480
+rect 6434 -800 6546 480
+rect 7616 -800 7728 480
+rect 8798 -800 8910 480
+rect 9980 -800 10092 480
+rect 11162 -800 11274 480
+rect 12344 -800 12456 480
+rect 13526 -800 13638 480
+rect 14708 -800 14820 480
+rect 15890 -800 16002 480
+rect 17072 -800 17184 480
+rect 18254 -800 18366 480
+rect 19436 -800 19548 480
+rect 20618 -800 20730 480
+rect 21800 -800 21912 480
+rect 22982 -800 23094 480
+rect 24164 -800 24276 480
+rect 25346 -800 25458 480
+rect 26528 -800 26640 480
+rect 27710 -800 27822 480
+rect 28892 -800 29004 480
+rect 30074 -800 30186 480
+rect 31256 -800 31368 480
+rect 32438 -800 32550 480
+rect 33620 -800 33732 480
+rect 34802 -800 34914 480
+rect 35984 -800 36096 480
+rect 37166 -800 37278 480
+rect 38348 -800 38460 480
+rect 39530 -800 39642 480
+rect 40712 -800 40824 480
+rect 41894 -800 42006 480
+rect 43076 -800 43188 480
+rect 44258 -800 44370 480
+rect 45440 -800 45552 480
+rect 46622 -800 46734 480
+rect 47804 -800 47916 480
+rect 48986 -800 49098 480
+rect 50168 -800 50280 480
+rect 51350 -800 51462 480
+rect 52532 -800 52644 480
+rect 53714 -800 53826 480
+rect 54896 -800 55008 480
+rect 56078 -800 56190 480
+rect 57260 -800 57372 480
+rect 58442 -800 58554 480
+rect 59624 -800 59736 480
+rect 60806 -800 60918 480
+rect 61988 -800 62100 480
+rect 63170 -800 63282 480
+rect 64352 -800 64464 480
+rect 65534 -800 65646 480
+rect 66716 -800 66828 480
+rect 67898 -800 68010 480
+rect 69080 -800 69192 480
+rect 70262 -800 70374 480
+rect 71444 -800 71556 480
+rect 72626 -800 72738 480
+rect 73808 -800 73920 480
+rect 74990 -800 75102 480
+rect 76172 -800 76284 480
+rect 77354 -800 77466 480
+rect 78536 -800 78648 480
+rect 79718 -800 79830 480
+rect 80900 -800 81012 480
+rect 82082 -800 82194 480
+rect 83264 -800 83376 480
+rect 84446 -800 84558 480
+rect 85628 -800 85740 480
+rect 86810 -800 86922 480
+rect 87992 -800 88104 480
+rect 89174 -800 89286 480
+rect 90356 -800 90468 480
+rect 91538 -800 91650 480
+rect 92720 -800 92832 480
+rect 93902 -800 94014 480
+rect 95084 -800 95196 480
+rect 96266 -800 96378 480
+rect 97448 -800 97560 480
+rect 98630 -800 98742 480
+rect 99812 -800 99924 480
+rect 100994 -800 101106 480
+rect 102176 -800 102288 480
+rect 103358 -800 103470 480
+rect 104540 -800 104652 480
+rect 105722 -800 105834 480
+rect 106904 -800 107016 480
+rect 108086 -800 108198 480
+rect 109268 -800 109380 480
+rect 110450 -800 110562 480
+rect 111632 -800 111744 480
+rect 112814 -800 112926 480
+rect 113996 -800 114108 480
+rect 115178 -800 115290 480
+rect 116360 -800 116472 480
+rect 117542 -800 117654 480
+rect 118724 -800 118836 480
+rect 119906 -800 120018 480
+rect 121088 -800 121200 480
+rect 122270 -800 122382 480
+rect 123452 -800 123564 480
+rect 124634 -800 124746 480
+rect 125816 -800 125928 480
+rect 126998 -800 127110 480
+rect 128180 -800 128292 480
+rect 129362 -800 129474 480
+rect 130544 -800 130656 480
+rect 131726 -800 131838 480
+rect 132908 -800 133020 480
+rect 134090 -800 134202 480
+rect 135272 -800 135384 480
+rect 136454 -800 136566 480
+rect 137636 -800 137748 480
+rect 138818 -800 138930 480
+rect 140000 -800 140112 480
+rect 141182 -800 141294 480
+rect 142364 -800 142476 480
+rect 143546 -800 143658 480
+rect 144728 -800 144840 480
+rect 145910 -800 146022 480
+rect 147092 -800 147204 480
+rect 148274 -800 148386 480
+rect 149456 -800 149568 480
+rect 150638 -800 150750 480
+rect 151820 -800 151932 480
+rect 153002 -800 153114 480
+rect 154184 -800 154296 480
+rect 155366 -800 155478 480
+rect 156548 -800 156660 480
+rect 157730 -800 157842 480
+rect 158912 -800 159024 480
+rect 160094 -800 160206 480
+rect 161276 -800 161388 480
+rect 162458 -800 162570 480
+rect 163640 -800 163752 480
+rect 164822 -800 164934 480
+rect 166004 -800 166116 480
+rect 167186 -800 167298 480
+rect 168368 -800 168480 480
+rect 169550 -800 169662 480
+rect 170732 -800 170844 480
+rect 171914 -800 172026 480
+rect 173096 -800 173208 480
+rect 174278 -800 174390 480
+rect 175460 -800 175572 480
+rect 176642 -800 176754 480
+rect 177824 -800 177936 480
+rect 179006 -800 179118 480
+rect 180188 -800 180300 480
+rect 181370 -800 181482 480
+rect 182552 -800 182664 480
+rect 183734 -800 183846 480
+rect 184916 -800 185028 480
+rect 186098 -800 186210 480
+rect 187280 -800 187392 480
+rect 188462 -800 188574 480
+rect 189644 -800 189756 480
+rect 190826 -800 190938 480
+rect 192008 -800 192120 480
+rect 193190 -800 193302 480
+rect 194372 -800 194484 480
+rect 195554 -800 195666 480
+rect 196736 -800 196848 480
+rect 197918 -800 198030 480
+rect 199100 -800 199212 480
+rect 200282 -800 200394 480
+rect 201464 -800 201576 480
+rect 202646 -800 202758 480
+rect 203828 -800 203940 480
+rect 205010 -800 205122 480
+rect 206192 -800 206304 480
+rect 207374 -800 207486 480
+rect 208556 -800 208668 480
+rect 209738 -800 209850 480
+rect 210920 -800 211032 480
+rect 212102 -800 212214 480
+rect 213284 -800 213396 480
+rect 214466 -800 214578 480
+rect 215648 -800 215760 480
+rect 216830 -800 216942 480
+rect 218012 -800 218124 480
+rect 219194 -800 219306 480
+rect 220376 -800 220488 480
+rect 221558 -800 221670 480
+rect 222740 -800 222852 480
+rect 223922 -800 224034 480
+rect 225104 -800 225216 480
+rect 226286 -800 226398 480
+rect 227468 -800 227580 480
+rect 228650 -800 228762 480
+rect 229832 -800 229944 480
+rect 231014 -800 231126 480
+rect 232196 -800 232308 480
+rect 233378 -800 233490 480
+rect 234560 -800 234672 480
+rect 235742 -800 235854 480
+rect 236924 -800 237036 480
+rect 238106 -800 238218 480
+rect 239288 -800 239400 480
+rect 240470 -800 240582 480
+rect 241652 -800 241764 480
+rect 242834 -800 242946 480
+rect 244016 -800 244128 480
+rect 245198 -800 245310 480
+rect 246380 -800 246492 480
+rect 247562 -800 247674 480
+rect 248744 -800 248856 480
+rect 249926 -800 250038 480
+rect 251108 -800 251220 480
+rect 252290 -800 252402 480
+rect 253472 -800 253584 480
+rect 254654 -800 254766 480
+rect 255836 -800 255948 480
+rect 257018 -800 257130 480
+rect 258200 -800 258312 480
+rect 259382 -800 259494 480
+rect 260564 -800 260676 480
+rect 261746 -800 261858 480
+rect 262928 -800 263040 480
+rect 264110 -800 264222 480
+rect 265292 -800 265404 480
+rect 266474 -800 266586 480
+rect 267656 -800 267768 480
+rect 268838 -800 268950 480
+rect 270020 -800 270132 480
+rect 271202 -800 271314 480
+rect 272384 -800 272496 480
+rect 273566 -800 273678 480
+rect 274748 -800 274860 480
+rect 275930 -800 276042 480
+rect 277112 -800 277224 480
+rect 278294 -800 278406 480
+rect 279476 -800 279588 480
+rect 280658 -800 280770 480
+rect 281840 -800 281952 480
+rect 283022 -800 283134 480
+rect 284204 -800 284316 480
+rect 285386 -800 285498 480
+rect 286568 -800 286680 480
+rect 287750 -800 287862 480
+rect 288932 -800 289044 480
+rect 290114 -800 290226 480
+rect 291296 -800 291408 480
+rect 292478 -800 292590 480
+rect 293660 -800 293772 480
+rect 294842 -800 294954 480
+rect 296024 -800 296136 480
+rect 297206 -800 297318 480
+rect 298388 -800 298500 480
+rect 299570 -800 299682 480
+rect 300752 -800 300864 480
+rect 301934 -800 302046 480
+rect 303116 -800 303228 480
+rect 304298 -800 304410 480
+rect 305480 -800 305592 480
+rect 306662 -800 306774 480
+rect 307844 -800 307956 480
+rect 309026 -800 309138 480
+rect 310208 -800 310320 480
+rect 311390 -800 311502 480
+rect 312572 -800 312684 480
+rect 313754 -800 313866 480
+rect 314936 -800 315048 480
+rect 316118 -800 316230 480
+rect 317300 -800 317412 480
+rect 318482 -800 318594 480
+rect 319664 -800 319776 480
+rect 320846 -800 320958 480
+rect 322028 -800 322140 480
+rect 323210 -800 323322 480
+rect 324392 -800 324504 480
+rect 325574 -800 325686 480
+rect 326756 -800 326868 480
+rect 327938 -800 328050 480
+rect 329120 -800 329232 480
+rect 330302 -800 330414 480
+rect 331484 -800 331596 480
+rect 332666 -800 332778 480
+rect 333848 -800 333960 480
+rect 335030 -800 335142 480
+rect 336212 -800 336324 480
+rect 337394 -800 337506 480
+rect 338576 -800 338688 480
+rect 339758 -800 339870 480
+rect 340940 -800 341052 480
+rect 342122 -800 342234 480
+rect 343304 -800 343416 480
+rect 344486 -800 344598 480
+rect 345668 -800 345780 480
+rect 346850 -800 346962 480
+rect 348032 -800 348144 480
+rect 349214 -800 349326 480
+rect 350396 -800 350508 480
+rect 351578 -800 351690 480
+rect 352760 -800 352872 480
+rect 353942 -800 354054 480
+rect 355124 -800 355236 480
+rect 356306 -800 356418 480
+rect 357488 -800 357600 480
+rect 358670 -800 358782 480
+rect 359852 -800 359964 480
+rect 361034 -800 361146 480
+rect 362216 -800 362328 480
+rect 363398 -800 363510 480
+rect 364580 -800 364692 480
+rect 365762 -800 365874 480
+rect 366944 -800 367056 480
+rect 368126 -800 368238 480
+rect 369308 -800 369420 480
+rect 370490 -800 370602 480
+rect 371672 -800 371784 480
+rect 372854 -800 372966 480
+rect 374036 -800 374148 480
+rect 375218 -800 375330 480
+rect 376400 -800 376512 480
+rect 377582 -800 377694 480
+rect 378764 -800 378876 480
+rect 379946 -800 380058 480
+rect 381128 -800 381240 480
+rect 382310 -800 382422 480
+rect 383492 -800 383604 480
+rect 384674 -800 384786 480
+rect 385856 -800 385968 480
+rect 387038 -800 387150 480
+rect 388220 -800 388332 480
+rect 389402 -800 389514 480
+rect 390584 -800 390696 480
+rect 391766 -800 391878 480
+rect 392948 -800 393060 480
+rect 394130 -800 394242 480
+rect 395312 -800 395424 480
+rect 396494 -800 396606 480
+rect 397676 -800 397788 480
+rect 398858 -800 398970 480
+rect 400040 -800 400152 480
+rect 401222 -800 401334 480
+rect 402404 -800 402516 480
+rect 403586 -800 403698 480
+rect 404768 -800 404880 480
+rect 405950 -800 406062 480
+rect 407132 -800 407244 480
+rect 408314 -800 408426 480
+rect 409496 -800 409608 480
+rect 410678 -800 410790 480
+rect 411860 -800 411972 480
+rect 413042 -800 413154 480
+rect 414224 -800 414336 480
+rect 415406 -800 415518 480
+rect 416588 -800 416700 480
+rect 417770 -800 417882 480
+rect 418952 -800 419064 480
+rect 420134 -800 420246 480
+rect 421316 -800 421428 480
+rect 422498 -800 422610 480
+rect 423680 -800 423792 480
+rect 424862 -800 424974 480
+rect 426044 -800 426156 480
+rect 427226 -800 427338 480
+rect 428408 -800 428520 480
+rect 429590 -800 429702 480
+rect 430772 -800 430884 480
+rect 431954 -800 432066 480
+rect 433136 -800 433248 480
+rect 434318 -800 434430 480
+rect 435500 -800 435612 480
+rect 436682 -800 436794 480
+rect 437864 -800 437976 480
+rect 439046 -800 439158 480
+rect 440228 -800 440340 480
+rect 441410 -800 441522 480
+rect 442592 -800 442704 480
+rect 443774 -800 443886 480
+rect 444956 -800 445068 480
+rect 446138 -800 446250 480
+rect 447320 -800 447432 480
+rect 448502 -800 448614 480
+rect 449684 -800 449796 480
+rect 450866 -800 450978 480
+rect 452048 -800 452160 480
+rect 453230 -800 453342 480
+rect 454412 -800 454524 480
+rect 455594 -800 455706 480
+rect 456776 -800 456888 480
+rect 457958 -800 458070 480
+rect 459140 -800 459252 480
+rect 460322 -800 460434 480
+rect 461504 -800 461616 480
+rect 462686 -800 462798 480
+rect 463868 -800 463980 480
+rect 465050 -800 465162 480
+rect 466232 -800 466344 480
+rect 467414 -800 467526 480
+rect 468596 -800 468708 480
+rect 469778 -800 469890 480
+rect 470960 -800 471072 480
+rect 472142 -800 472254 480
+rect 473324 -800 473436 480
+rect 474506 -800 474618 480
+rect 475688 -800 475800 480
+rect 476870 -800 476982 480
+rect 478052 -800 478164 480
+rect 479234 -800 479346 480
+rect 480416 -800 480528 480
+rect 481598 -800 481710 480
+rect 482780 -800 482892 480
+rect 483962 -800 484074 480
+rect 485144 -800 485256 480
+rect 486326 -800 486438 480
+rect 487508 -800 487620 480
+rect 488690 -800 488802 480
+rect 489872 -800 489984 480
+rect 491054 -800 491166 480
+rect 492236 -800 492348 480
+rect 493418 -800 493530 480
+rect 494600 -800 494712 480
+rect 495782 -800 495894 480
+rect 496964 -800 497076 480
+rect 498146 -800 498258 480
+rect 499328 -800 499440 480
+rect 500510 -800 500622 480
+rect 501692 -800 501804 480
+rect 502874 -800 502986 480
+rect 504056 -800 504168 480
+rect 505238 -800 505350 480
+rect 506420 -800 506532 480
+rect 507602 -800 507714 480
+rect 508784 -800 508896 480
+rect 509966 -800 510078 480
+rect 511148 -800 511260 480
+rect 512330 -800 512442 480
+rect 513512 -800 513624 480
+rect 514694 -800 514806 480
+rect 515876 -800 515988 480
+rect 517058 -800 517170 480
+rect 518240 -800 518352 480
+rect 519422 -800 519534 480
+rect 520604 -800 520716 480
+rect 521786 -800 521898 480
+rect 522968 -800 523080 480
+rect 524150 -800 524262 480
+rect 525332 -800 525444 480
+rect 526514 -800 526626 480
+rect 527696 -800 527808 480
+rect 528878 -800 528990 480
+rect 530060 -800 530172 480
+rect 531242 -800 531354 480
+rect 532424 -800 532536 480
+rect 533606 -800 533718 480
+rect 534788 -800 534900 480
+rect 535970 -800 536082 480
+rect 537152 -800 537264 480
+rect 538334 -800 538446 480
+rect 539516 -800 539628 480
+rect 540698 -800 540810 480
+rect 541880 -800 541992 480
+rect 543062 -800 543174 480
+rect 544244 -800 544356 480
+rect 545426 -800 545538 480
+rect 546608 -800 546720 480
+rect 547790 -800 547902 480
+rect 548972 -800 549084 480
+rect 550154 -800 550266 480
+rect 551336 -800 551448 480
+rect 552518 -800 552630 480
+rect 553700 -800 553812 480
+rect 554882 -800 554994 480
+rect 556064 -800 556176 480
+rect 557246 -800 557358 480
+rect 558428 -800 558540 480
+rect 559610 -800 559722 480
+rect 560792 -800 560904 480
+rect 561974 -800 562086 480
+rect 563156 -800 563268 480
+rect 564338 -800 564450 480
+rect 565520 -800 565632 480
+rect 566702 -800 566814 480
+rect 567884 -800 567996 480
+rect 569066 -800 569178 480
+rect 570248 -800 570360 480
+rect 571430 -800 571542 480
+rect 572612 -800 572724 480
+rect 573794 -800 573906 480
+rect 574976 -800 575088 480
+rect 576158 -800 576270 480
+rect 577340 -800 577452 480
+rect 578522 -800 578634 480
+rect 579704 -800 579816 480
+rect 580886 -800 580998 480
+rect 582068 -800 582180 480
+rect 583250 -800 583362 480
 << via2 >>
-rect 223186 302511 223839 303312
-rect 100911 297613 101310 297935
-rect 100058 295204 100432 295576
-rect 223271 284635 224007 285291
-rect 100876 283137 101334 283630
+rect 446372 605022 447678 606624
+rect 201822 595226 202620 595870
+rect 200116 590408 200864 591152
+rect 446542 569270 448014 570582
+rect 201752 566274 202668 567260
 << metal3 >>
-rect 8097 351150 10597 352400
-rect 34097 351150 36597 352400
-rect 60097 351150 62597 352400
-rect 82797 351150 85297 352400
-rect 85447 351150 86547 352400
-rect 86697 351150 87797 352400
-rect 87947 351150 90447 352400
-rect 108647 351150 111147 352400
-rect 111297 351150 112397 352400
-rect 112547 351150 113647 352400
-rect 113797 351150 116297 352400
-rect 159497 351150 161997 352400
-rect 162147 351150 163247 352400
-rect 163397 351150 164497 352400
-rect 164647 351150 167147 352400
-rect 206697 351150 209197 352400
-rect 232697 351150 235197 352400
-rect 255297 351170 257697 352400
-rect 260297 351170 262697 352400
-rect 9106 346620 9606 351150
-rect 35184 348436 35681 351150
-rect 34830 348177 36242 348436
-rect 34830 347442 35089 348177
-rect 35894 347442 36242 348177
-rect 34830 347182 36242 347442
-rect 8697 346313 10281 346620
-rect 8697 345332 8935 346313
-rect 9892 345332 10281 346313
-rect 8697 344957 10281 345332
-rect -400 341603 850 342621
-rect -400 341103 29506 341603
-rect -400 340121 850 341103
-rect 29006 339134 29506 341103
-rect 29006 338796 29508 339134
-rect -400 323370 830 324321
-rect -400 323359 24907 323370
-rect -400 322870 24917 323359
-rect -400 321921 830 322870
-rect -400 318272 830 319321
-rect 24417 318671 24917 322870
-rect 23846 318348 25232 318671
-rect 23846 318272 24148 318348
-rect -400 317772 24148 318272
-rect -400 316921 830 317772
-rect 23846 317399 24148 317772
-rect 24908 317399 25232 318348
-rect 23846 317030 25232 317399
-rect 29008 291635 29508 338796
-rect 61086 299157 61586 351150
-rect 207689 345109 208189 351150
-rect 207222 344753 208660 345109
-rect 207222 343773 207647 344753
-rect 208318 343773 208660 344753
-rect 207222 343390 208660 343773
-rect 233632 343971 234132 351150
-rect 256254 347381 256754 351170
-rect 255148 347112 257331 347381
-rect 261234 347112 261734 351170
-rect 283297 351150 285797 352400
-rect 284280 348674 284780 351150
-rect 255148 347001 261738 347112
-rect 255148 346018 255597 347001
-rect 256822 346612 261738 347001
-rect 256822 346018 257331 346612
-rect 255148 345522 257331 346018
-rect 284280 345851 284782 348674
-rect 284282 344310 284782 345851
-rect 237075 344011 238444 344220
-rect 237075 343971 237400 344011
-rect 233632 343471 237400 343971
-rect 237075 343280 237400 343471
-rect 238164 343280 238444 344011
-rect 237075 343012 238444 343280
-rect 283433 343995 285385 344310
-rect 283433 343202 283984 343995
-rect 284892 343202 285385 343995
-rect 283433 342937 285385 343202
-rect 291150 338992 292400 341492
-rect 270109 324723 271706 325033
-rect 270109 323858 270468 324723
-rect 271313 323858 271706 324723
-rect 270109 323548 271706 323858
-rect 270687 321307 271187 323548
-rect 291170 321307 292400 322292
-rect 270687 320807 292400 321307
-rect 270687 316324 271183 320807
-rect 291170 319892 292400 320807
-rect 291170 316324 292400 317292
-rect 270687 315824 292400 316324
-rect 270687 315817 271183 315824
-rect 291170 314892 292400 315824
-rect 222847 303312 224186 303600
-rect 222847 302511 223186 303312
-rect 223839 302511 224186 303312
-rect 222847 302206 224186 302511
-rect 61087 299015 61586 299157
-rect 61087 298515 106021 299015
-rect 61087 298513 61586 298515
-rect 100711 297935 101511 298042
-rect 100711 297613 100911 297935
-rect 101310 297613 101511 297935
-rect 100711 297511 101511 297613
-rect 99959 295576 100534 295726
-rect 99959 295204 100058 295576
-rect 100432 295204 100534 295576
-rect 99959 295051 100534 295204
-rect 29007 291135 100108 291635
-rect 29008 291134 29508 291135
-rect 105521 287888 106021 298515
-rect 291760 294736 292400 294792
-rect 291760 294145 292400 294201
-rect 291760 293554 292400 293610
-rect 291760 292963 292400 293019
-rect 291760 292372 292400 292428
-rect 291760 291781 292400 291837
-rect 223069 285291 224241 285475
-rect 223069 284635 223271 285291
-rect 224007 284635 224241 285291
-rect 223069 284472 224241 284635
-rect 100671 283630 101571 283768
-rect 100671 283137 100876 283630
-rect 101334 283137 101571 283630
-rect 100671 282970 101571 283137
-rect -400 281147 830 282121
-rect -400 281125 20218 281147
-rect -400 280647 20225 281125
-rect -400 279721 830 280647
-rect -400 275994 830 277121
-rect 19725 276739 20225 280647
-rect 19071 276291 21006 276739
-rect 19071 275994 19526 276291
-rect -400 275494 19526 275994
-rect -400 274721 830 275494
-rect 19071 275348 19526 275494
-rect 20504 275348 21006 276291
-rect 19071 274922 21006 275348
-rect 291170 275281 292400 277681
-rect 291170 270281 292400 272681
-rect -400 255765 240 255821
-rect -400 255174 240 255230
-rect -400 254583 240 254639
-rect -400 253992 240 254048
-rect -400 253401 240 253457
-rect -400 252810 240 252866
-rect 291760 250025 292400 250081
-rect 291760 249434 292400 249490
-rect 291760 248843 292400 248899
-rect 291760 248252 292400 248308
-rect 291760 247661 292400 247717
-rect 291760 247070 292400 247126
-rect -400 234154 240 234210
-rect -400 233563 240 233619
-rect -400 232972 240 233028
-rect -400 232381 240 232437
-rect -400 231790 240 231846
-rect -400 231199 240 231255
-rect 291760 227814 292400 227870
-rect 291760 227223 292400 227279
-rect 291760 226632 292400 226688
-rect 291760 226041 292400 226097
-rect 291760 225450 292400 225506
-rect 291760 224859 292400 224915
-rect -400 212543 240 212599
-rect -400 211952 240 212008
-rect -400 211361 240 211417
-rect -400 210770 240 210826
-rect -400 210179 240 210235
-rect -400 209588 240 209644
-rect 291760 205603 292400 205659
-rect 291760 205012 292400 205068
-rect 291760 204421 292400 204477
-rect 291760 203830 292400 203886
-rect 291760 203239 292400 203295
-rect 291760 202648 292400 202704
-rect -400 190932 240 190988
-rect -400 190341 240 190397
-rect -400 189750 240 189806
-rect -400 189159 240 189215
-rect -400 188568 240 188624
-rect -400 187977 240 188033
-rect 291760 182392 292400 182448
-rect 291760 181801 292400 181857
-rect 291760 181210 292400 181266
-rect 291760 180619 292400 180675
-rect 291760 180028 292400 180084
-rect 291760 179437 292400 179493
-rect -400 169321 240 169377
-rect -400 168730 240 168786
-rect -400 168139 240 168195
-rect -400 167548 240 167604
-rect -400 166957 240 167013
-rect -400 166366 240 166422
-rect 291760 159781 292400 159837
-rect 291760 159190 292400 159246
-rect 291760 158599 292400 158655
-rect 291760 158008 292400 158064
-rect 291760 157417 292400 157473
-rect 291760 156826 292400 156882
-rect -400 147710 240 147766
-rect -400 147119 240 147175
-rect -400 146528 240 146584
-rect -400 145937 240 145993
-rect -400 145346 240 145402
-rect -400 144755 240 144811
-rect 291760 137570 292400 137626
-rect 291760 136979 292400 137035
-rect 291760 136388 292400 136444
-rect 291760 135797 292400 135853
-rect 291760 135206 292400 135262
-rect 291760 134615 292400 134671
-rect -400 126199 240 126255
-rect -400 125608 240 125664
-rect -400 125017 240 125073
-rect -400 124426 240 124482
-rect -400 123835 240 123891
-rect -400 123244 240 123300
-rect 291170 117615 292400 120015
-rect 291170 112615 292400 115015
-rect -400 107444 830 109844
-rect -400 102444 830 104844
-rect 291170 95715 292400 98115
-rect 291170 90715 292400 93115
-rect -400 86444 830 88844
-rect -400 81444 830 83844
-rect 291170 73415 292400 75815
-rect 291170 68415 292400 70815
-rect -400 62388 240 62444
-rect -400 61797 240 61853
-rect -400 61206 240 61262
-rect -400 60615 240 60671
-rect -400 60024 240 60080
-rect -400 59433 240 59489
-rect 291760 47559 292400 47615
-rect 291760 46968 292400 47024
-rect 291760 46377 292400 46433
-rect 291760 45786 292400 45842
-rect -400 40777 240 40833
-rect -400 40186 240 40242
-rect -400 39595 240 39651
-rect -400 39004 240 39060
-rect -400 38413 240 38469
-rect -400 37822 240 37878
-rect 291760 25230 292400 25286
-rect 291760 24639 292400 24695
-rect 291760 24048 292400 24104
-rect 291760 23457 292400 23513
-rect -400 19166 240 19222
-rect -400 18575 240 18631
-rect -400 17984 240 18040
-rect -400 17393 240 17449
-rect -400 16802 240 16858
-rect -400 16211 240 16267
-rect 291760 12001 292400 12057
-rect 291760 11410 292400 11466
-rect 291760 10819 292400 10875
-rect 291760 10228 292400 10284
-rect 291760 9637 292400 9693
-rect 291760 9046 292400 9102
-rect -400 8455 240 8511
-rect 291760 8455 292400 8511
-rect -400 7864 240 7920
-rect 291760 7864 292400 7920
-rect -400 7273 240 7329
-rect 291760 7273 292400 7329
-rect -400 6682 240 6738
-rect 291760 6682 292400 6738
-rect -400 6091 240 6147
-rect 291760 6091 292400 6147
-rect -400 5500 240 5556
-rect 291760 5500 292400 5556
-rect -400 4909 240 4965
-rect 291760 4909 292400 4965
-rect -400 4318 240 4374
-rect 291760 4318 292400 4374
-rect -400 3727 240 3783
-rect 291760 3727 292400 3783
-rect -400 3136 240 3192
-rect 291760 3136 292400 3192
-rect -400 2545 240 2601
-rect 291760 2545 292400 2601
-rect -400 1954 240 2010
-rect 291760 1954 292400 2010
-rect -400 1363 240 1419
-rect 291760 1363 292400 1419
-rect -400 772 240 828
-rect 291760 772 292400 828
+rect 16194 702300 21194 704800
+rect 68194 702300 73194 704800
+rect 120194 702300 125194 704800
+rect 165594 702300 170594 704800
+rect 170894 702300 173094 704800
+rect 173394 702300 175594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 222594 702300 224794 704800
+rect 225094 702300 227294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 324294 702300 326494 704800
+rect 326794 702300 328994 704800
+rect 329294 702300 334294 704800
+rect 413394 702300 418394 704800
+rect 465394 702300 470394 704800
+rect 510594 702340 515394 704800
+rect 520594 702340 525394 704800
+rect 18212 693240 19212 702300
+rect 70368 696872 71362 702300
+rect 69660 696354 72484 696872
+rect 69660 694884 70178 696354
+rect 71788 694884 72484 696354
+rect 69660 694364 72484 694884
+rect 17394 692626 20562 693240
+rect 17394 690664 17870 692626
+rect 19784 690664 20562 692626
+rect 17394 689914 20562 690664
+rect -800 683206 1700 685242
+rect -800 682206 59012 683206
+rect -800 680242 1700 682206
+rect 58012 678268 59012 682206
+rect 58012 677592 59016 678268
+rect -800 646740 1660 648642
+rect -800 646718 49814 646740
+rect -800 645740 49834 646718
+rect -800 643842 1660 645740
+rect -800 636544 1660 638642
+rect 48834 637342 49834 645740
+rect 47692 636696 50464 637342
+rect 47692 636544 48296 636696
+rect -800 635544 48296 636544
+rect -800 633842 1660 635544
+rect 47692 634798 48296 635544
+rect 49816 634798 50464 636696
+rect 47692 634060 50464 634798
+rect 58016 583270 59016 677592
+rect 122172 598314 123172 702300
+rect 415378 690218 416378 702300
+rect 414444 689506 417320 690218
+rect 414444 687546 415294 689506
+rect 416636 687546 417320 689506
+rect 414444 686780 417320 687546
+rect 467264 687942 468264 702300
+rect 512508 694762 513508 702340
+rect 510296 694224 514662 694762
+rect 522468 694224 523468 702340
+rect 566594 702300 571594 704800
+rect 568560 697348 569560 702300
+rect 510296 694002 523476 694224
+rect 510296 692036 511194 694002
+rect 513644 693224 523476 694002
+rect 513644 692036 514662 693224
+rect 510296 691044 514662 692036
+rect 568560 691702 569564 697348
+rect 568564 688620 569564 691702
+rect 474150 688022 476888 688440
+rect 474150 687942 474800 688022
+rect 467264 686942 474800 687942
+rect 474150 686560 474800 686942
+rect 476328 686560 476888 688022
+rect 474150 686024 476888 686560
+rect 566866 687990 570770 688620
+rect 566866 686404 567968 687990
+rect 569784 686404 570770 687990
+rect 566866 685874 570770 686404
+rect 582300 677984 584800 682984
+rect 540218 649446 543412 650066
+rect 540218 647716 540936 649446
+rect 542626 647716 543412 649446
+rect 540218 647096 543412 647716
+rect 541374 642614 542374 647096
+rect 582340 642614 584800 644584
+rect 541374 641614 584800 642614
+rect 541374 632648 542366 641614
+rect 582340 639784 584800 641614
+rect 582340 632648 584800 634584
+rect 541374 631648 584800 632648
+rect 541374 631634 542366 631648
+rect 582340 629784 584800 631648
+rect 445694 606624 448372 607200
+rect 445694 605022 446372 606624
+rect 447678 605022 448372 606624
+rect 445694 604412 448372 605022
+rect 122174 598030 123172 598314
+rect 122174 597030 212042 598030
+rect 122174 597026 123172 597030
+rect 201422 595870 203022 596084
+rect 201422 595226 201822 595870
+rect 202620 595226 203022 595870
+rect 201422 595022 203022 595226
+rect 199918 591152 201068 591452
+rect 199918 590408 200116 591152
+rect 200864 590408 201068 591152
+rect 199918 590102 201068 590408
+rect 58014 582270 200216 583270
+rect 58016 582268 59016 582270
+rect 211042 575776 212042 597030
+rect 583520 589472 584800 589584
+rect 583520 588290 584800 588402
+rect 583520 587108 584800 587220
+rect 583520 585926 584800 586038
+rect 583520 584744 584800 584856
+rect 583520 583562 584800 583674
+rect 446138 570582 448482 570950
+rect 446138 569270 446542 570582
+rect 448014 569270 448482 570582
+rect 446138 568944 448482 569270
+rect 201342 567260 203142 567536
+rect 201342 566274 201752 567260
+rect 202668 566274 203142 567260
+rect 201342 565940 203142 566274
+rect 211000 564290 213816 564878
+rect -800 562294 1660 564242
+rect 211000 563108 211520 564290
+rect 213170 563108 213816 564290
+rect 211000 562478 213816 563108
+rect -800 562250 40436 562294
+rect -800 561294 40450 562250
+rect -800 559442 1660 561294
+rect -800 551988 1660 554242
+rect 39450 553478 40450 561294
+rect 38142 552092 42012 553478
+rect 38142 552090 201728 552092
+rect 211832 552090 212842 562478
+rect 38142 551988 212848 552090
+rect -800 551092 212848 551988
+rect -800 550988 42012 551092
+rect -800 549442 1660 550988
+rect 38142 549844 42012 550988
+rect 582340 550562 584800 555362
+rect 582340 540562 584800 545362
+rect -800 511530 480 511642
+rect -800 510348 480 510460
+rect -800 509166 480 509278
+rect -800 507984 480 508096
+rect -800 506802 480 506914
+rect -800 505620 480 505732
+rect 583520 500050 584800 500162
+rect 583520 498868 584800 498980
+rect 583520 497686 584800 497798
+rect 583520 496504 584800 496616
+rect 583520 495322 584800 495434
+rect 583520 494140 584800 494252
+rect -800 468308 480 468420
+rect -800 467126 480 467238
+rect -800 465944 480 466056
+rect -800 464762 480 464874
+rect -800 463580 480 463692
+rect -800 462398 480 462510
+rect 583520 455628 584800 455740
+rect 583520 454446 584800 454558
+rect 583520 453264 584800 453376
+rect 583520 452082 584800 452194
+rect 583520 450900 584800 451012
+rect 583520 449718 584800 449830
+rect -800 425086 480 425198
+rect -800 423904 480 424016
+rect -800 422722 480 422834
+rect -800 421540 480 421652
+rect -800 420358 480 420470
+rect -800 419176 480 419288
+rect 583520 411206 584800 411318
+rect 583520 410024 584800 410136
+rect 583520 408842 584800 408954
+rect 583520 407660 584800 407772
+rect 583520 406478 584800 406590
+rect 583520 405296 584800 405408
+rect -800 381864 480 381976
+rect -800 380682 480 380794
+rect -800 379500 480 379612
+rect -800 378318 480 378430
+rect -800 377136 480 377248
+rect -800 375954 480 376066
+rect 583520 364784 584800 364896
+rect 583520 363602 584800 363714
+rect 583520 362420 584800 362532
+rect 583520 361238 584800 361350
+rect 583520 360056 584800 360168
+rect 583520 358874 584800 358986
+rect -800 338642 480 338754
+rect -800 337460 480 337572
+rect -800 336278 480 336390
+rect -800 335096 480 335208
+rect -800 333914 480 334026
+rect -800 332732 480 332844
+rect 583520 319562 584800 319674
+rect 583520 318380 584800 318492
+rect 583520 317198 584800 317310
+rect 583520 316016 584800 316128
+rect 583520 314834 584800 314946
+rect 583520 313652 584800 313764
+rect -800 295420 480 295532
+rect -800 294238 480 294350
+rect -800 293056 480 293168
+rect -800 291874 480 291986
+rect -800 290692 480 290804
+rect -800 289510 480 289622
+rect 583520 275140 584800 275252
+rect 583520 273958 584800 274070
+rect 583520 272776 584800 272888
+rect 583520 271594 584800 271706
+rect 583520 270412 584800 270524
+rect 583520 269230 584800 269342
+rect -800 252398 480 252510
+rect -800 251216 480 251328
+rect -800 250034 480 250146
+rect -800 248852 480 248964
+rect -800 247670 480 247782
+rect -800 246488 480 246600
+rect 582340 235230 584800 240030
+rect 582340 225230 584800 230030
+rect -800 214888 1660 219688
+rect -800 204888 1660 209688
+rect 582340 191430 584800 196230
+rect 582340 181430 584800 186230
+rect -800 172888 1660 177688
+rect -800 162888 1660 167688
+rect 582340 146830 584800 151630
+rect 582340 136830 584800 141630
+rect -800 124776 480 124888
+rect -800 123594 480 123706
+rect -800 122412 480 122524
+rect -800 121230 480 121342
+rect -800 120048 480 120160
+rect -800 118866 480 118978
+rect 583520 95118 584800 95230
+rect 583520 93936 584800 94048
+rect 583520 92754 584800 92866
+rect 583520 91572 584800 91684
+rect -800 81554 480 81666
+rect -800 80372 480 80484
+rect -800 79190 480 79302
+rect -800 78008 480 78120
+rect -800 76826 480 76938
+rect -800 75644 480 75756
+rect 583520 50460 584800 50572
+rect 583520 49278 584800 49390
+rect 583520 48096 584800 48208
+rect 583520 46914 584800 47026
+rect -800 38332 480 38444
+rect -800 37150 480 37262
+rect -800 35968 480 36080
+rect -800 34786 480 34898
+rect -800 33604 480 33716
+rect -800 32422 480 32534
+rect 583520 24002 584800 24114
+rect 583520 22820 584800 22932
+rect 583520 21638 584800 21750
+rect 583520 20456 584800 20568
+rect 583520 19274 584800 19386
+rect 583520 18092 584800 18204
+rect -800 16910 480 17022
+rect 583520 16910 584800 17022
+rect -800 15728 480 15840
+rect 583520 15728 584800 15840
+rect -800 14546 480 14658
+rect 583520 14546 584800 14658
+rect -800 13364 480 13476
+rect 583520 13364 584800 13476
+rect -800 12182 480 12294
+rect 583520 12182 584800 12294
+rect -800 11000 480 11112
+rect 583520 11000 584800 11112
+rect -800 9818 480 9930
+rect 583520 9818 584800 9930
+rect -800 8636 480 8748
+rect 583520 8636 584800 8748
+rect -800 7454 480 7566
+rect 583520 7454 584800 7566
+rect -800 6272 480 6384
+rect 583520 6272 584800 6384
+rect -800 5090 480 5202
+rect 583520 5090 584800 5202
+rect -800 3908 480 4020
+rect 583520 3908 584800 4020
+rect -800 2726 480 2838
+rect 583520 2726 584800 2838
+rect -800 1544 480 1656
+rect 583520 1544 584800 1656
 << via3 >>
-rect 35089 347442 35894 348177
-rect 8935 345332 9892 346313
-rect 24148 317399 24908 318348
-rect 207647 343773 208318 344753
-rect 255597 346018 256822 347001
-rect 237400 343280 238164 344011
-rect 283984 343202 284892 343995
-rect 270468 323858 271313 324723
-rect 223186 302511 223839 303312
-rect 100911 297613 101310 297935
-rect 100058 295204 100432 295576
-rect 223271 284635 224007 285291
-rect 100876 283137 101334 283630
-rect 19526 275348 20504 276291
+rect 70178 694884 71788 696354
+rect 17870 690664 19784 692626
+rect 48296 634798 49816 636696
+rect 415294 687546 416636 689506
+rect 511194 692036 513644 694002
+rect 474800 686560 476328 688022
+rect 567968 686404 569784 687990
+rect 540936 647716 542626 649446
+rect 446372 605022 447678 606624
+rect 201822 595226 202620 595870
+rect 200116 590408 200864 591152
+rect 446542 569270 448014 570582
+rect 201752 566274 202668 567260
+rect 211520 563108 213170 564290
 << metal4 >>
-rect 82797 351150 85297 352400
-rect 87947 351150 90447 352400
-rect 108647 351150 111147 352400
-rect 113797 351150 116297 352400
-rect 159497 351150 161997 352400
-rect 164647 351150 167147 352400
-rect 34830 348177 36242 348436
-rect 34830 347442 35089 348177
-rect 35894 347442 36242 348177
-rect 34830 347182 36242 347442
-rect 255148 347001 257331 347381
-rect 255148 346789 255597 347001
-rect 8697 346313 10281 346620
-rect 8697 345332 8935 346313
-rect 9892 345737 10281 346313
-rect 223224 346289 255597 346789
-rect 9892 345699 32316 345737
-rect 9892 345332 32320 345699
-rect 8697 345236 32320 345332
-rect 8697 344957 10281 345236
-rect 23846 318348 25232 318671
-rect 23846 317399 24148 318348
-rect 24908 317399 25232 318348
-rect 23846 317030 25232 317399
-rect 24334 279012 24808 317030
-rect 31820 295633 32320 345236
-rect 207222 344753 208660 345109
-rect 207222 343773 207647 344753
-rect 208318 343773 208660 344753
-rect 207222 343390 208660 343773
-rect 207708 304585 208208 343390
-rect 223224 342652 223724 346289
-rect 255148 346018 255597 346289
-rect 256822 346018 257331 347001
-rect 255148 345522 257331 346018
-rect 237075 344011 238444 344220
-rect 237075 343280 237400 344011
-rect 238164 343280 238444 344011
-rect 283433 343995 285385 344310
-rect 283433 343807 283984 343995
-rect 249058 343307 283984 343807
-rect 237075 343012 238444 343280
-rect 223224 341506 223727 342652
-rect 207707 304435 208208 304585
-rect 207707 304322 208207 304435
-rect 100711 297935 101511 298042
-rect 100711 297613 100911 297935
-rect 101310 297613 101511 297935
-rect 100711 297511 101511 297613
-rect 99959 295633 100534 295726
-rect 31820 295576 100534 295633
-rect 31820 295204 100058 295576
-rect 100432 295204 100534 295576
-rect 31820 295133 100534 295204
-rect 31820 295131 32320 295133
-rect 99959 295051 100534 295133
-rect 207708 288040 208207 304322
-rect 223227 303600 223727 341506
-rect 222847 303312 224186 303600
-rect 222847 302511 223186 303312
-rect 223839 302511 224186 303312
-rect 222847 302206 224186 302511
-rect 237408 288040 237907 343012
-rect 249061 342980 249549 343307
-rect 249055 342792 249549 342980
-rect 283433 343202 283984 343307
-rect 284892 343202 285385 343995
-rect 283433 342937 285385 343202
-rect 249055 340381 249543 342792
-rect 207708 287540 215308 288040
-rect 227708 287540 237907 288040
-rect 249041 338996 249543 340381
-rect 99264 285769 99930 285960
-rect 99264 285377 99449 285769
-rect 99719 285377 99930 285769
-rect 105815 285637 106870 286137
-rect 99264 285252 99930 285377
-rect 100671 283630 101571 283768
-rect 100671 283137 100876 283630
-rect 101334 283137 101571 283630
-rect 100671 282970 101571 283137
-rect 100839 279012 101338 282970
-rect 24334 278512 101338 279012
-rect 24334 278510 24808 278512
-rect 100839 278511 101338 278512
-rect 19071 276291 21006 276739
-rect 19071 275348 19526 276291
-rect 20504 275995 21006 276291
-rect 20504 275989 101399 275995
-rect 106370 275989 106870 285637
-rect 223069 285291 224241 285475
-rect 223069 284635 223271 285291
-rect 224007 285148 224241 285291
-rect 224007 285142 226541 285148
-rect 249041 285143 249540 338996
-rect 270109 324723 271706 325033
-rect 270109 323858 270468 324723
-rect 271313 323858 271706 324723
-rect 270109 323548 271706 323858
-rect 237874 285142 249540 285143
-rect 224007 284647 249540 285142
-rect 224007 284635 224241 284647
-rect 226473 284643 249540 284647
-rect 226473 284642 238137 284643
-rect 249041 284641 249540 284643
-rect 223069 284472 224241 284635
-rect 20504 275495 106870 275989
-rect 20504 275348 21006 275495
-rect 19071 274922 21006 275348
+rect 165594 702300 170594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 329294 702300 334294 704800
+rect 69660 696354 72484 696872
+rect 69660 694884 70178 696354
+rect 71788 694884 72484 696354
+rect 69660 694364 72484 694884
+rect 510296 694002 514662 694762
+rect 510296 693578 511194 694002
+rect 17394 692626 20562 693240
+rect 17394 690664 17870 692626
+rect 19784 691474 20562 692626
+rect 446448 692578 511194 693578
+rect 19784 691398 64632 691474
+rect 19784 690664 64640 691398
+rect 17394 690472 64640 690664
+rect 17394 689914 20562 690472
+rect 47692 636696 50464 637342
+rect 47692 634798 48296 636696
+rect 49816 634798 50464 636696
+rect 47692 634060 50464 634798
+rect 48668 558024 49616 634060
+rect 63640 591266 64640 690472
+rect 414444 689506 417320 690218
+rect 414444 687546 415294 689506
+rect 416636 687546 417320 689506
+rect 414444 686780 417320 687546
+rect 415416 609170 416416 686780
+rect 446448 685304 447448 692578
+rect 510296 692036 511194 692578
+rect 513644 692036 514662 694002
+rect 510296 691044 514662 692036
+rect 474150 688022 476888 688440
+rect 474150 686560 474800 688022
+rect 476328 686560 476888 688022
+rect 566866 687990 570770 688620
+rect 566866 687614 567968 687990
+rect 498116 686614 567968 687614
+rect 474150 686024 476888 686560
+rect 446448 683012 447454 685304
+rect 415414 608870 416416 609170
+rect 415414 608644 416414 608870
+rect 201422 595870 203022 596084
+rect 201422 595226 201822 595870
+rect 202620 595226 203022 595870
+rect 201422 595022 203022 595226
+rect 199918 591266 201068 591452
+rect 63640 591152 201068 591266
+rect 63640 590408 200116 591152
+rect 200864 590408 201068 591152
+rect 63640 590266 201068 590408
+rect 63640 590262 64640 590266
+rect 199918 590102 201068 590266
+rect 415416 576080 416414 608644
+rect 446454 607200 447454 683012
+rect 445694 606624 448372 607200
+rect 445694 605022 446372 606624
+rect 447678 605022 448372 606624
+rect 445694 604412 448372 605022
+rect 443276 599364 444118 599550
+rect 443276 598752 443470 599364
+rect 443998 599042 444118 599364
+rect 443998 598922 445772 599042
+rect 443998 598752 444118 598922
+rect 443276 598514 444118 598752
+rect 445678 598326 445768 598922
+rect 474816 576080 475814 686024
+rect 498122 685960 499098 686614
+rect 498110 685584 499098 685960
+rect 566866 686404 567968 686614
+rect 569784 686404 570770 687990
+rect 566866 685874 570770 686404
+rect 498110 680762 499086 685584
+rect 415416 575080 430616 576080
+rect 455416 575080 475814 576080
+rect 498082 677992 499086 680762
+rect 198528 571538 199860 571920
+rect 198528 570754 198898 571538
+rect 199438 570754 199860 571538
+rect 198528 570504 199860 570754
+rect 201342 567260 203142 567536
+rect 201342 566274 201752 567260
+rect 202668 566274 203142 567260
+rect 201342 565940 203142 566274
+rect 201678 558068 202676 565940
+rect 211834 564878 212832 572604
+rect 446138 570582 448482 570950
+rect 446138 569270 446542 570582
+rect 448014 570296 448482 570582
+rect 448014 570284 453082 570296
+rect 498082 570286 499080 677992
+rect 540218 649446 543412 650066
+rect 540218 647716 540936 649446
+rect 542626 647716 543412 649446
+rect 540218 647096 543412 647716
+rect 475748 570284 499080 570286
+rect 448014 569294 499080 570284
+rect 448014 569270 448482 569294
+rect 452946 569286 499080 569294
+rect 452946 569284 476274 569286
+rect 498082 569282 499080 569286
+rect 446138 568944 448482 569270
+rect 211000 564290 213816 564878
+rect 211000 563108 211520 564290
+rect 213170 563108 213816 564290
+rect 211000 562478 213816 563108
+rect 366028 558954 370428 560142
+rect 353756 558086 355300 558090
+rect 366028 558086 367238 558954
+rect 353756 558068 367238 558086
+rect 199646 558024 367238 558068
+rect 48668 557814 367238 558024
+rect 48668 557086 367112 557814
+rect 48668 557068 355300 557086
+rect 48668 557024 199878 557068
+rect 353756 557064 355300 557068
+rect 48668 557020 49616 557024
+rect 366028 556764 367238 556814
+rect 369240 556764 370428 558954
+rect 366028 555826 370428 556764
 << via4 >>
-rect 35089 347442 35894 348177
-rect 100911 297613 101310 297935
-rect 99449 285377 99719 285769
-rect 270468 323858 271313 324723
+rect 70178 694884 71788 696354
+rect 201822 595226 202620 595870
+rect 443470 598752 443998 599364
+rect 198898 570754 199438 571538
+rect 540936 647716 542626 649446
+rect 367238 556764 369240 558954
 << metal5 >>
-rect 82797 351150 85297 352400
-rect 87947 351150 90447 352400
-rect 108647 351150 111147 352400
-rect 113797 351150 116297 352400
-rect 159497 351150 161997 352400
-rect 164647 351150 167147 352400
-rect 34830 348177 36242 348436
-rect 34830 347442 35089 348177
-rect 35894 347870 36242 348177
-rect 44378 347870 44867 347873
-rect 35894 347442 44874 347870
-rect 34830 347367 44874 347442
-rect 34830 347182 36242 347367
-rect 44378 346885 44867 347367
-rect 44375 346708 44867 346885
-rect 44375 333348 44866 346708
-rect 44375 331434 44889 333348
-rect 44389 285854 44889 331434
-rect 270109 324723 271706 325033
-rect 270109 324604 270468 324723
-rect 100883 324104 270468 324604
-rect 100885 298042 101348 324104
-rect 270109 323858 270468 324104
-rect 271313 323858 271706 324723
-rect 270109 323548 271706 323858
-rect 100711 297935 101511 298042
-rect 100711 297613 100911 297935
-rect 101310 297613 101511 297935
-rect 100711 297511 101511 297613
-rect 99264 285854 99930 285960
-rect 44389 285769 99930 285854
-rect 44389 285377 99449 285769
-rect 99719 285377 99930 285769
-rect 44389 285354 99930 285377
-rect 44389 285348 44889 285354
-rect 99264 285252 99930 285354
+rect 165594 702300 170594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 329294 702300 334294 704800
+rect 69660 696354 72484 696872
+rect 69660 694884 70178 696354
+rect 71788 695740 72484 696354
+rect 88756 695740 89734 695746
+rect 71788 694884 89748 695740
+rect 69660 694734 89748 694884
+rect 69660 694364 72484 694734
+rect 88756 693770 89734 694734
+rect 88750 693416 89734 693770
+rect 88750 666696 89732 693416
+rect 88750 662868 89778 666696
+rect 88778 571708 89778 662868
+rect 540218 649446 543412 650066
+rect 540218 649208 540936 649446
+rect 201766 648208 540936 649208
+rect 201770 596084 202696 648208
+rect 540218 647716 540936 648208
+rect 542626 647716 543412 649446
+rect 540218 647096 543412 647716
+rect 368054 599364 444126 599546
+rect 368054 598752 443470 599364
+rect 443998 598752 444126 599364
+rect 368054 598514 444126 598752
+rect 201422 595870 203022 596084
+rect 201422 595226 201822 595870
+rect 202620 595226 203022 595870
+rect 201422 595022 203022 595226
+rect 198528 571708 199860 571920
+rect 88778 571538 199860 571708
+rect 88778 570754 198898 571538
+rect 199438 570754 199860 571538
+rect 88778 570708 199860 570754
+rect 88778 570696 89778 570708
+rect 198528 570504 199860 570708
+rect 368054 560142 368980 598514
+rect 366028 558954 370428 560142
+rect 366028 556764 367238 558954
+rect 369240 556764 370428 558954
+rect 366028 555826 370428 556764
 << comment >>
-rect -50 352000 292050 352050
-rect -50 0 0 352000
-rect 292000 0 292050 352000
-rect -50 -50 292050 0
+rect -100 704000 584100 704100
+rect -100 0 0 704000
+rect 584000 0 584100 704000
+rect -100 -100 584100 0
 use detV2 *detV2_0
-timestamp 1648041187
-transform 1 0 246489 0 1 300417
-box -32487 -13471 -12750 -1482
+timestamp 1648177833
+transform 1 0 492978 0 1 600834
+box -64974 -26942 -25500 -2417
 use otaV5 *otaV5_0
 timestamp 1647895533
-transform 1 0 97011 0 1 284708
-box 2758 -1403 9010 6511
+transform 1 0 194022 0 1 569416
+box 5516 -2806 18020 13022
 << labels >>
-flabel metal3 s 291760 134615 292400 134671 0 FreeSans 560 0 0 0 gpio_analog[0]
+flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
 port 0 nsew signal bidirectional
-flabel metal3 s -400 190932 240 190988 0 FreeSans 560 0 0 0 gpio_analog[10]
+flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10]
 port 1 nsew signal bidirectional
-flabel metal3 s -400 169321 240 169377 0 FreeSans 560 0 0 0 gpio_analog[11]
+flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11]
 port 2 nsew signal bidirectional
-flabel metal3 s -400 147710 240 147766 0 FreeSans 560 0 0 0 gpio_analog[12]
+flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12]
 port 3 nsew signal bidirectional
-flabel metal3 s -400 126199 240 126255 0 FreeSans 560 0 0 0 gpio_analog[13]
+flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13]
 port 4 nsew signal bidirectional
-flabel metal3 s -400 62388 240 62444 0 FreeSans 560 0 0 0 gpio_analog[14]
+flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14]
 port 5 nsew signal bidirectional
-flabel metal3 s -400 40777 240 40833 0 FreeSans 560 0 0 0 gpio_analog[15]
+flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15]
 port 6 nsew signal bidirectional
-flabel metal3 s -400 19166 240 19222 0 FreeSans 560 0 0 0 gpio_analog[16]
+flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16]
 port 7 nsew signal bidirectional
-flabel metal3 s -400 8455 240 8511 0 FreeSans 560 0 0 0 gpio_analog[17]
+flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17]
 port 8 nsew signal bidirectional
-flabel metal3 s 291760 156826 292400 156882 0 FreeSans 560 0 0 0 gpio_analog[1]
+flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1]
 port 9 nsew signal bidirectional
-flabel metal3 s 291760 179437 292400 179493 0 FreeSans 560 0 0 0 gpio_analog[2]
+flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2]
 port 10 nsew signal bidirectional
-flabel metal3 s 291760 202648 292400 202704 0 FreeSans 560 0 0 0 gpio_analog[3]
+flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3]
 port 11 nsew signal bidirectional
-flabel metal3 s 291760 224859 292400 224915 0 FreeSans 560 0 0 0 gpio_analog[4]
+flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4]
 port 12 nsew signal bidirectional
-flabel metal3 s 291760 247070 292400 247126 0 FreeSans 560 0 0 0 gpio_analog[5]
+flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5]
 port 13 nsew signal bidirectional
-flabel metal3 s 291760 291781 292400 291837 0 FreeSans 560 0 0 0 gpio_analog[6]
+flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6]
 port 14 nsew signal bidirectional
-flabel metal3 s -400 255765 240 255821 0 FreeSans 560 0 0 0 gpio_analog[7]
+flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7]
 port 15 nsew signal bidirectional
-flabel metal3 s -400 234154 240 234210 0 FreeSans 560 0 0 0 gpio_analog[8]
+flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8]
 port 16 nsew signal bidirectional
-flabel metal3 s -400 212543 240 212599 0 FreeSans 560 0 0 0 gpio_analog[9]
+flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9]
 port 17 nsew signal bidirectional
-flabel metal3 s 291760 135206 292400 135262 0 FreeSans 560 0 0 0 gpio_noesd[0]
+flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0]
 port 18 nsew signal bidirectional
-flabel metal3 s -400 190341 240 190397 0 FreeSans 560 0 0 0 gpio_noesd[10]
+flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10]
 port 19 nsew signal bidirectional
-flabel metal3 s -400 168730 240 168786 0 FreeSans 560 0 0 0 gpio_noesd[11]
+flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11]
 port 20 nsew signal bidirectional
-flabel metal3 s -400 147119 240 147175 0 FreeSans 560 0 0 0 gpio_noesd[12]
+flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12]
 port 21 nsew signal bidirectional
-flabel metal3 s -400 125608 240 125664 0 FreeSans 560 0 0 0 gpio_noesd[13]
+flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13]
 port 22 nsew signal bidirectional
-flabel metal3 s -400 61797 240 61853 0 FreeSans 560 0 0 0 gpio_noesd[14]
+flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14]
 port 23 nsew signal bidirectional
-flabel metal3 s -400 40186 240 40242 0 FreeSans 560 0 0 0 gpio_noesd[15]
+flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15]
 port 24 nsew signal bidirectional
-flabel metal3 s -400 18575 240 18631 0 FreeSans 560 0 0 0 gpio_noesd[16]
+flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16]
 port 25 nsew signal bidirectional
-flabel metal3 s -400 7864 240 7920 0 FreeSans 560 0 0 0 gpio_noesd[17]
+flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17]
 port 26 nsew signal bidirectional
-flabel metal3 s 291760 157417 292400 157473 0 FreeSans 560 0 0 0 gpio_noesd[1]
+flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1]
 port 27 nsew signal bidirectional
-flabel metal3 s 291760 180028 292400 180084 0 FreeSans 560 0 0 0 gpio_noesd[2]
+flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2]
 port 28 nsew signal bidirectional
-flabel metal3 s 291760 203239 292400 203295 0 FreeSans 560 0 0 0 gpio_noesd[3]
+flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3]
 port 29 nsew signal bidirectional
-flabel metal3 s 291760 225450 292400 225506 0 FreeSans 560 0 0 0 gpio_noesd[4]
+flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4]
 port 30 nsew signal bidirectional
-flabel metal3 s 291760 247661 292400 247717 0 FreeSans 560 0 0 0 gpio_noesd[5]
+flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5]
 port 31 nsew signal bidirectional
-flabel metal3 s 291760 292372 292400 292428 0 FreeSans 560 0 0 0 gpio_noesd[6]
+flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6]
 port 32 nsew signal bidirectional
-flabel metal3 s -400 255174 240 255230 0 FreeSans 560 0 0 0 gpio_noesd[7]
+flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7]
 port 33 nsew signal bidirectional
-flabel metal3 s -400 233563 240 233619 0 FreeSans 560 0 0 0 gpio_noesd[8]
+flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8]
 port 34 nsew signal bidirectional
-flabel metal3 s -400 211952 240 212008 0 FreeSans 560 0 0 0 gpio_noesd[9]
+flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9]
 port 35 nsew signal bidirectional
-flabel metal3 s 291150 338992 292400 341492 0 FreeSans 560 0 0 0 io_analog[0]
+flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0]
 port 36 nsew signal bidirectional
-flabel metal3 s 0 340121 850 342621 0 FreeSans 560 0 0 0 io_analog[10]
+flabel metal3 s 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10]
 port 37 nsew signal bidirectional
-flabel metal3 s 283297 351150 285797 352400 0 FreeSans 960 180 0 0 io_analog[1]
+flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1]
 port 38 nsew signal bidirectional
-flabel metal3 s 232697 351150 235197 352400 0 FreeSans 960 180 0 0 io_analog[2]
+flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2]
 port 39 nsew signal bidirectional
-flabel metal3 s 206697 351150 209197 352400 0 FreeSans 960 180 0 0 io_analog[3]
+flabel metal3 s 413394 702300 418394 704800 0 FreeSans 1920 180 0 0 io_analog[3]
 port 40 nsew signal bidirectional
-flabel metal3 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4]
+flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
 port 41 nsew signal bidirectional
-flabel metal4 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4]
+flabel metal4 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
 port 41 nsew signal bidirectional
-flabel metal5 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4]
+flabel metal5 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
 port 41 nsew signal bidirectional
-flabel metal3 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5]
+flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
 port 42 nsew signal bidirectional
-flabel metal4 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5]
+flabel metal4 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
 port 42 nsew signal bidirectional
-flabel metal5 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5]
+flabel metal5 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
 port 42 nsew signal bidirectional
-flabel metal3 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6]
+flabel metal3 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
 port 43 nsew signal bidirectional
-flabel metal4 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6]
+flabel metal4 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
 port 43 nsew signal bidirectional
-flabel metal5 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6]
+flabel metal5 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
 port 43 nsew signal bidirectional
-flabel metal3 s 60097 351150 62597 352400 0 FreeSans 960 180 0 0 io_analog[7]
+flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
 port 44 nsew signal bidirectional
-flabel metal3 s 34097 351150 36597 352400 0 FreeSans 960 180 0 0 io_analog[8]
+flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8]
 port 45 nsew signal bidirectional
-flabel metal3 s 8097 351150 10597 352400 0 FreeSans 960 180 0 0 io_analog[9]
+flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9]
 port 46 nsew signal bidirectional
-flabel metal3 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4]
+flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
 port 47 nsew signal bidirectional
-flabel metal4 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4]
+flabel metal4 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
 port 47 nsew signal bidirectional
-flabel metal5 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4]
+flabel metal5 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
 port 47 nsew signal bidirectional
-flabel metal3 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5]
+flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
 port 48 nsew signal bidirectional
-flabel metal4 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5]
+flabel metal4 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
 port 48 nsew signal bidirectional
-flabel metal5 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5]
+flabel metal5 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
 port 48 nsew signal bidirectional
-flabel metal3 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6]
+flabel metal3 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
 port 49 nsew signal bidirectional
-flabel metal4 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6]
+flabel metal4 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
 port 49 nsew signal bidirectional
-flabel metal5 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6]
+flabel metal5 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
 port 49 nsew signal bidirectional
-flabel metal3 s 163397 351150 164497 352400 0 FreeSans 960 180 0 0 io_clamp_high[0]
+flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0]
 port 50 nsew signal bidirectional
-flabel metal3 s 112547 351150 113647 352400 0 FreeSans 960 180 0 0 io_clamp_high[1]
+flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1]
 port 51 nsew signal bidirectional
-flabel metal3 s 86697 351150 87797 352400 0 FreeSans 960 180 0 0 io_clamp_high[2]
+flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2]
 port 52 nsew signal bidirectional
-flabel metal3 s 162147 351150 163247 352400 0 FreeSans 960 180 0 0 io_clamp_low[0]
+flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0]
 port 53 nsew signal bidirectional
-flabel metal3 s 111297 351150 112397 352400 0 FreeSans 960 180 0 0 io_clamp_low[1]
+flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1]
 port 54 nsew signal bidirectional
-flabel metal3 s 85447 351150 86547 352400 0 FreeSans 960 180 0 0 io_clamp_low[2]
+flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2]
 port 55 nsew signal bidirectional
-flabel metal3 s 291760 1363 292400 1419 0 FreeSans 560 0 0 0 io_in[0]
+flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0]
 port 56 nsew signal input
-flabel metal3 s 291760 204421 292400 204477 0 FreeSans 560 0 0 0 io_in[10]
+flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10]
 port 57 nsew signal input
-flabel metal3 s 291760 226632 292400 226688 0 FreeSans 560 0 0 0 io_in[11]
+flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11]
 port 58 nsew signal input
-flabel metal3 s 291760 248843 292400 248899 0 FreeSans 560 0 0 0 io_in[12]
+flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12]
 port 59 nsew signal input
-flabel metal3 s 291760 293554 292400 293610 0 FreeSans 560 0 0 0 io_in[13]
+flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13]
 port 60 nsew signal input
-flabel metal3 s -400 253992 240 254048 0 FreeSans 560 0 0 0 io_in[14]
+flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14]
 port 61 nsew signal input
-flabel metal3 s -400 232381 240 232437 0 FreeSans 560 0 0 0 io_in[15]
+flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15]
 port 62 nsew signal input
-flabel metal3 s -400 210770 240 210826 0 FreeSans 560 0 0 0 io_in[16]
+flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16]
 port 63 nsew signal input
-flabel metal3 s -400 189159 240 189215 0 FreeSans 560 0 0 0 io_in[17]
+flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17]
 port 64 nsew signal input
-flabel metal3 s -400 167548 240 167604 0 FreeSans 560 0 0 0 io_in[18]
+flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18]
 port 65 nsew signal input
-flabel metal3 s -400 145937 240 145993 0 FreeSans 560 0 0 0 io_in[19]
+flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19]
 port 66 nsew signal input
-flabel metal3 s 291760 3727 292400 3783 0 FreeSans 560 0 0 0 io_in[1]
+flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1]
 port 67 nsew signal input
-flabel metal3 s -400 124426 240 124482 0 FreeSans 560 0 0 0 io_in[20]
+flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20]
 port 68 nsew signal input
-flabel metal3 s -400 60615 240 60671 0 FreeSans 560 0 0 0 io_in[21]
+flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21]
 port 69 nsew signal input
-flabel metal3 s -400 39004 240 39060 0 FreeSans 560 0 0 0 io_in[22]
+flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22]
 port 70 nsew signal input
-flabel metal3 s -400 17393 240 17449 0 FreeSans 560 0 0 0 io_in[23]
+flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23]
 port 71 nsew signal input
-flabel metal3 s -400 6682 240 6738 0 FreeSans 560 0 0 0 io_in[24]
+flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24]
 port 72 nsew signal input
-flabel metal3 s -400 4318 240 4374 0 FreeSans 560 0 0 0 io_in[25]
+flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25]
 port 73 nsew signal input
-flabel metal3 s -400 1954 240 2010 0 FreeSans 560 0 0 0 io_in[26]
+flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26]
 port 74 nsew signal input
-flabel metal3 s 291760 6091 292400 6147 0 FreeSans 560 0 0 0 io_in[2]
+flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2]
 port 75 nsew signal input
-flabel metal3 s 291760 8455 292400 8511 0 FreeSans 560 0 0 0 io_in[3]
+flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3]
 port 76 nsew signal input
-flabel metal3 s 291760 10819 292400 10875 0 FreeSans 560 0 0 0 io_in[4]
+flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4]
 port 77 nsew signal input
-flabel metal3 s 291760 24048 292400 24104 0 FreeSans 560 0 0 0 io_in[5]
+flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5]
 port 78 nsew signal input
-flabel metal3 s 291760 46377 292400 46433 0 FreeSans 560 0 0 0 io_in[6]
+flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6]
 port 79 nsew signal input
-flabel metal3 s 291760 136388 292400 136444 0 FreeSans 560 0 0 0 io_in[7]
+flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7]
 port 80 nsew signal input
-flabel metal3 s 291760 158599 292400 158655 0 FreeSans 560 0 0 0 io_in[8]
+flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8]
 port 81 nsew signal input
-flabel metal3 s 291760 181210 292400 181266 0 FreeSans 560 0 0 0 io_in[9]
+flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9]
 port 82 nsew signal input
-flabel metal3 s 291760 772 292400 828 0 FreeSans 560 0 0 0 io_in_3v3[0]
+flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0]
 port 83 nsew signal input
-flabel metal3 s 291760 203830 292400 203886 0 FreeSans 560 0 0 0 io_in_3v3[10]
+flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10]
 port 84 nsew signal input
-flabel metal3 s 291760 226041 292400 226097 0 FreeSans 560 0 0 0 io_in_3v3[11]
+flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11]
 port 85 nsew signal input
-flabel metal3 s 291760 248252 292400 248308 0 FreeSans 560 0 0 0 io_in_3v3[12]
+flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12]
 port 86 nsew signal input
-flabel metal3 s 291760 292963 292400 293019 0 FreeSans 560 0 0 0 io_in_3v3[13]
+flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13]
 port 87 nsew signal input
-flabel metal3 s -400 254583 240 254639 0 FreeSans 560 0 0 0 io_in_3v3[14]
+flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14]
 port 88 nsew signal input
-flabel metal3 s -400 232972 240 233028 0 FreeSans 560 0 0 0 io_in_3v3[15]
+flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15]
 port 89 nsew signal input
-flabel metal3 s -400 211361 240 211417 0 FreeSans 560 0 0 0 io_in_3v3[16]
+flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16]
 port 90 nsew signal input
-flabel metal3 s -400 189750 240 189806 0 FreeSans 560 0 0 0 io_in_3v3[17]
+flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17]
 port 91 nsew signal input
-flabel metal3 s -400 168139 240 168195 0 FreeSans 560 0 0 0 io_in_3v3[18]
+flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18]
 port 92 nsew signal input
-flabel metal3 s -400 146528 240 146584 0 FreeSans 560 0 0 0 io_in_3v3[19]
+flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19]
 port 93 nsew signal input
-flabel metal3 s 291760 3136 292400 3192 0 FreeSans 560 0 0 0 io_in_3v3[1]
+flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1]
 port 94 nsew signal input
-flabel metal3 s -400 125017 240 125073 0 FreeSans 560 0 0 0 io_in_3v3[20]
+flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20]
 port 95 nsew signal input
-flabel metal3 s -400 61206 240 61262 0 FreeSans 560 0 0 0 io_in_3v3[21]
+flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21]
 port 96 nsew signal input
-flabel metal3 s -400 39595 240 39651 0 FreeSans 560 0 0 0 io_in_3v3[22]
+flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22]
 port 97 nsew signal input
-flabel metal3 s -400 17984 240 18040 0 FreeSans 560 0 0 0 io_in_3v3[23]
+flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23]
 port 98 nsew signal input
-flabel metal3 s -400 7273 240 7329 0 FreeSans 560 0 0 0 io_in_3v3[24]
+flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24]
 port 99 nsew signal input
-flabel metal3 s -400 4909 240 4965 0 FreeSans 560 0 0 0 io_in_3v3[25]
+flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25]
 port 100 nsew signal input
-flabel metal3 s -400 2545 240 2601 0 FreeSans 560 0 0 0 io_in_3v3[26]
+flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26]
 port 101 nsew signal input
-flabel metal3 s 291760 5500 292400 5556 0 FreeSans 560 0 0 0 io_in_3v3[2]
+flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2]
 port 102 nsew signal input
-flabel metal3 s 291760 7864 292400 7920 0 FreeSans 560 0 0 0 io_in_3v3[3]
+flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3]
 port 103 nsew signal input
-flabel metal3 s 291760 10228 292400 10284 0 FreeSans 560 0 0 0 io_in_3v3[4]
+flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4]
 port 104 nsew signal input
-flabel metal3 s 291760 23457 292400 23513 0 FreeSans 560 0 0 0 io_in_3v3[5]
+flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5]
 port 105 nsew signal input
-flabel metal3 s 291760 45786 292400 45842 0 FreeSans 560 0 0 0 io_in_3v3[6]
+flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6]
 port 106 nsew signal input
-flabel metal3 s 291760 135797 292400 135853 0 FreeSans 560 0 0 0 io_in_3v3[7]
+flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7]
 port 107 nsew signal input
-flabel metal3 s 291760 158008 292400 158064 0 FreeSans 560 0 0 0 io_in_3v3[8]
+flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8]
 port 108 nsew signal input
-flabel metal3 s 291760 180619 292400 180675 0 FreeSans 560 0 0 0 io_in_3v3[9]
+flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9]
 port 109 nsew signal input
-flabel metal3 s 291760 2545 292400 2601 0 FreeSans 560 0 0 0 io_oeb[0]
+flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0]
 port 110 nsew signal tristate
-flabel metal3 s 291760 205603 292400 205659 0 FreeSans 560 0 0 0 io_oeb[10]
+flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10]
 port 111 nsew signal tristate
-flabel metal3 s 291760 227814 292400 227870 0 FreeSans 560 0 0 0 io_oeb[11]
+flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11]
 port 112 nsew signal tristate
-flabel metal3 s 291760 250025 292400 250081 0 FreeSans 560 0 0 0 io_oeb[12]
+flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12]
 port 113 nsew signal tristate
-flabel metal3 s 291760 294736 292400 294792 0 FreeSans 560 0 0 0 io_oeb[13]
+flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13]
 port 114 nsew signal tristate
-flabel metal3 s -400 252810 240 252866 0 FreeSans 560 0 0 0 io_oeb[14]
+flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14]
 port 115 nsew signal tristate
-flabel metal3 s -400 231199 240 231255 0 FreeSans 560 0 0 0 io_oeb[15]
+flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15]
 port 116 nsew signal tristate
-flabel metal3 s -400 209588 240 209644 0 FreeSans 560 0 0 0 io_oeb[16]
+flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16]
 port 117 nsew signal tristate
-flabel metal3 s -400 187977 240 188033 0 FreeSans 560 0 0 0 io_oeb[17]
+flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17]
 port 118 nsew signal tristate
-flabel metal3 s -400 166366 240 166422 0 FreeSans 560 0 0 0 io_oeb[18]
+flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18]
 port 119 nsew signal tristate
-flabel metal3 s -400 144755 240 144811 0 FreeSans 560 0 0 0 io_oeb[19]
+flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19]
 port 120 nsew signal tristate
-flabel metal3 s 291760 4909 292400 4965 0 FreeSans 560 0 0 0 io_oeb[1]
+flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1]
 port 121 nsew signal tristate
-flabel metal3 s -400 123244 240 123300 0 FreeSans 560 0 0 0 io_oeb[20]
+flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20]
 port 122 nsew signal tristate
-flabel metal3 s -400 59433 240 59489 0 FreeSans 560 0 0 0 io_oeb[21]
+flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21]
 port 123 nsew signal tristate
-flabel metal3 s -400 37822 240 37878 0 FreeSans 560 0 0 0 io_oeb[22]
+flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22]
 port 124 nsew signal tristate
-flabel metal3 s -400 16211 240 16267 0 FreeSans 560 0 0 0 io_oeb[23]
+flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23]
 port 125 nsew signal tristate
-flabel metal3 s -400 5500 240 5556 0 FreeSans 560 0 0 0 io_oeb[24]
+flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24]
 port 126 nsew signal tristate
-flabel metal3 s -400 3136 240 3192 0 FreeSans 560 0 0 0 io_oeb[25]
+flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25]
 port 127 nsew signal tristate
-flabel metal3 s -400 772 240 828 0 FreeSans 560 0 0 0 io_oeb[26]
+flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26]
 port 128 nsew signal tristate
-flabel metal3 s 291760 7273 292400 7329 0 FreeSans 560 0 0 0 io_oeb[2]
+flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2]
 port 129 nsew signal tristate
-flabel metal3 s 291760 9637 292400 9693 0 FreeSans 560 0 0 0 io_oeb[3]
+flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3]
 port 130 nsew signal tristate
-flabel metal3 s 291760 12001 292400 12057 0 FreeSans 560 0 0 0 io_oeb[4]
+flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4]
 port 131 nsew signal tristate
-flabel metal3 s 291760 25230 292400 25286 0 FreeSans 560 0 0 0 io_oeb[5]
+flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5]
 port 132 nsew signal tristate
-flabel metal3 s 291760 47559 292400 47615 0 FreeSans 560 0 0 0 io_oeb[6]
+flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6]
 port 133 nsew signal tristate
-flabel metal3 s 291760 137570 292400 137626 0 FreeSans 560 0 0 0 io_oeb[7]
+flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7]
 port 134 nsew signal tristate
-flabel metal3 s 291760 159781 292400 159837 0 FreeSans 560 0 0 0 io_oeb[8]
+flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8]
 port 135 nsew signal tristate
-flabel metal3 s 291760 182392 292400 182448 0 FreeSans 560 0 0 0 io_oeb[9]
+flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9]
 port 136 nsew signal tristate
-flabel metal3 s 291760 1954 292400 2010 0 FreeSans 560 0 0 0 io_out[0]
+flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0]
 port 137 nsew signal tristate
-flabel metal3 s 291760 205012 292400 205068 0 FreeSans 560 0 0 0 io_out[10]
+flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10]
 port 138 nsew signal tristate
-flabel metal3 s 291760 227223 292400 227279 0 FreeSans 560 0 0 0 io_out[11]
+flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11]
 port 139 nsew signal tristate
-flabel metal3 s 291760 249434 292400 249490 0 FreeSans 560 0 0 0 io_out[12]
+flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12]
 port 140 nsew signal tristate
-flabel metal3 s 291760 294145 292400 294201 0 FreeSans 560 0 0 0 io_out[13]
+flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13]
 port 141 nsew signal tristate
-flabel metal3 s -400 253401 240 253457 0 FreeSans 560 0 0 0 io_out[14]
+flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14]
 port 142 nsew signal tristate
-flabel metal3 s -400 231790 240 231846 0 FreeSans 560 0 0 0 io_out[15]
+flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15]
 port 143 nsew signal tristate
-flabel metal3 s -400 210179 240 210235 0 FreeSans 560 0 0 0 io_out[16]
+flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16]
 port 144 nsew signal tristate
-flabel metal3 s -400 188568 240 188624 0 FreeSans 560 0 0 0 io_out[17]
+flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17]
 port 145 nsew signal tristate
-flabel metal3 s -400 166957 240 167013 0 FreeSans 560 0 0 0 io_out[18]
+flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18]
 port 146 nsew signal tristate
-flabel metal3 s -400 145346 240 145402 0 FreeSans 560 0 0 0 io_out[19]
+flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19]
 port 147 nsew signal tristate
-flabel metal3 s 291760 4318 292400 4374 0 FreeSans 560 0 0 0 io_out[1]
+flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1]
 port 148 nsew signal tristate
-flabel metal3 s -400 123835 240 123891 0 FreeSans 560 0 0 0 io_out[20]
+flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20]
 port 149 nsew signal tristate
-flabel metal3 s -400 60024 240 60080 0 FreeSans 560 0 0 0 io_out[21]
+flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21]
 port 150 nsew signal tristate
-flabel metal3 s -400 38413 240 38469 0 FreeSans 560 0 0 0 io_out[22]
+flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22]
 port 151 nsew signal tristate
-flabel metal3 s -400 16802 240 16858 0 FreeSans 560 0 0 0 io_out[23]
+flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23]
 port 152 nsew signal tristate
-flabel metal3 s -400 6091 240 6147 0 FreeSans 560 0 0 0 io_out[24]
+flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24]
 port 153 nsew signal tristate
-flabel metal3 s -400 3727 240 3783 0 FreeSans 560 0 0 0 io_out[25]
+flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25]
 port 154 nsew signal tristate
-flabel metal3 s -400 1363 240 1419 0 FreeSans 560 0 0 0 io_out[26]
+flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26]
 port 155 nsew signal tristate
-flabel metal3 s 291760 6682 292400 6738 0 FreeSans 560 0 0 0 io_out[2]
+flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2]
 port 156 nsew signal tristate
-flabel metal3 s 291760 9046 292400 9102 0 FreeSans 560 0 0 0 io_out[3]
+flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3]
 port 157 nsew signal tristate
-flabel metal3 s 291760 11410 292400 11466 0 FreeSans 560 0 0 0 io_out[4]
+flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4]
 port 158 nsew signal tristate
-flabel metal3 s 291760 24639 292400 24695 0 FreeSans 560 0 0 0 io_out[5]
+flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5]
 port 159 nsew signal tristate
-flabel metal3 s 291760 46968 292400 47024 0 FreeSans 560 0 0 0 io_out[6]
+flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6]
 port 160 nsew signal tristate
-flabel metal3 s 291760 136979 292400 137035 0 FreeSans 560 0 0 0 io_out[7]
+flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7]
 port 161 nsew signal tristate
-flabel metal3 s 291760 159190 292400 159246 0 FreeSans 560 0 0 0 io_out[8]
+flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8]
 port 162 nsew signal tristate
-flabel metal3 s 291760 181801 292400 181857 0 FreeSans 560 0 0 0 io_out[9]
+flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9]
 port 163 nsew signal tristate
-flabel metal2 s 62908 -400 62964 240 0 FreeSans 560 90 0 0 la_data_in[0]
+flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0]
 port 164 nsew signal input
-flabel metal2 s 240208 -400 240264 240 0 FreeSans 560 90 0 0 la_data_in[100]
+flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100]
 port 165 nsew signal input
-flabel metal2 s 241981 -400 242037 240 0 FreeSans 560 90 0 0 la_data_in[101]
+flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101]
 port 166 nsew signal input
-flabel metal2 s 243754 -400 243810 240 0 FreeSans 560 90 0 0 la_data_in[102]
+flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102]
 port 167 nsew signal input
-flabel metal2 s 245527 -400 245583 240 0 FreeSans 560 90 0 0 la_data_in[103]
+flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103]
 port 168 nsew signal input
-flabel metal2 s 247300 -400 247356 240 0 FreeSans 560 90 0 0 la_data_in[104]
+flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104]
 port 169 nsew signal input
-flabel metal2 s 249073 -400 249129 240 0 FreeSans 560 90 0 0 la_data_in[105]
+flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105]
 port 170 nsew signal input
-flabel metal2 s 250846 -400 250902 240 0 FreeSans 560 90 0 0 la_data_in[106]
+flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106]
 port 171 nsew signal input
-flabel metal2 s 252619 -400 252675 240 0 FreeSans 560 90 0 0 la_data_in[107]
+flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107]
 port 172 nsew signal input
-flabel metal2 s 254392 -400 254448 240 0 FreeSans 560 90 0 0 la_data_in[108]
+flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108]
 port 173 nsew signal input
-flabel metal2 s 256165 -400 256221 240 0 FreeSans 560 90 0 0 la_data_in[109]
+flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109]
 port 174 nsew signal input
-flabel metal2 s 80638 -400 80694 240 0 FreeSans 560 90 0 0 la_data_in[10]
+flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10]
 port 175 nsew signal input
-flabel metal2 s 257938 -400 257994 240 0 FreeSans 560 90 0 0 la_data_in[110]
+flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110]
 port 176 nsew signal input
-flabel metal2 s 259711 -400 259767 240 0 FreeSans 560 90 0 0 la_data_in[111]
+flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111]
 port 177 nsew signal input
-flabel metal2 s 261484 -400 261540 240 0 FreeSans 560 90 0 0 la_data_in[112]
+flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112]
 port 178 nsew signal input
-flabel metal2 s 263257 -400 263313 240 0 FreeSans 560 90 0 0 la_data_in[113]
+flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113]
 port 179 nsew signal input
-flabel metal2 s 265030 -400 265086 240 0 FreeSans 560 90 0 0 la_data_in[114]
+flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114]
 port 180 nsew signal input
-flabel metal2 s 266803 -400 266859 240 0 FreeSans 560 90 0 0 la_data_in[115]
+flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115]
 port 181 nsew signal input
-flabel metal2 s 268576 -400 268632 240 0 FreeSans 560 90 0 0 la_data_in[116]
+flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116]
 port 182 nsew signal input
-flabel metal2 s 270349 -400 270405 240 0 FreeSans 560 90 0 0 la_data_in[117]
+flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117]
 port 183 nsew signal input
-flabel metal2 s 272122 -400 272178 240 0 FreeSans 560 90 0 0 la_data_in[118]
+flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118]
 port 184 nsew signal input
-flabel metal2 s 273895 -400 273951 240 0 FreeSans 560 90 0 0 la_data_in[119]
+flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119]
 port 185 nsew signal input
-flabel metal2 s 82411 -400 82467 240 0 FreeSans 560 90 0 0 la_data_in[11]
+flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11]
 port 186 nsew signal input
-flabel metal2 s 275668 -400 275724 240 0 FreeSans 560 90 0 0 la_data_in[120]
+flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120]
 port 187 nsew signal input
-flabel metal2 s 277441 -400 277497 240 0 FreeSans 560 90 0 0 la_data_in[121]
+flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121]
 port 188 nsew signal input
-flabel metal2 s 279214 -400 279270 240 0 FreeSans 560 90 0 0 la_data_in[122]
+flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122]
 port 189 nsew signal input
-flabel metal2 s 280987 -400 281043 240 0 FreeSans 560 90 0 0 la_data_in[123]
+flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123]
 port 190 nsew signal input
-flabel metal2 s 282760 -400 282816 240 0 FreeSans 560 90 0 0 la_data_in[124]
+flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124]
 port 191 nsew signal input
-flabel metal2 s 284533 -400 284589 240 0 FreeSans 560 90 0 0 la_data_in[125]
+flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125]
 port 192 nsew signal input
-flabel metal2 s 286306 -400 286362 240 0 FreeSans 560 90 0 0 la_data_in[126]
+flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126]
 port 193 nsew signal input
-flabel metal2 s 288079 -400 288135 240 0 FreeSans 560 90 0 0 la_data_in[127]
+flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127]
 port 194 nsew signal input
-flabel metal2 s 84184 -400 84240 240 0 FreeSans 560 90 0 0 la_data_in[12]
+flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12]
 port 195 nsew signal input
-flabel metal2 s 85957 -400 86013 240 0 FreeSans 560 90 0 0 la_data_in[13]
+flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13]
 port 196 nsew signal input
-flabel metal2 s 87730 -400 87786 240 0 FreeSans 560 90 0 0 la_data_in[14]
+flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14]
 port 197 nsew signal input
-flabel metal2 s 89503 -400 89559 240 0 FreeSans 560 90 0 0 la_data_in[15]
+flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15]
 port 198 nsew signal input
-flabel metal2 s 91276 -400 91332 240 0 FreeSans 560 90 0 0 la_data_in[16]
+flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16]
 port 199 nsew signal input
-flabel metal2 s 93049 -400 93105 240 0 FreeSans 560 90 0 0 la_data_in[17]
+flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17]
 port 200 nsew signal input
-flabel metal2 s 94822 -400 94878 240 0 FreeSans 560 90 0 0 la_data_in[18]
+flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18]
 port 201 nsew signal input
-flabel metal2 s 96595 -400 96651 240 0 FreeSans 560 90 0 0 la_data_in[19]
+flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19]
 port 202 nsew signal input
-flabel metal2 s 64681 -400 64737 240 0 FreeSans 560 90 0 0 la_data_in[1]
+flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1]
 port 203 nsew signal input
-flabel metal2 s 98368 -400 98424 240 0 FreeSans 560 90 0 0 la_data_in[20]
+flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20]
 port 204 nsew signal input
-flabel metal2 s 100141 -400 100197 240 0 FreeSans 560 90 0 0 la_data_in[21]
+flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21]
 port 205 nsew signal input
-flabel metal2 s 101914 -400 101970 240 0 FreeSans 560 90 0 0 la_data_in[22]
+flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22]
 port 206 nsew signal input
-flabel metal2 s 103687 -400 103743 240 0 FreeSans 560 90 0 0 la_data_in[23]
+flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23]
 port 207 nsew signal input
-flabel metal2 s 105460 -400 105516 240 0 FreeSans 560 90 0 0 la_data_in[24]
+flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24]
 port 208 nsew signal input
-flabel metal2 s 107233 -400 107289 240 0 FreeSans 560 90 0 0 la_data_in[25]
+flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25]
 port 209 nsew signal input
-flabel metal2 s 109006 -400 109062 240 0 FreeSans 560 90 0 0 la_data_in[26]
+flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26]
 port 210 nsew signal input
-flabel metal2 s 110779 -400 110835 240 0 FreeSans 560 90 0 0 la_data_in[27]
+flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27]
 port 211 nsew signal input
-flabel metal2 s 112552 -400 112608 240 0 FreeSans 560 90 0 0 la_data_in[28]
+flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28]
 port 212 nsew signal input
-flabel metal2 s 114325 -400 114381 240 0 FreeSans 560 90 0 0 la_data_in[29]
+flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29]
 port 213 nsew signal input
-flabel metal2 s 66454 -400 66510 240 0 FreeSans 560 90 0 0 la_data_in[2]
+flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2]
 port 214 nsew signal input
-flabel metal2 s 116098 -400 116154 240 0 FreeSans 560 90 0 0 la_data_in[30]
+flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30]
 port 215 nsew signal input
-flabel metal2 s 117871 -400 117927 240 0 FreeSans 560 90 0 0 la_data_in[31]
+flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31]
 port 216 nsew signal input
-flabel metal2 s 119644 -400 119700 240 0 FreeSans 560 90 0 0 la_data_in[32]
+flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32]
 port 217 nsew signal input
-flabel metal2 s 121417 -400 121473 240 0 FreeSans 560 90 0 0 la_data_in[33]
+flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33]
 port 218 nsew signal input
-flabel metal2 s 123190 -400 123246 240 0 FreeSans 560 90 0 0 la_data_in[34]
+flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34]
 port 219 nsew signal input
-flabel metal2 s 124963 -400 125019 240 0 FreeSans 560 90 0 0 la_data_in[35]
+flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35]
 port 220 nsew signal input
-flabel metal2 s 126736 -400 126792 240 0 FreeSans 560 90 0 0 la_data_in[36]
+flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36]
 port 221 nsew signal input
-flabel metal2 s 128509 -400 128565 240 0 FreeSans 560 90 0 0 la_data_in[37]
+flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37]
 port 222 nsew signal input
-flabel metal2 s 130282 -400 130338 240 0 FreeSans 560 90 0 0 la_data_in[38]
+flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38]
 port 223 nsew signal input
-flabel metal2 s 132055 -400 132111 240 0 FreeSans 560 90 0 0 la_data_in[39]
+flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39]
 port 224 nsew signal input
-flabel metal2 s 68227 -400 68283 240 0 FreeSans 560 90 0 0 la_data_in[3]
+flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3]
 port 225 nsew signal input
-flabel metal2 s 133828 -400 133884 240 0 FreeSans 560 90 0 0 la_data_in[40]
+flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40]
 port 226 nsew signal input
-flabel metal2 s 135601 -400 135657 240 0 FreeSans 560 90 0 0 la_data_in[41]
+flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41]
 port 227 nsew signal input
-flabel metal2 s 137374 -400 137430 240 0 FreeSans 560 90 0 0 la_data_in[42]
+flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42]
 port 228 nsew signal input
-flabel metal2 s 139147 -400 139203 240 0 FreeSans 560 90 0 0 la_data_in[43]
+flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43]
 port 229 nsew signal input
-flabel metal2 s 140920 -400 140976 240 0 FreeSans 560 90 0 0 la_data_in[44]
+flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44]
 port 230 nsew signal input
-flabel metal2 s 142693 -400 142749 240 0 FreeSans 560 90 0 0 la_data_in[45]
+flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45]
 port 231 nsew signal input
-flabel metal2 s 144466 -400 144522 240 0 FreeSans 560 90 0 0 la_data_in[46]
+flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46]
 port 232 nsew signal input
-flabel metal2 s 146239 -400 146295 240 0 FreeSans 560 90 0 0 la_data_in[47]
+flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47]
 port 233 nsew signal input
-flabel metal2 s 148012 -400 148068 240 0 FreeSans 560 90 0 0 la_data_in[48]
+flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48]
 port 234 nsew signal input
-flabel metal2 s 149785 -400 149841 240 0 FreeSans 560 90 0 0 la_data_in[49]
+flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49]
 port 235 nsew signal input
-flabel metal2 s 70000 -400 70056 240 0 FreeSans 560 90 0 0 la_data_in[4]
+flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4]
 port 236 nsew signal input
-flabel metal2 s 151558 -400 151614 240 0 FreeSans 560 90 0 0 la_data_in[50]
+flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50]
 port 237 nsew signal input
-flabel metal2 s 153331 -400 153387 240 0 FreeSans 560 90 0 0 la_data_in[51]
+flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51]
 port 238 nsew signal input
-flabel metal2 s 155104 -400 155160 240 0 FreeSans 560 90 0 0 la_data_in[52]
+flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52]
 port 239 nsew signal input
-flabel metal2 s 156877 -400 156933 240 0 FreeSans 560 90 0 0 la_data_in[53]
+flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53]
 port 240 nsew signal input
-flabel metal2 s 158650 -400 158706 240 0 FreeSans 560 90 0 0 la_data_in[54]
+flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54]
 port 241 nsew signal input
-flabel metal2 s 160423 -400 160479 240 0 FreeSans 560 90 0 0 la_data_in[55]
+flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55]
 port 242 nsew signal input
-flabel metal2 s 162196 -400 162252 240 0 FreeSans 560 90 0 0 la_data_in[56]
+flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56]
 port 243 nsew signal input
-flabel metal2 s 163969 -400 164025 240 0 FreeSans 560 90 0 0 la_data_in[57]
+flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57]
 port 244 nsew signal input
-flabel metal2 s 165742 -400 165798 240 0 FreeSans 560 90 0 0 la_data_in[58]
+flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58]
 port 245 nsew signal input
-flabel metal2 s 167515 -400 167571 240 0 FreeSans 560 90 0 0 la_data_in[59]
+flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59]
 port 246 nsew signal input
-flabel metal2 s 71773 -400 71829 240 0 FreeSans 560 90 0 0 la_data_in[5]
+flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5]
 port 247 nsew signal input
-flabel metal2 s 169288 -400 169344 240 0 FreeSans 560 90 0 0 la_data_in[60]
+flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60]
 port 248 nsew signal input
-flabel metal2 s 171061 -400 171117 240 0 FreeSans 560 90 0 0 la_data_in[61]
+flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61]
 port 249 nsew signal input
-flabel metal2 s 172834 -400 172890 240 0 FreeSans 560 90 0 0 la_data_in[62]
+flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62]
 port 250 nsew signal input
-flabel metal2 s 174607 -400 174663 240 0 FreeSans 560 90 0 0 la_data_in[63]
+flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63]
 port 251 nsew signal input
-flabel metal2 s 176380 -400 176436 240 0 FreeSans 560 90 0 0 la_data_in[64]
+flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64]
 port 252 nsew signal input
-flabel metal2 s 178153 -400 178209 240 0 FreeSans 560 90 0 0 la_data_in[65]
+flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65]
 port 253 nsew signal input
-flabel metal2 s 179926 -400 179982 240 0 FreeSans 560 90 0 0 la_data_in[66]
+flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66]
 port 254 nsew signal input
-flabel metal2 s 181699 -400 181755 240 0 FreeSans 560 90 0 0 la_data_in[67]
+flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67]
 port 255 nsew signal input
-flabel metal2 s 183472 -400 183528 240 0 FreeSans 560 90 0 0 la_data_in[68]
+flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68]
 port 256 nsew signal input
-flabel metal2 s 185245 -400 185301 240 0 FreeSans 560 90 0 0 la_data_in[69]
+flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69]
 port 257 nsew signal input
-flabel metal2 s 73546 -400 73602 240 0 FreeSans 560 90 0 0 la_data_in[6]
+flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6]
 port 258 nsew signal input
-flabel metal2 s 187018 -400 187074 240 0 FreeSans 560 90 0 0 la_data_in[70]
+flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70]
 port 259 nsew signal input
-flabel metal2 s 188791 -400 188847 240 0 FreeSans 560 90 0 0 la_data_in[71]
+flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71]
 port 260 nsew signal input
-flabel metal2 s 190564 -400 190620 240 0 FreeSans 560 90 0 0 la_data_in[72]
+flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72]
 port 261 nsew signal input
-flabel metal2 s 192337 -400 192393 240 0 FreeSans 560 90 0 0 la_data_in[73]
+flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73]
 port 262 nsew signal input
-flabel metal2 s 194110 -400 194166 240 0 FreeSans 560 90 0 0 la_data_in[74]
+flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74]
 port 263 nsew signal input
-flabel metal2 s 195883 -400 195939 240 0 FreeSans 560 90 0 0 la_data_in[75]
+flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75]
 port 264 nsew signal input
-flabel metal2 s 197656 -400 197712 240 0 FreeSans 560 90 0 0 la_data_in[76]
+flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76]
 port 265 nsew signal input
-flabel metal2 s 199429 -400 199485 240 0 FreeSans 560 90 0 0 la_data_in[77]
+flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77]
 port 266 nsew signal input
-flabel metal2 s 201202 -400 201258 240 0 FreeSans 560 90 0 0 la_data_in[78]
+flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78]
 port 267 nsew signal input
-flabel metal2 s 202975 -400 203031 240 0 FreeSans 560 90 0 0 la_data_in[79]
+flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79]
 port 268 nsew signal input
-flabel metal2 s 75319 -400 75375 240 0 FreeSans 560 90 0 0 la_data_in[7]
+flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7]
 port 269 nsew signal input
-flabel metal2 s 204748 -400 204804 240 0 FreeSans 560 90 0 0 la_data_in[80]
+flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80]
 port 270 nsew signal input
-flabel metal2 s 206521 -400 206577 240 0 FreeSans 560 90 0 0 la_data_in[81]
+flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81]
 port 271 nsew signal input
-flabel metal2 s 208294 -400 208350 240 0 FreeSans 560 90 0 0 la_data_in[82]
+flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82]
 port 272 nsew signal input
-flabel metal2 s 210067 -400 210123 240 0 FreeSans 560 90 0 0 la_data_in[83]
+flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83]
 port 273 nsew signal input
-flabel metal2 s 211840 -400 211896 240 0 FreeSans 560 90 0 0 la_data_in[84]
+flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84]
 port 274 nsew signal input
-flabel metal2 s 213613 -400 213669 240 0 FreeSans 560 90 0 0 la_data_in[85]
+flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85]
 port 275 nsew signal input
-flabel metal2 s 215386 -400 215442 240 0 FreeSans 560 90 0 0 la_data_in[86]
+flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86]
 port 276 nsew signal input
-flabel metal2 s 217159 -400 217215 240 0 FreeSans 560 90 0 0 la_data_in[87]
+flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87]
 port 277 nsew signal input
-flabel metal2 s 218932 -400 218988 240 0 FreeSans 560 90 0 0 la_data_in[88]
+flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88]
 port 278 nsew signal input
-flabel metal2 s 220705 -400 220761 240 0 FreeSans 560 90 0 0 la_data_in[89]
+flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89]
 port 279 nsew signal input
-flabel metal2 s 77092 -400 77148 240 0 FreeSans 560 90 0 0 la_data_in[8]
+flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8]
 port 280 nsew signal input
-flabel metal2 s 222478 -400 222534 240 0 FreeSans 560 90 0 0 la_data_in[90]
+flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90]
 port 281 nsew signal input
-flabel metal2 s 224251 -400 224307 240 0 FreeSans 560 90 0 0 la_data_in[91]
+flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91]
 port 282 nsew signal input
-flabel metal2 s 226024 -400 226080 240 0 FreeSans 560 90 0 0 la_data_in[92]
+flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92]
 port 283 nsew signal input
-flabel metal2 s 227797 -400 227853 240 0 FreeSans 560 90 0 0 la_data_in[93]
+flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93]
 port 284 nsew signal input
-flabel metal2 s 229570 -400 229626 240 0 FreeSans 560 90 0 0 la_data_in[94]
+flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94]
 port 285 nsew signal input
-flabel metal2 s 231343 -400 231399 240 0 FreeSans 560 90 0 0 la_data_in[95]
+flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95]
 port 286 nsew signal input
-flabel metal2 s 233116 -400 233172 240 0 FreeSans 560 90 0 0 la_data_in[96]
+flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96]
 port 287 nsew signal input
-flabel metal2 s 234889 -400 234945 240 0 FreeSans 560 90 0 0 la_data_in[97]
+flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97]
 port 288 nsew signal input
-flabel metal2 s 236662 -400 236718 240 0 FreeSans 560 90 0 0 la_data_in[98]
+flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98]
 port 289 nsew signal input
-flabel metal2 s 238435 -400 238491 240 0 FreeSans 560 90 0 0 la_data_in[99]
+flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99]
 port 290 nsew signal input
-flabel metal2 s 78865 -400 78921 240 0 FreeSans 560 90 0 0 la_data_in[9]
+flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9]
 port 291 nsew signal input
-flabel metal2 s 63499 -400 63555 240 0 FreeSans 560 90 0 0 la_data_out[0]
+flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0]
 port 292 nsew signal tristate
-flabel metal2 s 240799 -400 240855 240 0 FreeSans 560 90 0 0 la_data_out[100]
+flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100]
 port 293 nsew signal tristate
-flabel metal2 s 242572 -400 242628 240 0 FreeSans 560 90 0 0 la_data_out[101]
+flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101]
 port 294 nsew signal tristate
-flabel metal2 s 244345 -400 244401 240 0 FreeSans 560 90 0 0 la_data_out[102]
+flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102]
 port 295 nsew signal tristate
-flabel metal2 s 246118 -400 246174 240 0 FreeSans 560 90 0 0 la_data_out[103]
+flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103]
 port 296 nsew signal tristate
-flabel metal2 s 247891 -400 247947 240 0 FreeSans 560 90 0 0 la_data_out[104]
+flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104]
 port 297 nsew signal tristate
-flabel metal2 s 249664 -400 249720 240 0 FreeSans 560 90 0 0 la_data_out[105]
+flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105]
 port 298 nsew signal tristate
-flabel metal2 s 251437 -400 251493 240 0 FreeSans 560 90 0 0 la_data_out[106]
+flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106]
 port 299 nsew signal tristate
-flabel metal2 s 253210 -400 253266 240 0 FreeSans 560 90 0 0 la_data_out[107]
+flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107]
 port 300 nsew signal tristate
-flabel metal2 s 254983 -400 255039 240 0 FreeSans 560 90 0 0 la_data_out[108]
+flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108]
 port 301 nsew signal tristate
-flabel metal2 s 256756 -400 256812 240 0 FreeSans 560 90 0 0 la_data_out[109]
+flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109]
 port 302 nsew signal tristate
-flabel metal2 s 81229 -400 81285 240 0 FreeSans 560 90 0 0 la_data_out[10]
+flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10]
 port 303 nsew signal tristate
-flabel metal2 s 258529 -400 258585 240 0 FreeSans 560 90 0 0 la_data_out[110]
+flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110]
 port 304 nsew signal tristate
-flabel metal2 s 260302 -400 260358 240 0 FreeSans 560 90 0 0 la_data_out[111]
+flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111]
 port 305 nsew signal tristate
-flabel metal2 s 262075 -400 262131 240 0 FreeSans 560 90 0 0 la_data_out[112]
+flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112]
 port 306 nsew signal tristate
-flabel metal2 s 263848 -400 263904 240 0 FreeSans 560 90 0 0 la_data_out[113]
+flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113]
 port 307 nsew signal tristate
-flabel metal2 s 265621 -400 265677 240 0 FreeSans 560 90 0 0 la_data_out[114]
+flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114]
 port 308 nsew signal tristate
-flabel metal2 s 267394 -400 267450 240 0 FreeSans 560 90 0 0 la_data_out[115]
+flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115]
 port 309 nsew signal tristate
-flabel metal2 s 269167 -400 269223 240 0 FreeSans 560 90 0 0 la_data_out[116]
+flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116]
 port 310 nsew signal tristate
-flabel metal2 s 270940 -400 270996 240 0 FreeSans 560 90 0 0 la_data_out[117]
+flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117]
 port 311 nsew signal tristate
-flabel metal2 s 272713 -400 272769 240 0 FreeSans 560 90 0 0 la_data_out[118]
+flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118]
 port 312 nsew signal tristate
-flabel metal2 s 274486 -400 274542 240 0 FreeSans 560 90 0 0 la_data_out[119]
+flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119]
 port 313 nsew signal tristate
-flabel metal2 s 83002 -400 83058 240 0 FreeSans 560 90 0 0 la_data_out[11]
+flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11]
 port 314 nsew signal tristate
-flabel metal2 s 276259 -400 276315 240 0 FreeSans 560 90 0 0 la_data_out[120]
+flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120]
 port 315 nsew signal tristate
-flabel metal2 s 278032 -400 278088 240 0 FreeSans 560 90 0 0 la_data_out[121]
+flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121]
 port 316 nsew signal tristate
-flabel metal2 s 279805 -400 279861 240 0 FreeSans 560 90 0 0 la_data_out[122]
+flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122]
 port 317 nsew signal tristate
-flabel metal2 s 281578 -400 281634 240 0 FreeSans 560 90 0 0 la_data_out[123]
+flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123]
 port 318 nsew signal tristate
-flabel metal2 s 283351 -400 283407 240 0 FreeSans 560 90 0 0 la_data_out[124]
+flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124]
 port 319 nsew signal tristate
-flabel metal2 s 285124 -400 285180 240 0 FreeSans 560 90 0 0 la_data_out[125]
+flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125]
 port 320 nsew signal tristate
-flabel metal2 s 286897 -400 286953 240 0 FreeSans 560 90 0 0 la_data_out[126]
+flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126]
 port 321 nsew signal tristate
-flabel metal2 s 288670 -400 288726 240 0 FreeSans 560 90 0 0 la_data_out[127]
+flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127]
 port 322 nsew signal tristate
-flabel metal2 s 84775 -400 84831 240 0 FreeSans 560 90 0 0 la_data_out[12]
+flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12]
 port 323 nsew signal tristate
-flabel metal2 s 86548 -400 86604 240 0 FreeSans 560 90 0 0 la_data_out[13]
+flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13]
 port 324 nsew signal tristate
-flabel metal2 s 88321 -400 88377 240 0 FreeSans 560 90 0 0 la_data_out[14]
+flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14]
 port 325 nsew signal tristate
-flabel metal2 s 90094 -400 90150 240 0 FreeSans 560 90 0 0 la_data_out[15]
+flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15]
 port 326 nsew signal tristate
-flabel metal2 s 91867 -400 91923 240 0 FreeSans 560 90 0 0 la_data_out[16]
+flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16]
 port 327 nsew signal tristate
-flabel metal2 s 93640 -400 93696 240 0 FreeSans 560 90 0 0 la_data_out[17]
+flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17]
 port 328 nsew signal tristate
-flabel metal2 s 95413 -400 95469 240 0 FreeSans 560 90 0 0 la_data_out[18]
+flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18]
 port 329 nsew signal tristate
-flabel metal2 s 97186 -400 97242 240 0 FreeSans 560 90 0 0 la_data_out[19]
+flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19]
 port 330 nsew signal tristate
-flabel metal2 s 65272 -400 65328 240 0 FreeSans 560 90 0 0 la_data_out[1]
+flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1]
 port 331 nsew signal tristate
-flabel metal2 s 98959 -400 99015 240 0 FreeSans 560 90 0 0 la_data_out[20]
+flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20]
 port 332 nsew signal tristate
-flabel metal2 s 100732 -400 100788 240 0 FreeSans 560 90 0 0 la_data_out[21]
+flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21]
 port 333 nsew signal tristate
-flabel metal2 s 102505 -400 102561 240 0 FreeSans 560 90 0 0 la_data_out[22]
+flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22]
 port 334 nsew signal tristate
-flabel metal2 s 104278 -400 104334 240 0 FreeSans 560 90 0 0 la_data_out[23]
+flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23]
 port 335 nsew signal tristate
-flabel metal2 s 106051 -400 106107 240 0 FreeSans 560 90 0 0 la_data_out[24]
+flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24]
 port 336 nsew signal tristate
-flabel metal2 s 107824 -400 107880 240 0 FreeSans 560 90 0 0 la_data_out[25]
+flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25]
 port 337 nsew signal tristate
-flabel metal2 s 109597 -400 109653 240 0 FreeSans 560 90 0 0 la_data_out[26]
+flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26]
 port 338 nsew signal tristate
-flabel metal2 s 111370 -400 111426 240 0 FreeSans 560 90 0 0 la_data_out[27]
+flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27]
 port 339 nsew signal tristate
-flabel metal2 s 113143 -400 113199 240 0 FreeSans 560 90 0 0 la_data_out[28]
+flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28]
 port 340 nsew signal tristate
-flabel metal2 s 114916 -400 114972 240 0 FreeSans 560 90 0 0 la_data_out[29]
+flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29]
 port 341 nsew signal tristate
-flabel metal2 s 67045 -400 67101 240 0 FreeSans 560 90 0 0 la_data_out[2]
+flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2]
 port 342 nsew signal tristate
-flabel metal2 s 116689 -400 116745 240 0 FreeSans 560 90 0 0 la_data_out[30]
+flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30]
 port 343 nsew signal tristate
-flabel metal2 s 118462 -400 118518 240 0 FreeSans 560 90 0 0 la_data_out[31]
+flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31]
 port 344 nsew signal tristate
-flabel metal2 s 120235 -400 120291 240 0 FreeSans 560 90 0 0 la_data_out[32]
+flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32]
 port 345 nsew signal tristate
-flabel metal2 s 122008 -400 122064 240 0 FreeSans 560 90 0 0 la_data_out[33]
+flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33]
 port 346 nsew signal tristate
-flabel metal2 s 123781 -400 123837 240 0 FreeSans 560 90 0 0 la_data_out[34]
+flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34]
 port 347 nsew signal tristate
-flabel metal2 s 125554 -400 125610 240 0 FreeSans 560 90 0 0 la_data_out[35]
+flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35]
 port 348 nsew signal tristate
-flabel metal2 s 127327 -400 127383 240 0 FreeSans 560 90 0 0 la_data_out[36]
+flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36]
 port 349 nsew signal tristate
-flabel metal2 s 129100 -400 129156 240 0 FreeSans 560 90 0 0 la_data_out[37]
+flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37]
 port 350 nsew signal tristate
-flabel metal2 s 130873 -400 130929 240 0 FreeSans 560 90 0 0 la_data_out[38]
+flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38]
 port 351 nsew signal tristate
-flabel metal2 s 132646 -400 132702 240 0 FreeSans 560 90 0 0 la_data_out[39]
+flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39]
 port 352 nsew signal tristate
-flabel metal2 s 68818 -400 68874 240 0 FreeSans 560 90 0 0 la_data_out[3]
+flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3]
 port 353 nsew signal tristate
-flabel metal2 s 134419 -400 134475 240 0 FreeSans 560 90 0 0 la_data_out[40]
+flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40]
 port 354 nsew signal tristate
-flabel metal2 s 136192 -400 136248 240 0 FreeSans 560 90 0 0 la_data_out[41]
+flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41]
 port 355 nsew signal tristate
-flabel metal2 s 137965 -400 138021 240 0 FreeSans 560 90 0 0 la_data_out[42]
+flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42]
 port 356 nsew signal tristate
-flabel metal2 s 139738 -400 139794 240 0 FreeSans 560 90 0 0 la_data_out[43]
+flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43]
 port 357 nsew signal tristate
-flabel metal2 s 141511 -400 141567 240 0 FreeSans 560 90 0 0 la_data_out[44]
+flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44]
 port 358 nsew signal tristate
-flabel metal2 s 143284 -400 143340 240 0 FreeSans 560 90 0 0 la_data_out[45]
+flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45]
 port 359 nsew signal tristate
-flabel metal2 s 145057 -400 145113 240 0 FreeSans 560 90 0 0 la_data_out[46]
+flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46]
 port 360 nsew signal tristate
-flabel metal2 s 146830 -400 146886 240 0 FreeSans 560 90 0 0 la_data_out[47]
+flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47]
 port 361 nsew signal tristate
-flabel metal2 s 148603 -400 148659 240 0 FreeSans 560 90 0 0 la_data_out[48]
+flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48]
 port 362 nsew signal tristate
-flabel metal2 s 150376 -400 150432 240 0 FreeSans 560 90 0 0 la_data_out[49]
+flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49]
 port 363 nsew signal tristate
-flabel metal2 s 70591 -400 70647 240 0 FreeSans 560 90 0 0 la_data_out[4]
+flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4]
 port 364 nsew signal tristate
-flabel metal2 s 152149 -400 152205 240 0 FreeSans 560 90 0 0 la_data_out[50]
+flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50]
 port 365 nsew signal tristate
-flabel metal2 s 153922 -400 153978 240 0 FreeSans 560 90 0 0 la_data_out[51]
+flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51]
 port 366 nsew signal tristate
-flabel metal2 s 155695 -400 155751 240 0 FreeSans 560 90 0 0 la_data_out[52]
+flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52]
 port 367 nsew signal tristate
-flabel metal2 s 157468 -400 157524 240 0 FreeSans 560 90 0 0 la_data_out[53]
+flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53]
 port 368 nsew signal tristate
-flabel metal2 s 159241 -400 159297 240 0 FreeSans 560 90 0 0 la_data_out[54]
+flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54]
 port 369 nsew signal tristate
-flabel metal2 s 161014 -400 161070 240 0 FreeSans 560 90 0 0 la_data_out[55]
+flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55]
 port 370 nsew signal tristate
-flabel metal2 s 162787 -400 162843 240 0 FreeSans 560 90 0 0 la_data_out[56]
+flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56]
 port 371 nsew signal tristate
-flabel metal2 s 164560 -400 164616 240 0 FreeSans 560 90 0 0 la_data_out[57]
+flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57]
 port 372 nsew signal tristate
-flabel metal2 s 166333 -400 166389 240 0 FreeSans 560 90 0 0 la_data_out[58]
+flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58]
 port 373 nsew signal tristate
-flabel metal2 s 168106 -400 168162 240 0 FreeSans 560 90 0 0 la_data_out[59]
+flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59]
 port 374 nsew signal tristate
-flabel metal2 s 72364 -400 72420 240 0 FreeSans 560 90 0 0 la_data_out[5]
+flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5]
 port 375 nsew signal tristate
-flabel metal2 s 169879 -400 169935 240 0 FreeSans 560 90 0 0 la_data_out[60]
+flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60]
 port 376 nsew signal tristate
-flabel metal2 s 171652 -400 171708 240 0 FreeSans 560 90 0 0 la_data_out[61]
+flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61]
 port 377 nsew signal tristate
-flabel metal2 s 173425 -400 173481 240 0 FreeSans 560 90 0 0 la_data_out[62]
+flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62]
 port 378 nsew signal tristate
-flabel metal2 s 175198 -400 175254 240 0 FreeSans 560 90 0 0 la_data_out[63]
+flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63]
 port 379 nsew signal tristate
-flabel metal2 s 176971 -400 177027 240 0 FreeSans 560 90 0 0 la_data_out[64]
+flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64]
 port 380 nsew signal tristate
-flabel metal2 s 178744 -400 178800 240 0 FreeSans 560 90 0 0 la_data_out[65]
+flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65]
 port 381 nsew signal tristate
-flabel metal2 s 180517 -400 180573 240 0 FreeSans 560 90 0 0 la_data_out[66]
+flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66]
 port 382 nsew signal tristate
-flabel metal2 s 182290 -400 182346 240 0 FreeSans 560 90 0 0 la_data_out[67]
+flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67]
 port 383 nsew signal tristate
-flabel metal2 s 184063 -400 184119 240 0 FreeSans 560 90 0 0 la_data_out[68]
+flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68]
 port 384 nsew signal tristate
-flabel metal2 s 185836 -400 185892 240 0 FreeSans 560 90 0 0 la_data_out[69]
+flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69]
 port 385 nsew signal tristate
-flabel metal2 s 74137 -400 74193 240 0 FreeSans 560 90 0 0 la_data_out[6]
+flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6]
 port 386 nsew signal tristate
-flabel metal2 s 187609 -400 187665 240 0 FreeSans 560 90 0 0 la_data_out[70]
+flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70]
 port 387 nsew signal tristate
-flabel metal2 s 189382 -400 189438 240 0 FreeSans 560 90 0 0 la_data_out[71]
+flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71]
 port 388 nsew signal tristate
-flabel metal2 s 191155 -400 191211 240 0 FreeSans 560 90 0 0 la_data_out[72]
+flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72]
 port 389 nsew signal tristate
-flabel metal2 s 192928 -400 192984 240 0 FreeSans 560 90 0 0 la_data_out[73]
+flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73]
 port 390 nsew signal tristate
-flabel metal2 s 194701 -400 194757 240 0 FreeSans 560 90 0 0 la_data_out[74]
+flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74]
 port 391 nsew signal tristate
-flabel metal2 s 196474 -400 196530 240 0 FreeSans 560 90 0 0 la_data_out[75]
+flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75]
 port 392 nsew signal tristate
-flabel metal2 s 198247 -400 198303 240 0 FreeSans 560 90 0 0 la_data_out[76]
+flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76]
 port 393 nsew signal tristate
-flabel metal2 s 200020 -400 200076 240 0 FreeSans 560 90 0 0 la_data_out[77]
+flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77]
 port 394 nsew signal tristate
-flabel metal2 s 201793 -400 201849 240 0 FreeSans 560 90 0 0 la_data_out[78]
+flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78]
 port 395 nsew signal tristate
-flabel metal2 s 203566 -400 203622 240 0 FreeSans 560 90 0 0 la_data_out[79]
+flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79]
 port 396 nsew signal tristate
-flabel metal2 s 75910 -400 75966 240 0 FreeSans 560 90 0 0 la_data_out[7]
+flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7]
 port 397 nsew signal tristate
-flabel metal2 s 205339 -400 205395 240 0 FreeSans 560 90 0 0 la_data_out[80]
+flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80]
 port 398 nsew signal tristate
-flabel metal2 s 207112 -400 207168 240 0 FreeSans 560 90 0 0 la_data_out[81]
+flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81]
 port 399 nsew signal tristate
-flabel metal2 s 208885 -400 208941 240 0 FreeSans 560 90 0 0 la_data_out[82]
+flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82]
 port 400 nsew signal tristate
-flabel metal2 s 210658 -400 210714 240 0 FreeSans 560 90 0 0 la_data_out[83]
+flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83]
 port 401 nsew signal tristate
-flabel metal2 s 212431 -400 212487 240 0 FreeSans 560 90 0 0 la_data_out[84]
+flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84]
 port 402 nsew signal tristate
-flabel metal2 s 214204 -400 214260 240 0 FreeSans 560 90 0 0 la_data_out[85]
+flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85]
 port 403 nsew signal tristate
-flabel metal2 s 215977 -400 216033 240 0 FreeSans 560 90 0 0 la_data_out[86]
+flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86]
 port 404 nsew signal tristate
-flabel metal2 s 217750 -400 217806 240 0 FreeSans 560 90 0 0 la_data_out[87]
+flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87]
 port 405 nsew signal tristate
-flabel metal2 s 219523 -400 219579 240 0 FreeSans 560 90 0 0 la_data_out[88]
+flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88]
 port 406 nsew signal tristate
-flabel metal2 s 221296 -400 221352 240 0 FreeSans 560 90 0 0 la_data_out[89]
+flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89]
 port 407 nsew signal tristate
-flabel metal2 s 77683 -400 77739 240 0 FreeSans 560 90 0 0 la_data_out[8]
+flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8]
 port 408 nsew signal tristate
-flabel metal2 s 223069 -400 223125 240 0 FreeSans 560 90 0 0 la_data_out[90]
+flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90]
 port 409 nsew signal tristate
-flabel metal2 s 224842 -400 224898 240 0 FreeSans 560 90 0 0 la_data_out[91]
+flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91]
 port 410 nsew signal tristate
-flabel metal2 s 226615 -400 226671 240 0 FreeSans 560 90 0 0 la_data_out[92]
+flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92]
 port 411 nsew signal tristate
-flabel metal2 s 228388 -400 228444 240 0 FreeSans 560 90 0 0 la_data_out[93]
+flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93]
 port 412 nsew signal tristate
-flabel metal2 s 230161 -400 230217 240 0 FreeSans 560 90 0 0 la_data_out[94]
+flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94]
 port 413 nsew signal tristate
-flabel metal2 s 231934 -400 231990 240 0 FreeSans 560 90 0 0 la_data_out[95]
+flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95]
 port 414 nsew signal tristate
-flabel metal2 s 233707 -400 233763 240 0 FreeSans 560 90 0 0 la_data_out[96]
+flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96]
 port 415 nsew signal tristate
-flabel metal2 s 235480 -400 235536 240 0 FreeSans 560 90 0 0 la_data_out[97]
+flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97]
 port 416 nsew signal tristate
-flabel metal2 s 237253 -400 237309 240 0 FreeSans 560 90 0 0 la_data_out[98]
+flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98]
 port 417 nsew signal tristate
-flabel metal2 s 239026 -400 239082 240 0 FreeSans 560 90 0 0 la_data_out[99]
+flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99]
 port 418 nsew signal tristate
-flabel metal2 s 79456 -400 79512 240 0 FreeSans 560 90 0 0 la_data_out[9]
+flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9]
 port 419 nsew signal tristate
-flabel metal2 s 64090 -400 64146 240 0 FreeSans 560 90 0 0 la_oenb[0]
+flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0]
 port 420 nsew signal input
-flabel metal2 s 241390 -400 241446 240 0 FreeSans 560 90 0 0 la_oenb[100]
+flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100]
 port 421 nsew signal input
-flabel metal2 s 243163 -400 243219 240 0 FreeSans 560 90 0 0 la_oenb[101]
+flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101]
 port 422 nsew signal input
-flabel metal2 s 244936 -400 244992 240 0 FreeSans 560 90 0 0 la_oenb[102]
+flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102]
 port 423 nsew signal input
-flabel metal2 s 246709 -400 246765 240 0 FreeSans 560 90 0 0 la_oenb[103]
+flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103]
 port 424 nsew signal input
-flabel metal2 s 248482 -400 248538 240 0 FreeSans 560 90 0 0 la_oenb[104]
+flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104]
 port 425 nsew signal input
-flabel metal2 s 250255 -400 250311 240 0 FreeSans 560 90 0 0 la_oenb[105]
+flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105]
 port 426 nsew signal input
-flabel metal2 s 252028 -400 252084 240 0 FreeSans 560 90 0 0 la_oenb[106]
+flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106]
 port 427 nsew signal input
-flabel metal2 s 253801 -400 253857 240 0 FreeSans 560 90 0 0 la_oenb[107]
+flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107]
 port 428 nsew signal input
-flabel metal2 s 255574 -400 255630 240 0 FreeSans 560 90 0 0 la_oenb[108]
+flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108]
 port 429 nsew signal input
-flabel metal2 s 257347 -400 257403 240 0 FreeSans 560 90 0 0 la_oenb[109]
+flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109]
 port 430 nsew signal input
-flabel metal2 s 81820 -400 81876 240 0 FreeSans 560 90 0 0 la_oenb[10]
+flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10]
 port 431 nsew signal input
-flabel metal2 s 259120 -400 259176 240 0 FreeSans 560 90 0 0 la_oenb[110]
+flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110]
 port 432 nsew signal input
-flabel metal2 s 260893 -400 260949 240 0 FreeSans 560 90 0 0 la_oenb[111]
+flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111]
 port 433 nsew signal input
-flabel metal2 s 262666 -400 262722 240 0 FreeSans 560 90 0 0 la_oenb[112]
+flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112]
 port 434 nsew signal input
-flabel metal2 s 264439 -400 264495 240 0 FreeSans 560 90 0 0 la_oenb[113]
+flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113]
 port 435 nsew signal input
-flabel metal2 s 266212 -400 266268 240 0 FreeSans 560 90 0 0 la_oenb[114]
+flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114]
 port 436 nsew signal input
-flabel metal2 s 267985 -400 268041 240 0 FreeSans 560 90 0 0 la_oenb[115]
+flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115]
 port 437 nsew signal input
-flabel metal2 s 269758 -400 269814 240 0 FreeSans 560 90 0 0 la_oenb[116]
+flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116]
 port 438 nsew signal input
-flabel metal2 s 271531 -400 271587 240 0 FreeSans 560 90 0 0 la_oenb[117]
+flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117]
 port 439 nsew signal input
-flabel metal2 s 273304 -400 273360 240 0 FreeSans 560 90 0 0 la_oenb[118]
+flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118]
 port 440 nsew signal input
-flabel metal2 s 275077 -400 275133 240 0 FreeSans 560 90 0 0 la_oenb[119]
+flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119]
 port 441 nsew signal input
-flabel metal2 s 83593 -400 83649 240 0 FreeSans 560 90 0 0 la_oenb[11]
+flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11]
 port 442 nsew signal input
-flabel metal2 s 276850 -400 276906 240 0 FreeSans 560 90 0 0 la_oenb[120]
+flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120]
 port 443 nsew signal input
-flabel metal2 s 278623 -400 278679 240 0 FreeSans 560 90 0 0 la_oenb[121]
+flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121]
 port 444 nsew signal input
-flabel metal2 s 280396 -400 280452 240 0 FreeSans 560 90 0 0 la_oenb[122]
+flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122]
 port 445 nsew signal input
-flabel metal2 s 282169 -400 282225 240 0 FreeSans 560 90 0 0 la_oenb[123]
+flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123]
 port 446 nsew signal input
-flabel metal2 s 283942 -400 283998 240 0 FreeSans 560 90 0 0 la_oenb[124]
+flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124]
 port 447 nsew signal input
-flabel metal2 s 285715 -400 285771 240 0 FreeSans 560 90 0 0 la_oenb[125]
+flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125]
 port 448 nsew signal input
-flabel metal2 s 287488 -400 287544 240 0 FreeSans 560 90 0 0 la_oenb[126]
+flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126]
 port 449 nsew signal input
-flabel metal2 s 289261 -400 289317 240 0 FreeSans 560 90 0 0 la_oenb[127]
+flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127]
 port 450 nsew signal input
-flabel metal2 s 85366 -400 85422 240 0 FreeSans 560 90 0 0 la_oenb[12]
+flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12]
 port 451 nsew signal input
-flabel metal2 s 87139 -400 87195 240 0 FreeSans 560 90 0 0 la_oenb[13]
+flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13]
 port 452 nsew signal input
-flabel metal2 s 88912 -400 88968 240 0 FreeSans 560 90 0 0 la_oenb[14]
+flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14]
 port 453 nsew signal input
-flabel metal2 s 90685 -400 90741 240 0 FreeSans 560 90 0 0 la_oenb[15]
+flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15]
 port 454 nsew signal input
-flabel metal2 s 92458 -400 92514 240 0 FreeSans 560 90 0 0 la_oenb[16]
+flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16]
 port 455 nsew signal input
-flabel metal2 s 94231 -400 94287 240 0 FreeSans 560 90 0 0 la_oenb[17]
+flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17]
 port 456 nsew signal input
-flabel metal2 s 96004 -400 96060 240 0 FreeSans 560 90 0 0 la_oenb[18]
+flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18]
 port 457 nsew signal input
-flabel metal2 s 97777 -400 97833 240 0 FreeSans 560 90 0 0 la_oenb[19]
+flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19]
 port 458 nsew signal input
-flabel metal2 s 65863 -400 65919 240 0 FreeSans 560 90 0 0 la_oenb[1]
+flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1]
 port 459 nsew signal input
-flabel metal2 s 99550 -400 99606 240 0 FreeSans 560 90 0 0 la_oenb[20]
+flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20]
 port 460 nsew signal input
-flabel metal2 s 101323 -400 101379 240 0 FreeSans 560 90 0 0 la_oenb[21]
+flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21]
 port 461 nsew signal input
-flabel metal2 s 103096 -400 103152 240 0 FreeSans 560 90 0 0 la_oenb[22]
+flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22]
 port 462 nsew signal input
-flabel metal2 s 104869 -400 104925 240 0 FreeSans 560 90 0 0 la_oenb[23]
+flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23]
 port 463 nsew signal input
-flabel metal2 s 106642 -400 106698 240 0 FreeSans 560 90 0 0 la_oenb[24]
+flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24]
 port 464 nsew signal input
-flabel metal2 s 108415 -400 108471 240 0 FreeSans 560 90 0 0 la_oenb[25]
+flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25]
 port 465 nsew signal input
-flabel metal2 s 110188 -400 110244 240 0 FreeSans 560 90 0 0 la_oenb[26]
+flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26]
 port 466 nsew signal input
-flabel metal2 s 111961 -400 112017 240 0 FreeSans 560 90 0 0 la_oenb[27]
+flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27]
 port 467 nsew signal input
-flabel metal2 s 113734 -400 113790 240 0 FreeSans 560 90 0 0 la_oenb[28]
+flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28]
 port 468 nsew signal input
-flabel metal2 s 115507 -400 115563 240 0 FreeSans 560 90 0 0 la_oenb[29]
+flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29]
 port 469 nsew signal input
-flabel metal2 s 67636 -400 67692 240 0 FreeSans 560 90 0 0 la_oenb[2]
+flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2]
 port 470 nsew signal input
-flabel metal2 s 117280 -400 117336 240 0 FreeSans 560 90 0 0 la_oenb[30]
+flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30]
 port 471 nsew signal input
-flabel metal2 s 119053 -400 119109 240 0 FreeSans 560 90 0 0 la_oenb[31]
+flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31]
 port 472 nsew signal input
-flabel metal2 s 120826 -400 120882 240 0 FreeSans 560 90 0 0 la_oenb[32]
+flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32]
 port 473 nsew signal input
-flabel metal2 s 122599 -400 122655 240 0 FreeSans 560 90 0 0 la_oenb[33]
+flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33]
 port 474 nsew signal input
-flabel metal2 s 124372 -400 124428 240 0 FreeSans 560 90 0 0 la_oenb[34]
+flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34]
 port 475 nsew signal input
-flabel metal2 s 126145 -400 126201 240 0 FreeSans 560 90 0 0 la_oenb[35]
+flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35]
 port 476 nsew signal input
-flabel metal2 s 127918 -400 127974 240 0 FreeSans 560 90 0 0 la_oenb[36]
+flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36]
 port 477 nsew signal input
-flabel metal2 s 129691 -400 129747 240 0 FreeSans 560 90 0 0 la_oenb[37]
+flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37]
 port 478 nsew signal input
-flabel metal2 s 131464 -400 131520 240 0 FreeSans 560 90 0 0 la_oenb[38]
+flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38]
 port 479 nsew signal input
-flabel metal2 s 133237 -400 133293 240 0 FreeSans 560 90 0 0 la_oenb[39]
+flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39]
 port 480 nsew signal input
-flabel metal2 s 69409 -400 69465 240 0 FreeSans 560 90 0 0 la_oenb[3]
+flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3]
 port 481 nsew signal input
-flabel metal2 s 135010 -400 135066 240 0 FreeSans 560 90 0 0 la_oenb[40]
+flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40]
 port 482 nsew signal input
-flabel metal2 s 136783 -400 136839 240 0 FreeSans 560 90 0 0 la_oenb[41]
+flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41]
 port 483 nsew signal input
-flabel metal2 s 138556 -400 138612 240 0 FreeSans 560 90 0 0 la_oenb[42]
+flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42]
 port 484 nsew signal input
-flabel metal2 s 140329 -400 140385 240 0 FreeSans 560 90 0 0 la_oenb[43]
+flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43]
 port 485 nsew signal input
-flabel metal2 s 142102 -400 142158 240 0 FreeSans 560 90 0 0 la_oenb[44]
+flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44]
 port 486 nsew signal input
-flabel metal2 s 143875 -400 143931 240 0 FreeSans 560 90 0 0 la_oenb[45]
+flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45]
 port 487 nsew signal input
-flabel metal2 s 145648 -400 145704 240 0 FreeSans 560 90 0 0 la_oenb[46]
+flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46]
 port 488 nsew signal input
-flabel metal2 s 147421 -400 147477 240 0 FreeSans 560 90 0 0 la_oenb[47]
+flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47]
 port 489 nsew signal input
-flabel metal2 s 149194 -400 149250 240 0 FreeSans 560 90 0 0 la_oenb[48]
+flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48]
 port 490 nsew signal input
-flabel metal2 s 150967 -400 151023 240 0 FreeSans 560 90 0 0 la_oenb[49]
+flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49]
 port 491 nsew signal input
-flabel metal2 s 71182 -400 71238 240 0 FreeSans 560 90 0 0 la_oenb[4]
+flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4]
 port 492 nsew signal input
-flabel metal2 s 152740 -400 152796 240 0 FreeSans 560 90 0 0 la_oenb[50]
+flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50]
 port 493 nsew signal input
-flabel metal2 s 154513 -400 154569 240 0 FreeSans 560 90 0 0 la_oenb[51]
+flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51]
 port 494 nsew signal input
-flabel metal2 s 156286 -400 156342 240 0 FreeSans 560 90 0 0 la_oenb[52]
+flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52]
 port 495 nsew signal input
-flabel metal2 s 158059 -400 158115 240 0 FreeSans 560 90 0 0 la_oenb[53]
+flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53]
 port 496 nsew signal input
-flabel metal2 s 159832 -400 159888 240 0 FreeSans 560 90 0 0 la_oenb[54]
+flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54]
 port 497 nsew signal input
-flabel metal2 s 161605 -400 161661 240 0 FreeSans 560 90 0 0 la_oenb[55]
+flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55]
 port 498 nsew signal input
-flabel metal2 s 163378 -400 163434 240 0 FreeSans 560 90 0 0 la_oenb[56]
+flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56]
 port 499 nsew signal input
-flabel metal2 s 165151 -400 165207 240 0 FreeSans 560 90 0 0 la_oenb[57]
+flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57]
 port 500 nsew signal input
-flabel metal2 s 166924 -400 166980 240 0 FreeSans 560 90 0 0 la_oenb[58]
+flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58]
 port 501 nsew signal input
-flabel metal2 s 168697 -400 168753 240 0 FreeSans 560 90 0 0 la_oenb[59]
+flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59]
 port 502 nsew signal input
-flabel metal2 s 72955 -400 73011 240 0 FreeSans 560 90 0 0 la_oenb[5]
+flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5]
 port 503 nsew signal input
-flabel metal2 s 170470 -400 170526 240 0 FreeSans 560 90 0 0 la_oenb[60]
+flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60]
 port 504 nsew signal input
-flabel metal2 s 172243 -400 172299 240 0 FreeSans 560 90 0 0 la_oenb[61]
+flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61]
 port 505 nsew signal input
-flabel metal2 s 174016 -400 174072 240 0 FreeSans 560 90 0 0 la_oenb[62]
+flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62]
 port 506 nsew signal input
-flabel metal2 s 175789 -400 175845 240 0 FreeSans 560 90 0 0 la_oenb[63]
+flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63]
 port 507 nsew signal input
-flabel metal2 s 177562 -400 177618 240 0 FreeSans 560 90 0 0 la_oenb[64]
+flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64]
 port 508 nsew signal input
-flabel metal2 s 179335 -400 179391 240 0 FreeSans 560 90 0 0 la_oenb[65]
+flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65]
 port 509 nsew signal input
-flabel metal2 s 181108 -400 181164 240 0 FreeSans 560 90 0 0 la_oenb[66]
+flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66]
 port 510 nsew signal input
-flabel metal2 s 182881 -400 182937 240 0 FreeSans 560 90 0 0 la_oenb[67]
+flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67]
 port 511 nsew signal input
-flabel metal2 s 184654 -400 184710 240 0 FreeSans 560 90 0 0 la_oenb[68]
+flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68]
 port 512 nsew signal input
-flabel metal2 s 186427 -400 186483 240 0 FreeSans 560 90 0 0 la_oenb[69]
+flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69]
 port 513 nsew signal input
-flabel metal2 s 74728 -400 74784 240 0 FreeSans 560 90 0 0 la_oenb[6]
+flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6]
 port 514 nsew signal input
-flabel metal2 s 188200 -400 188256 240 0 FreeSans 560 90 0 0 la_oenb[70]
+flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70]
 port 515 nsew signal input
-flabel metal2 s 189973 -400 190029 240 0 FreeSans 560 90 0 0 la_oenb[71]
+flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71]
 port 516 nsew signal input
-flabel metal2 s 191746 -400 191802 240 0 FreeSans 560 90 0 0 la_oenb[72]
+flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72]
 port 517 nsew signal input
-flabel metal2 s 193519 -400 193575 240 0 FreeSans 560 90 0 0 la_oenb[73]
+flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73]
 port 518 nsew signal input
-flabel metal2 s 195292 -400 195348 240 0 FreeSans 560 90 0 0 la_oenb[74]
+flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74]
 port 519 nsew signal input
-flabel metal2 s 197065 -400 197121 240 0 FreeSans 560 90 0 0 la_oenb[75]
+flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75]
 port 520 nsew signal input
-flabel metal2 s 198838 -400 198894 240 0 FreeSans 560 90 0 0 la_oenb[76]
+flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76]
 port 521 nsew signal input
-flabel metal2 s 200611 -400 200667 240 0 FreeSans 560 90 0 0 la_oenb[77]
+flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77]
 port 522 nsew signal input
-flabel metal2 s 202384 -400 202440 240 0 FreeSans 560 90 0 0 la_oenb[78]
+flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78]
 port 523 nsew signal input
-flabel metal2 s 204157 -400 204213 240 0 FreeSans 560 90 0 0 la_oenb[79]
+flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79]
 port 524 nsew signal input
-flabel metal2 s 76501 -400 76557 240 0 FreeSans 560 90 0 0 la_oenb[7]
+flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7]
 port 525 nsew signal input
-flabel metal2 s 205930 -400 205986 240 0 FreeSans 560 90 0 0 la_oenb[80]
+flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80]
 port 526 nsew signal input
-flabel metal2 s 207703 -400 207759 240 0 FreeSans 560 90 0 0 la_oenb[81]
+flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81]
 port 527 nsew signal input
-flabel metal2 s 209476 -400 209532 240 0 FreeSans 560 90 0 0 la_oenb[82]
+flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82]
 port 528 nsew signal input
-flabel metal2 s 211249 -400 211305 240 0 FreeSans 560 90 0 0 la_oenb[83]
+flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83]
 port 529 nsew signal input
-flabel metal2 s 213022 -400 213078 240 0 FreeSans 560 90 0 0 la_oenb[84]
+flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84]
 port 530 nsew signal input
-flabel metal2 s 214795 -400 214851 240 0 FreeSans 560 90 0 0 la_oenb[85]
+flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85]
 port 531 nsew signal input
-flabel metal2 s 216568 -400 216624 240 0 FreeSans 560 90 0 0 la_oenb[86]
+flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86]
 port 532 nsew signal input
-flabel metal2 s 218341 -400 218397 240 0 FreeSans 560 90 0 0 la_oenb[87]
+flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87]
 port 533 nsew signal input
-flabel metal2 s 220114 -400 220170 240 0 FreeSans 560 90 0 0 la_oenb[88]
+flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88]
 port 534 nsew signal input
-flabel metal2 s 221887 -400 221943 240 0 FreeSans 560 90 0 0 la_oenb[89]
+flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89]
 port 535 nsew signal input
-flabel metal2 s 78274 -400 78330 240 0 FreeSans 560 90 0 0 la_oenb[8]
+flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8]
 port 536 nsew signal input
-flabel metal2 s 223660 -400 223716 240 0 FreeSans 560 90 0 0 la_oenb[90]
+flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90]
 port 537 nsew signal input
-flabel metal2 s 225433 -400 225489 240 0 FreeSans 560 90 0 0 la_oenb[91]
+flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91]
 port 538 nsew signal input
-flabel metal2 s 227206 -400 227262 240 0 FreeSans 560 90 0 0 la_oenb[92]
+flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92]
 port 539 nsew signal input
-flabel metal2 s 228979 -400 229035 240 0 FreeSans 560 90 0 0 la_oenb[93]
+flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93]
 port 540 nsew signal input
-flabel metal2 s 230752 -400 230808 240 0 FreeSans 560 90 0 0 la_oenb[94]
+flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94]
 port 541 nsew signal input
-flabel metal2 s 232525 -400 232581 240 0 FreeSans 560 90 0 0 la_oenb[95]
+flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95]
 port 542 nsew signal input
-flabel metal2 s 234298 -400 234354 240 0 FreeSans 560 90 0 0 la_oenb[96]
+flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96]
 port 543 nsew signal input
-flabel metal2 s 236071 -400 236127 240 0 FreeSans 560 90 0 0 la_oenb[97]
+flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97]
 port 544 nsew signal input
-flabel metal2 s 237844 -400 237900 240 0 FreeSans 560 90 0 0 la_oenb[98]
+flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98]
 port 545 nsew signal input
-flabel metal2 s 239617 -400 239673 240 0 FreeSans 560 90 0 0 la_oenb[99]
+flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99]
 port 546 nsew signal input
-flabel metal2 s 80047 -400 80103 240 0 FreeSans 560 90 0 0 la_oenb[9]
+flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9]
 port 547 nsew signal input
-flabel metal2 s 289852 -400 289908 240 0 FreeSans 560 90 0 0 user_clock2
+flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2
 port 548 nsew signal input
-flabel metal2 s 290443 -400 290499 240 0 FreeSans 560 90 0 0 user_irq[0]
+flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0]
 port 549 nsew signal tristate
-flabel metal2 s 291034 -400 291090 240 0 FreeSans 560 90 0 0 user_irq[1]
+flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1]
 port 550 nsew signal tristate
-flabel metal2 s 291625 -400 291681 240 0 FreeSans 560 90 0 0 user_irq[2]
+flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2]
 port 551 nsew signal tristate
-flabel metal3 s 291170 319892 292400 322292 0 FreeSans 560 0 0 0 vccd1
+flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1
 port 552 nsew signal bidirectional
-flabel metal3 s 291170 314892 292400 317292 0 FreeSans 560 0 0 0 vccd1
+flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1
 port 553 nsew signal bidirectional
-flabel metal3 s 0 321921 830 324321 0 FreeSans 560 0 0 0 vccd2
+flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2
 port 554 nsew signal bidirectional
-flabel metal3 s 0 316921 830 319321 0 FreeSans 560 0 0 0 vccd2
+flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
 port 555 nsew signal bidirectional
-flabel metal3 s 291170 270281 292400 272681 0 FreeSans 560 0 0 0 vdda1
+flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
 port 556 nsew signal bidirectional
-flabel metal3 s 291170 275281 292400 277681 0 FreeSans 560 0 0 0 vdda1
+flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
 port 557 nsew signal bidirectional
-flabel metal3 s 291170 117615 292400 120015 0 FreeSans 560 0 0 0 vdda1
+flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
 port 558 nsew signal bidirectional
-flabel metal3 s 291170 112615 292400 115015 0 FreeSans 560 0 0 0 vdda1
+flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
 port 559 nsew signal bidirectional
-flabel metal3 s 0 102444 830 104844 0 FreeSans 560 0 0 0 vdda2
+flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2
 port 560 nsew signal bidirectional
-flabel metal3 s 0 107444 830 109844 0 FreeSans 560 0 0 0 vdda2
+flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2
 port 561 nsew signal bidirectional
-flabel metal3 s 260297 351170 262697 352400 0 FreeSans 960 180 0 0 vssa1
+flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1
 port 562 nsew signal bidirectional
-flabel metal3 s 255297 351170 257697 352400 0 FreeSans 960 180 0 0 vssa1
+flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1
 port 563 nsew signal bidirectional
-flabel metal3 s 291170 73415 292400 75815 0 FreeSans 560 0 0 0 vssa1
+flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1
 port 564 nsew signal bidirectional
-flabel metal3 s 291170 68415 292400 70815 0 FreeSans 560 0 0 0 vssa1
+flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
 port 565 nsew signal bidirectional
-flabel metal3 s 291170 95715 292400 98115 0 FreeSans 560 0 0 0 vssd1
+flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
 port 568 nsew signal bidirectional
-flabel metal3 s 291170 90715 292400 93115 0 FreeSans 560 0 0 0 vssd1
+flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1
 port 569 nsew signal bidirectional
-flabel metal3 s 0 86444 830 88844 0 FreeSans 560 0 0 0 vssd2
+flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2
 port 570 nsew signal bidirectional
-flabel metal3 s 0 81444 830 83844 0 FreeSans 560 0 0 0 vssd2
+flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2
 port 571 nsew signal bidirectional
-flabel metal2 s 262 -400 318 240 0 FreeSans 560 90 0 0 wb_clk_i
+flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i
 port 572 nsew signal input
-flabel metal2 s 853 -400 909 240 0 FreeSans 560 90 0 0 wb_rst_i
+flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i
 port 573 nsew signal input
-flabel metal2 s 1444 -400 1500 240 0 FreeSans 560 90 0 0 wbs_ack_o
+flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o
 port 574 nsew signal tristate
-flabel metal2 s 3808 -400 3864 240 0 FreeSans 560 90 0 0 wbs_adr_i[0]
+flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
 port 575 nsew signal input
-flabel metal2 s 23902 -400 23958 240 0 FreeSans 560 90 0 0 wbs_adr_i[10]
+flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
 port 576 nsew signal input
-flabel metal2 s 25675 -400 25731 240 0 FreeSans 560 90 0 0 wbs_adr_i[11]
+flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
 port 577 nsew signal input
-flabel metal2 s 27448 -400 27504 240 0 FreeSans 560 90 0 0 wbs_adr_i[12]
+flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
 port 578 nsew signal input
-flabel metal2 s 29221 -400 29277 240 0 FreeSans 560 90 0 0 wbs_adr_i[13]
+flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
 port 579 nsew signal input
-flabel metal2 s 30994 -400 31050 240 0 FreeSans 560 90 0 0 wbs_adr_i[14]
+flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
 port 580 nsew signal input
-flabel metal2 s 32767 -400 32823 240 0 FreeSans 560 90 0 0 wbs_adr_i[15]
+flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
 port 581 nsew signal input
-flabel metal2 s 34540 -400 34596 240 0 FreeSans 560 90 0 0 wbs_adr_i[16]
+flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
 port 582 nsew signal input
-flabel metal2 s 36313 -400 36369 240 0 FreeSans 560 90 0 0 wbs_adr_i[17]
+flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
 port 583 nsew signal input
-flabel metal2 s 38086 -400 38142 240 0 FreeSans 560 90 0 0 wbs_adr_i[18]
+flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
 port 584 nsew signal input
-flabel metal2 s 39859 -400 39915 240 0 FreeSans 560 90 0 0 wbs_adr_i[19]
+flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
 port 585 nsew signal input
-flabel metal2 s 6172 -400 6228 240 0 FreeSans 560 90 0 0 wbs_adr_i[1]
+flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
 port 586 nsew signal input
-flabel metal2 s 41632 -400 41688 240 0 FreeSans 560 90 0 0 wbs_adr_i[20]
+flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
 port 587 nsew signal input
-flabel metal2 s 43405 -400 43461 240 0 FreeSans 560 90 0 0 wbs_adr_i[21]
+flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
 port 588 nsew signal input
-flabel metal2 s 45178 -400 45234 240 0 FreeSans 560 90 0 0 wbs_adr_i[22]
+flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
 port 589 nsew signal input
-flabel metal2 s 46951 -400 47007 240 0 FreeSans 560 90 0 0 wbs_adr_i[23]
+flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
 port 590 nsew signal input
-flabel metal2 s 48724 -400 48780 240 0 FreeSans 560 90 0 0 wbs_adr_i[24]
+flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
 port 591 nsew signal input
-flabel metal2 s 50497 -400 50553 240 0 FreeSans 560 90 0 0 wbs_adr_i[25]
+flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
 port 592 nsew signal input
-flabel metal2 s 52270 -400 52326 240 0 FreeSans 560 90 0 0 wbs_adr_i[26]
+flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
 port 593 nsew signal input
-flabel metal2 s 54043 -400 54099 240 0 FreeSans 560 90 0 0 wbs_adr_i[27]
+flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
 port 594 nsew signal input
-flabel metal2 s 55816 -400 55872 240 0 FreeSans 560 90 0 0 wbs_adr_i[28]
+flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
 port 595 nsew signal input
-flabel metal2 s 57589 -400 57645 240 0 FreeSans 560 90 0 0 wbs_adr_i[29]
+flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
 port 596 nsew signal input
-flabel metal2 s 8536 -400 8592 240 0 FreeSans 560 90 0 0 wbs_adr_i[2]
+flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
 port 597 nsew signal input
-flabel metal2 s 59362 -400 59418 240 0 FreeSans 560 90 0 0 wbs_adr_i[30]
+flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
 port 598 nsew signal input
-flabel metal2 s 61135 -400 61191 240 0 FreeSans 560 90 0 0 wbs_adr_i[31]
+flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
 port 599 nsew signal input
-flabel metal2 s 10900 -400 10956 240 0 FreeSans 560 90 0 0 wbs_adr_i[3]
+flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
 port 600 nsew signal input
-flabel metal2 s 13264 -400 13320 240 0 FreeSans 560 90 0 0 wbs_adr_i[4]
+flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
 port 601 nsew signal input
-flabel metal2 s 15037 -400 15093 240 0 FreeSans 560 90 0 0 wbs_adr_i[5]
+flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
 port 602 nsew signal input
-flabel metal2 s 16810 -400 16866 240 0 FreeSans 560 90 0 0 wbs_adr_i[6]
+flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
 port 603 nsew signal input
-flabel metal2 s 18583 -400 18639 240 0 FreeSans 560 90 0 0 wbs_adr_i[7]
+flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
 port 604 nsew signal input
-flabel metal2 s 20356 -400 20412 240 0 FreeSans 560 90 0 0 wbs_adr_i[8]
+flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
 port 605 nsew signal input
-flabel metal2 s 22129 -400 22185 240 0 FreeSans 560 90 0 0 wbs_adr_i[9]
+flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
 port 606 nsew signal input
-flabel metal2 s 2035 -400 2091 240 0 FreeSans 560 90 0 0 wbs_cyc_i
+flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i
 port 607 nsew signal input
-flabel metal2 s 4399 -400 4455 240 0 FreeSans 560 90 0 0 wbs_dat_i[0]
+flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
 port 608 nsew signal input
-flabel metal2 s 24493 -400 24549 240 0 FreeSans 560 90 0 0 wbs_dat_i[10]
+flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
 port 609 nsew signal input
-flabel metal2 s 26266 -400 26322 240 0 FreeSans 560 90 0 0 wbs_dat_i[11]
+flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
 port 610 nsew signal input
-flabel metal2 s 28039 -400 28095 240 0 FreeSans 560 90 0 0 wbs_dat_i[12]
+flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
 port 611 nsew signal input
-flabel metal2 s 29812 -400 29868 240 0 FreeSans 560 90 0 0 wbs_dat_i[13]
+flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
 port 612 nsew signal input
-flabel metal2 s 31585 -400 31641 240 0 FreeSans 560 90 0 0 wbs_dat_i[14]
+flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
 port 613 nsew signal input
-flabel metal2 s 33358 -400 33414 240 0 FreeSans 560 90 0 0 wbs_dat_i[15]
+flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
 port 614 nsew signal input
-flabel metal2 s 35131 -400 35187 240 0 FreeSans 560 90 0 0 wbs_dat_i[16]
+flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
 port 615 nsew signal input
-flabel metal2 s 36904 -400 36960 240 0 FreeSans 560 90 0 0 wbs_dat_i[17]
+flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
 port 616 nsew signal input
-flabel metal2 s 38677 -400 38733 240 0 FreeSans 560 90 0 0 wbs_dat_i[18]
+flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
 port 617 nsew signal input
-flabel metal2 s 40450 -400 40506 240 0 FreeSans 560 90 0 0 wbs_dat_i[19]
+flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
 port 618 nsew signal input
-flabel metal2 s 6763 -400 6819 240 0 FreeSans 560 90 0 0 wbs_dat_i[1]
+flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
 port 619 nsew signal input
-flabel metal2 s 42223 -400 42279 240 0 FreeSans 560 90 0 0 wbs_dat_i[20]
+flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
 port 620 nsew signal input
-flabel metal2 s 43996 -400 44052 240 0 FreeSans 560 90 0 0 wbs_dat_i[21]
+flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
 port 621 nsew signal input
-flabel metal2 s 45769 -400 45825 240 0 FreeSans 560 90 0 0 wbs_dat_i[22]
+flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
 port 622 nsew signal input
-flabel metal2 s 47542 -400 47598 240 0 FreeSans 560 90 0 0 wbs_dat_i[23]
+flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
 port 623 nsew signal input
-flabel metal2 s 49315 -400 49371 240 0 FreeSans 560 90 0 0 wbs_dat_i[24]
+flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
 port 624 nsew signal input
-flabel metal2 s 51088 -400 51144 240 0 FreeSans 560 90 0 0 wbs_dat_i[25]
+flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
 port 625 nsew signal input
-flabel metal2 s 52861 -400 52917 240 0 FreeSans 560 90 0 0 wbs_dat_i[26]
+flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
 port 626 nsew signal input
-flabel metal2 s 54634 -400 54690 240 0 FreeSans 560 90 0 0 wbs_dat_i[27]
+flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
 port 627 nsew signal input
-flabel metal2 s 56407 -400 56463 240 0 FreeSans 560 90 0 0 wbs_dat_i[28]
+flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
 port 628 nsew signal input
-flabel metal2 s 58180 -400 58236 240 0 FreeSans 560 90 0 0 wbs_dat_i[29]
+flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
 port 629 nsew signal input
-flabel metal2 s 9127 -400 9183 240 0 FreeSans 560 90 0 0 wbs_dat_i[2]
+flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
 port 630 nsew signal input
-flabel metal2 s 59953 -400 60009 240 0 FreeSans 560 90 0 0 wbs_dat_i[30]
+flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
 port 631 nsew signal input
-flabel metal2 s 61726 -400 61782 240 0 FreeSans 560 90 0 0 wbs_dat_i[31]
+flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
 port 632 nsew signal input
-flabel metal2 s 11491 -400 11547 240 0 FreeSans 560 90 0 0 wbs_dat_i[3]
+flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
 port 633 nsew signal input
-flabel metal2 s 13855 -400 13911 240 0 FreeSans 560 90 0 0 wbs_dat_i[4]
+flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
 port 634 nsew signal input
-flabel metal2 s 15628 -400 15684 240 0 FreeSans 560 90 0 0 wbs_dat_i[5]
+flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
 port 635 nsew signal input
-flabel metal2 s 17401 -400 17457 240 0 FreeSans 560 90 0 0 wbs_dat_i[6]
+flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
 port 636 nsew signal input
-flabel metal2 s 19174 -400 19230 240 0 FreeSans 560 90 0 0 wbs_dat_i[7]
+flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
 port 637 nsew signal input
-flabel metal2 s 20947 -400 21003 240 0 FreeSans 560 90 0 0 wbs_dat_i[8]
+flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
 port 638 nsew signal input
-flabel metal2 s 22720 -400 22776 240 0 FreeSans 560 90 0 0 wbs_dat_i[9]
+flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
 port 639 nsew signal input
-flabel metal2 s 4990 -400 5046 240 0 FreeSans 560 90 0 0 wbs_dat_o[0]
+flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
 port 640 nsew signal tristate
-flabel metal2 s 25084 -400 25140 240 0 FreeSans 560 90 0 0 wbs_dat_o[10]
+flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
 port 641 nsew signal tristate
-flabel metal2 s 26857 -400 26913 240 0 FreeSans 560 90 0 0 wbs_dat_o[11]
+flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
 port 642 nsew signal tristate
-flabel metal2 s 28630 -400 28686 240 0 FreeSans 560 90 0 0 wbs_dat_o[12]
+flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
 port 643 nsew signal tristate
-flabel metal2 s 30403 -400 30459 240 0 FreeSans 560 90 0 0 wbs_dat_o[13]
+flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
 port 644 nsew signal tristate
-flabel metal2 s 32176 -400 32232 240 0 FreeSans 560 90 0 0 wbs_dat_o[14]
+flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
 port 645 nsew signal tristate
-flabel metal2 s 33949 -400 34005 240 0 FreeSans 560 90 0 0 wbs_dat_o[15]
+flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
 port 646 nsew signal tristate
-flabel metal2 s 35722 -400 35778 240 0 FreeSans 560 90 0 0 wbs_dat_o[16]
+flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
 port 647 nsew signal tristate
-flabel metal2 s 37495 -400 37551 240 0 FreeSans 560 90 0 0 wbs_dat_o[17]
+flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
 port 648 nsew signal tristate
-flabel metal2 s 39268 -400 39324 240 0 FreeSans 560 90 0 0 wbs_dat_o[18]
+flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
 port 649 nsew signal tristate
-flabel metal2 s 41041 -400 41097 240 0 FreeSans 560 90 0 0 wbs_dat_o[19]
+flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
 port 650 nsew signal tristate
-flabel metal2 s 7354 -400 7410 240 0 FreeSans 560 90 0 0 wbs_dat_o[1]
+flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
 port 651 nsew signal tristate
-flabel metal2 s 42814 -400 42870 240 0 FreeSans 560 90 0 0 wbs_dat_o[20]
+flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
 port 652 nsew signal tristate
-flabel metal2 s 44587 -400 44643 240 0 FreeSans 560 90 0 0 wbs_dat_o[21]
+flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
 port 653 nsew signal tristate
-flabel metal2 s 46360 -400 46416 240 0 FreeSans 560 90 0 0 wbs_dat_o[22]
+flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
 port 654 nsew signal tristate
-flabel metal2 s 48133 -400 48189 240 0 FreeSans 560 90 0 0 wbs_dat_o[23]
+flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
 port 655 nsew signal tristate
-flabel metal2 s 49906 -400 49962 240 0 FreeSans 560 90 0 0 wbs_dat_o[24]
+flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
 port 656 nsew signal tristate
-flabel metal2 s 51679 -400 51735 240 0 FreeSans 560 90 0 0 wbs_dat_o[25]
+flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
 port 657 nsew signal tristate
-flabel metal2 s 53452 -400 53508 240 0 FreeSans 560 90 0 0 wbs_dat_o[26]
+flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
 port 658 nsew signal tristate
-flabel metal2 s 55225 -400 55281 240 0 FreeSans 560 90 0 0 wbs_dat_o[27]
+flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
 port 659 nsew signal tristate
-flabel metal2 s 56998 -400 57054 240 0 FreeSans 560 90 0 0 wbs_dat_o[28]
+flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
 port 660 nsew signal tristate
-flabel metal2 s 58771 -400 58827 240 0 FreeSans 560 90 0 0 wbs_dat_o[29]
+flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
 port 661 nsew signal tristate
-flabel metal2 s 9718 -400 9774 240 0 FreeSans 560 90 0 0 wbs_dat_o[2]
+flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
 port 662 nsew signal tristate
-flabel metal2 s 60544 -400 60600 240 0 FreeSans 560 90 0 0 wbs_dat_o[30]
+flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
 port 663 nsew signal tristate
-flabel metal2 s 62317 -400 62373 240 0 FreeSans 560 90 0 0 wbs_dat_o[31]
+flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
 port 664 nsew signal tristate
-flabel metal2 s 12082 -400 12138 240 0 FreeSans 560 90 0 0 wbs_dat_o[3]
+flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
 port 665 nsew signal tristate
-flabel metal2 s 14446 -400 14502 240 0 FreeSans 560 90 0 0 wbs_dat_o[4]
+flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
 port 666 nsew signal tristate
-flabel metal2 s 16219 -400 16275 240 0 FreeSans 560 90 0 0 wbs_dat_o[5]
+flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
 port 667 nsew signal tristate
-flabel metal2 s 17992 -400 18048 240 0 FreeSans 560 90 0 0 wbs_dat_o[6]
+flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
 port 668 nsew signal tristate
-flabel metal2 s 19765 -400 19821 240 0 FreeSans 560 90 0 0 wbs_dat_o[7]
+flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
 port 669 nsew signal tristate
-flabel metal2 s 21538 -400 21594 240 0 FreeSans 560 90 0 0 wbs_dat_o[8]
+flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
 port 670 nsew signal tristate
-flabel metal2 s 23311 -400 23367 240 0 FreeSans 560 90 0 0 wbs_dat_o[9]
+flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
 port 671 nsew signal tristate
-flabel metal2 s 5581 -400 5637 240 0 FreeSans 560 90 0 0 wbs_sel_i[0]
+flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
 port 672 nsew signal input
-flabel metal2 s 7945 -400 8001 240 0 FreeSans 560 90 0 0 wbs_sel_i[1]
+flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
 port 673 nsew signal input
-flabel metal2 s 10309 -400 10365 240 0 FreeSans 560 90 0 0 wbs_sel_i[2]
+flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
 port 674 nsew signal input
-flabel metal2 s 12673 -400 12729 240 0 FreeSans 560 90 0 0 wbs_sel_i[3]
+flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
 port 675 nsew signal input
-flabel metal2 s 2626 -400 2682 240 0 FreeSans 560 90 0 0 wbs_stb_i
+flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i
 port 676 nsew signal input
-flabel metal2 s 3217 -400 3273 240 0 FreeSans 560 90 0 0 wbs_we_i
+flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
 port 677 nsew signal input
-flabel metal3 s 0 274721 830 277121 0 FreeSans 560 0 0 0 vssa2
+flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
 port 567 nsew signal bidirectional
-flabel metal3 s 0 279721 830 282121 0 FreeSans 560 0 0 0 vssa2
+flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2
 port 566 nsew signal bidirectional
 << properties >>
-string FIXED_BBOX 0 0 292000 352000
+string FIXED_BBOX 0 0 584000 704000
 << end >>
diff --git a/mag/user_analog_project_wrapper.sim b/mag/user_analog_project_wrapper.sim
deleted file mode 100644
index 92329ae..0000000
--- a/mag/user_analog_project_wrapper.sim
+++ /dev/null
@@ -1,77 +0,0 @@
-| units: 500000 tech: sky130A format: MIT
-x detV2_0/R/a_n35_n1860# io_analog[2] detV2_0/bot_cin vccd2 l=3720 w=70 x=444131 y=570101 sky130_fd_pr__res_xhigh_po
-x io_analog[3] detV2_0/bot_cin l=17400 w=17400 x=424017 y=557583 sky130_fd_pr__cap_mim_m3_1
-x io_analog[2] detV2_0/m2_n40996_n4008# l=17400 w=17400 x=445901 y=557615 sky130_fd_pr__cap_mim_m3_1
-x io_analog[1] detV2_0/bot_cin vccd2 vccd2 l=30 w=4500 x=443041 y=569411 sky130_fd_pr__nfet_01v8
-x otaV5_0/a_6890_1010# otaV5_0/a_6890_750# vccd2 vccd2 l=800 w=160 x=127326 y=543506 sky130_fd_pr__nfet_01v8
-x io_analog[9] otaV5_0/a_6890_1010# otaV5_0/a_7570_1796# vccd2 l=400 w=340 x=127506 y=544552 sky130_fd_pr__pfet_01v8
-x otaV5_0/a_8070_1014# otaV5_0/a_8070_1014# vccd2 vccd2 l=800 w=160 x=128506 y=543770 sky130_fd_pr__nfet_01v8
-x otaV5_0/a_6890_1010# otaV5_0/a_6890_1010# vccd2 vccd2 l=800 w=160 x=127326 y=543766 sky130_fd_pr__nfet_01v8
-x otaV5_0/a_6890_750# io_analog[7] vccd2 vccd2 l=200 w=280 x=129362 y=544542 sky130_fd_pr__pfet_01v8
-x io_analog[10] otaV5_0/a_7570_1796# vccd2 vccd2 l=800 w=1000 x=128006 y=545066 sky130_fd_pr__pfet_01v8
-x vssa2 io_analog[7] l=6400 w=6400 x=130880 y=541763 sky130_fd_pr__cap_mim_m3_1
-x otaV5_0/a_8070_1014# io_analog[7] vccd2 vccd2 l=800 w=160 x=128506 y=543510 sky130_fd_pr__nfet_01v8
-x otaV5_0/a_6890_750# otaV5_0/a_6890_750# vccd2 vccd2 l=200 w=280 x=129362 y=544922 sky130_fd_pr__pfet_01v8
-x io_analog[8] otaV5_0/a_7570_1796# otaV5_0/a_8070_1014# vccd2 l=400 w=340 x=128006 y=544552 sky130_fd_pr__pfet_01v8
-x io_analog[10] io_analog[10] vccd2 vccd2 l=800 w=1000 x=128006 y=546206 sky130_fd_pr__pfet_01v8
-C io_analog[7] vssa2 15.92
-C detV2_0/m2_n40996_n4008# io_analog[2] 103.09
-C io_analog[3] detV2_0/bot_cin 95.62
-C io_analog[8] io_analog[10] 2.34
-C io_analog[4] GND 25.05
-C io_analog[5] GND 25.05
-C io_analog[6] GND 25.05
-C vssa1 GND 26.08
-C vssd2 GND 13.04
-C vssd1 GND 13.04
-C vdda2 GND 13.04
-C vdda1 GND 26.08
-C vccd1 GND 13.04
-C io_clamp_high[0] GND 3.58
-C io_clamp_low[0] GND 3.58
-C io_clamp_high[1] GND 3.58
-C io_clamp_low[1] GND 3.58
-C io_clamp_high[2] GND 3.58
-C io_clamp_low[2] GND 3.58
-R vccd2 22581
-= vccd2 otaV5_0/Vp
-= vccd2 io_analog[0]
-= vccd2 detV2_0/R/VSUBS
-= vccd2 detV2_0/a_n45830_n11880#
-= vccd2 otaV5_0/Vn
-= vccd2 VSUBS
-C vssa2 GND 109.86
-= vssa2 otaV5_0/cltop
-C io_analog[7] GND 154.17
-R io_analog[7] 1042
-= io_analog[7] otaV5_0/Vout
-C io_analog[8] GND 124.54
-R io_analog[8] 436
-= io_analog[8] otaV5_0/Inn
-C io_analog[9] GND 231.73
-R io_analog[9] 458
-= io_analog[9] otaV5_0/Inp
-C io_analog[10] GND 186.71
-R io_analog[10] 2547
-= io_analog[10] otaV5_0/Ib
-C otaV5_0/a_8070_1014# GND 2.46
-R otaV5_0/a_8070_1014# 1158
-C otaV5_0/a_6890_1010# GND 2.62
-R otaV5_0/a_6890_1010# 1190
-C otaV5_0/a_6890_750# GND 2.45
-R otaV5_0/a_6890_750# 1244
-R otaV5_0/a_7570_1796# 3150
-C io_analog[3] GND 156.14
-= io_analog[3] detV2_0/din
-C io_analog[1] GND 313.30
-R io_analog[1] 7829
-= io_analog[1] detV2_0/db
-C detV2_0/m2_n40996_n4008# GND 114.20
-C io_analog[2] GND 181.40
-R io_analog[2] 188
-= io_analog[2] detV2_0/do
-= io_analog[2] detV2_0/R/a_n35_n2292#
-R detV2_0/R/a_n35_n1860# 106286
-C detV2_0/bot_cin GND 113.90
-R detV2_0/bot_cin 4575
-= detV2_0/bot_cin detV2_0/R/a_n35_1860#
diff --git a/mag/user_analog_project_wrapper.spice b/mag/user_analog_project_wrapper.spice
deleted file mode 100644
index a4a9482..0000000
--- a/mag/user_analog_project_wrapper.spice
+++ /dev/null
@@ -1,137 +0,0 @@
-* NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A
-
-.subckt sky130_fd_pr__res_xhigh_po_0p35_HDW2JU a_n35_1860# a_n35_n2292# VSUBS
-X0 a_n35_n2292# a_n35_1860# VSUBS sky130_fd_pr__res_xhigh_po w=350000u l=1.86e+07u
-.ends
-
-.subckt detV2 din db do gnd
-XR bot_cin do gnd sky130_fd_pr__res_xhigh_po_0p35_HDW2JU
-X0 din bot_cin sky130_fd_pr__cap_mim_m3_1 l=8.7e+07u w=8.7e+07u
-X1 do m2_n40996_n4008# sky130_fd_pr__cap_mim_m3_1 l=8.7e+07u w=8.7e+07u
-X2 gnd db bot_cin gnd sky130_fd_pr__nfet_01v8 w=2.25e+07u l=150000u
-.ends
-
-.subckt otaV5 Inp Inn Vout Ib cltop Vp Vn
-X0 Vn a_6890_1010# a_6890_750# Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
-X1 a_7570_1796# Inp a_6890_1010# Vp sky130_fd_pr__pfet_01v8 w=1.7e+06u l=2e+06u
-X2 Vn a_8070_1014# a_8070_1014# Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
-X3 Vn a_6890_1010# a_6890_1010# Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
-X4 Vp a_6890_750# Vout Vp sky130_fd_pr__pfet_01v8 w=1.4e+06u l=1e+06u
-X5 Vp Ib a_7570_1796# Vp sky130_fd_pr__pfet_01v8 w=5e+06u l=4e+06u
-X6 cltop Vout sky130_fd_pr__cap_mim_m3_1 l=3.2e+07u w=3.2e+07u
-X7 Vn a_8070_1014# Vout Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
-X8 Vp a_6890_750# a_6890_750# Vp sky130_fd_pr__pfet_01v8 w=1.4e+06u l=1e+06u
-X9 a_8070_1014# Inn a_7570_1796# Vp sky130_fd_pr__pfet_01v8 w=1.7e+06u l=2e+06u
-X10 Vp Ib Ib Vp sky130_fd_pr__pfet_01v8 w=5e+06u l=4e+06u
-.ends
-
-.subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11]
-+ gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16]
-+ gpio_analog[17] gpio_analog[1] gpio_analog[2] gpio_analog[3] gpio_analog[4] gpio_analog[5]
-+ gpio_analog[6] gpio_analog[7] gpio_analog[8] gpio_analog[9] gpio_noesd[0] gpio_noesd[10]
-+ gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16]
-+ gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5]
-+ gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[10]
-+ io_analog[1] io_analog[2] io_analog[3] io_analog[7] io_analog[8] io_analog[9] io_analog[4]
-+ io_analog[5] io_analog[6] io_clamp_high[0] io_clamp_high[1] io_clamp_high[2] io_clamp_low[0]
-+ io_clamp_low[1] io_clamp_low[2] io_in[0] io_in[10] io_in[11] io_in[12] io_in[13]
-+ io_in[14] io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21]
-+ io_in[22] io_in[23] io_in[24] io_in[25] io_in[26] io_in[2] io_in[3] io_in[4] io_in[5]
-+ io_in[6] io_in[7] io_in[8] io_in[9] io_in_3v3[0] io_in_3v3[10] io_in_3v3[11] io_in_3v3[12]
-+ io_in_3v3[13] io_in_3v3[14] io_in_3v3[15] io_in_3v3[16] io_in_3v3[17] io_in_3v3[18]
-+ io_in_3v3[19] io_in_3v3[1] io_in_3v3[20] io_in_3v3[21] io_in_3v3[22] io_in_3v3[23]
-+ io_in_3v3[24] io_in_3v3[25] io_in_3v3[26] io_in_3v3[2] io_in_3v3[3] io_in_3v3[4]
-+ io_in_3v3[5] io_in_3v3[6] io_in_3v3[7] io_in_3v3[8] io_in_3v3[9] io_oeb[0] io_oeb[10]
-+ io_oeb[11] io_oeb[12] io_oeb[13] io_oeb[14] io_oeb[15] io_oeb[16] io_oeb[17] io_oeb[18]
-+ io_oeb[19] io_oeb[1] io_oeb[20] io_oeb[21] io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25]
-+ io_oeb[26] io_oeb[2] io_oeb[3] io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7] io_oeb[8]
-+ io_oeb[9] io_out[0] io_out[10] io_out[11] io_out[12] io_out[13] io_out[14] io_out[15]
-+ io_out[16] io_out[17] io_out[18] io_out[19] io_out[1] io_out[20] io_out[21] io_out[22]
-+ io_out[23] io_out[24] io_out[25] io_out[26] io_out[2] io_out[3] io_out[4] io_out[5]
-+ io_out[6] io_out[7] io_out[8] io_out[9] la_data_in[0] la_data_in[100] la_data_in[101]
-+ la_data_in[102] la_data_in[103] la_data_in[104] la_data_in[105] la_data_in[106]
-+ la_data_in[107] la_data_in[108] la_data_in[109] la_data_in[10] la_data_in[110] la_data_in[111]
-+ la_data_in[112] la_data_in[113] la_data_in[114] la_data_in[115] la_data_in[116]
-+ la_data_in[117] la_data_in[118] la_data_in[119] la_data_in[11] la_data_in[120] la_data_in[121]
-+ la_data_in[122] la_data_in[123] la_data_in[124] la_data_in[125] la_data_in[126]
-+ la_data_in[127] la_data_in[12] la_data_in[13] la_data_in[14] la_data_in[15] la_data_in[16]
-+ la_data_in[17] la_data_in[18] la_data_in[19] la_data_in[1] la_data_in[20] la_data_in[21]
-+ la_data_in[22] la_data_in[23] la_data_in[24] la_data_in[25] la_data_in[26] la_data_in[27]
-+ la_data_in[28] la_data_in[29] la_data_in[2] la_data_in[30] la_data_in[31] la_data_in[32]
-+ la_data_in[33] la_data_in[34] la_data_in[35] la_data_in[36] la_data_in[37] la_data_in[38]
-+ la_data_in[39] la_data_in[3] la_data_in[40] la_data_in[41] la_data_in[42] la_data_in[43]
-+ la_data_in[44] la_data_in[45] la_data_in[46] la_data_in[47] la_data_in[48] la_data_in[49]
-+ la_data_in[4] la_data_in[50] la_data_in[51] la_data_in[52] la_data_in[53] la_data_in[54]
-+ la_data_in[55] la_data_in[56] la_data_in[57] la_data_in[58] la_data_in[59] la_data_in[5]
-+ la_data_in[60] la_data_in[61] la_data_in[62] la_data_in[63] la_data_in[64] la_data_in[65]
-+ la_data_in[66] la_data_in[67] la_data_in[68] la_data_in[69] la_data_in[6] la_data_in[70]
-+ la_data_in[71] la_data_in[72] la_data_in[73] la_data_in[74] la_data_in[75] la_data_in[76]
-+ la_data_in[77] la_data_in[78] la_data_in[79] la_data_in[7] la_data_in[80] la_data_in[81]
-+ la_data_in[82] la_data_in[83] la_data_in[84] la_data_in[85] la_data_in[86] la_data_in[87]
-+ la_data_in[88] la_data_in[89] la_data_in[8] la_data_in[90] la_data_in[91] la_data_in[92]
-+ la_data_in[93] la_data_in[94] la_data_in[95] la_data_in[96] la_data_in[97] la_data_in[98]
-+ la_data_in[99] la_data_in[9] la_data_out[0] la_data_out[100] la_data_out[101] la_data_out[102]
-+ la_data_out[103] la_data_out[104] la_data_out[105] la_data_out[106] la_data_out[107]
-+ la_data_out[108] la_data_out[109] la_data_out[10] la_data_out[110] la_data_out[111]
-+ la_data_out[112] la_data_out[113] la_data_out[114] la_data_out[115] la_data_out[116]
-+ la_data_out[117] la_data_out[118] la_data_out[119] la_data_out[11] la_data_out[120]
-+ la_data_out[121] la_data_out[122] la_data_out[123] la_data_out[124] la_data_out[125]
-+ la_data_out[126] la_data_out[127] la_data_out[12] la_data_out[13] la_data_out[14]
-+ la_data_out[15] la_data_out[16] la_data_out[17] la_data_out[18] la_data_out[19]
-+ la_data_out[1] la_data_out[20] la_data_out[21] la_data_out[22] la_data_out[23] la_data_out[24]
-+ la_data_out[25] la_data_out[26] la_data_out[27] la_data_out[28] la_data_out[29]
-+ la_data_out[2] la_data_out[30] la_data_out[31] la_data_out[32] la_data_out[33] la_data_out[34]
-+ la_data_out[35] la_data_out[36] la_data_out[37] la_data_out[38] la_data_out[39]
-+ la_data_out[3] la_data_out[40] la_data_out[41] la_data_out[42] la_data_out[43] la_data_out[44]
-+ la_data_out[45] la_data_out[46] la_data_out[47] la_data_out[48] la_data_out[49]
-+ la_data_out[4] la_data_out[50] la_data_out[51] la_data_out[52] la_data_out[53] la_data_out[54]
-+ la_data_out[55] la_data_out[56] la_data_out[57] la_data_out[58] la_data_out[59]
-+ la_data_out[5] la_data_out[60] la_data_out[61] la_data_out[62] la_data_out[63] la_data_out[64]
-+ la_data_out[65] la_data_out[66] la_data_out[67] la_data_out[68] la_data_out[69]
-+ la_data_out[6] la_data_out[70] la_data_out[71] la_data_out[72] la_data_out[73] la_data_out[74]
-+ la_data_out[75] la_data_out[76] la_data_out[77] la_data_out[78] la_data_out[79]
-+ la_data_out[7] la_data_out[80] la_data_out[81] la_data_out[82] la_data_out[83] la_data_out[84]
-+ la_data_out[85] la_data_out[86] la_data_out[87] la_data_out[88] la_data_out[89]
-+ la_data_out[8] la_data_out[90] la_data_out[91] la_data_out[92] la_data_out[93] la_data_out[94]
-+ la_data_out[95] la_data_out[96] la_data_out[97] la_data_out[98] la_data_out[99]
-+ la_data_out[9] la_oenb[0] la_oenb[100] la_oenb[101] la_oenb[102] la_oenb[103] la_oenb[104]
-+ la_oenb[105] la_oenb[106] la_oenb[107] la_oenb[108] la_oenb[109] la_oenb[10] la_oenb[110]
-+ la_oenb[111] la_oenb[112] la_oenb[113] la_oenb[114] la_oenb[115] la_oenb[116] la_oenb[117]
-+ la_oenb[118] la_oenb[119] la_oenb[11] la_oenb[120] la_oenb[121] la_oenb[122] la_oenb[123]
-+ la_oenb[124] la_oenb[125] la_oenb[126] la_oenb[127] la_oenb[12] la_oenb[13] la_oenb[14]
-+ la_oenb[15] la_oenb[16] la_oenb[17] la_oenb[18] la_oenb[19] la_oenb[1] la_oenb[20]
-+ la_oenb[21] la_oenb[22] la_oenb[23] la_oenb[24] la_oenb[25] la_oenb[26] la_oenb[27]
-+ la_oenb[28] la_oenb[29] la_oenb[2] la_oenb[30] la_oenb[31] la_oenb[32] la_oenb[33]
-+ la_oenb[34] la_oenb[35] la_oenb[36] la_oenb[37] la_oenb[38] la_oenb[39] la_oenb[3]
-+ la_oenb[40] la_oenb[41] la_oenb[42] la_oenb[43] la_oenb[44] la_oenb[45] la_oenb[46]
-+ la_oenb[47] la_oenb[48] la_oenb[49] la_oenb[4] la_oenb[50] la_oenb[51] la_oenb[52]
-+ la_oenb[53] la_oenb[54] la_oenb[55] la_oenb[56] la_oenb[57] la_oenb[58] la_oenb[59]
-+ la_oenb[5] la_oenb[60] la_oenb[61] la_oenb[62] la_oenb[63] la_oenb[64] la_oenb[65]
-+ la_oenb[66] la_oenb[67] la_oenb[68] la_oenb[69] la_oenb[6] la_oenb[70] la_oenb[71]
-+ la_oenb[72] la_oenb[73] la_oenb[74] la_oenb[75] la_oenb[76] la_oenb[77] la_oenb[78]
-+ la_oenb[79] la_oenb[7] la_oenb[80] la_oenb[81] la_oenb[82] la_oenb[83] la_oenb[84]
-+ la_oenb[85] la_oenb[86] la_oenb[87] la_oenb[88] la_oenb[89] la_oenb[8] la_oenb[90]
-+ la_oenb[91] la_oenb[92] la_oenb[93] la_oenb[94] la_oenb[95] la_oenb[96] la_oenb[97]
-+ la_oenb[98] la_oenb[99] la_oenb[9] user_clock2 user_irq[0] user_irq[1] user_irq[2]
-+ vccd1 vccd2 vdda1 vdda2 vssa2 vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0]
-+ wbs_adr_i[10] wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15]
-+ wbs_adr_i[16] wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20]
-+ wbs_adr_i[21] wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26]
-+ wbs_adr_i[27] wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31]
-+ wbs_adr_i[3] wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9]
-+ wbs_cyc_i wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14]
-+ wbs_dat_i[15] wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1]
-+ wbs_dat_i[20] wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25]
-+ wbs_dat_i[26] wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30]
-+ wbs_dat_i[31] wbs_dat_i[3] wbs_dat_i[4] wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8]
-+ wbs_dat_i[9] wbs_dat_o[0] wbs_dat_o[10] wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13]
-+ wbs_dat_o[14] wbs_dat_o[15] wbs_dat_o[16] wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19]
-+ wbs_dat_o[1] wbs_dat_o[20] wbs_dat_o[21] wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24]
-+ wbs_dat_o[25] wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2]
-+ wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6]
-+ wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
-+ wbs_stb_i wbs_we_i
-XdetV2_0 io_analog[3] io_analog[1] io_analog[2] vccd2 detV2
-XotaV5_0 io_analog[9] io_analog[8] io_analog[7] io_analog[10] vssa2 vccd1 vccd2 otaV5
-.ends
-
diff --git a/mag/user_analog_project_wrapper__.mag b/mag/user_analog_project_wrapper__.mag
deleted file mode 100644
index 558ea7d..0000000
--- a/mag/user_analog_project_wrapper__.mag
+++ /dev/null
@@ -1,2342 +0,0 @@
-magic
-tech sky130A
-timestamp 1647896996
-<< locali >>
-rect 221390 277265 221689 277650
-rect 221007 276961 222007 277265
-rect 221007 276942 222010 276961
-rect 221010 271221 222010 276942
-rect 221001 270997 222010 271221
-rect 221001 270883 222008 270997
-rect 221001 270289 221161 270883
-rect 221793 270289 222008 270883
-rect 221001 269990 222008 270289
-<< viali >>
-rect 221161 270289 221793 270883
-<< metal1 >>
-rect 255507 339235 257511 339524
-rect 255507 338998 255963 339235
-rect 221003 338246 255963 338998
-rect 257036 338246 257511 339235
-rect 221003 337998 257511 338246
-rect 52712 309216 53212 309424
-rect 52712 308619 52818 309216
-rect 52711 308200 52818 308619
-rect 53114 308200 53212 309216
-rect 48239 307644 48739 307930
-rect 48239 306734 48336 307644
-rect 48627 306734 48739 307644
-rect 48239 306502 48739 306734
-rect 52711 307924 53212 308200
-rect 48239 306467 48743 306502
-rect 48243 276996 48743 306467
-rect 52711 289120 53211 307924
-rect 221004 289227 222004 337998
-rect 255507 337981 257511 337998
-rect 52711 288620 68261 289120
-rect 221003 289103 222005 289227
-rect 52711 288619 53211 288620
-rect 68059 286223 68259 288620
-rect 68051 276996 68251 278591
-rect 48240 276759 68251 276996
-rect 48240 276496 68240 276759
-rect 221001 270883 222008 271221
-rect 221001 270289 221161 270883
-rect 221793 270289 222008 270883
-rect 221001 269990 222008 270289
-<< via1 >>
-rect 255963 338246 257036 339235
-rect 52818 308200 53114 309216
-rect 48336 306734 48627 307644
-rect 221161 270289 221793 270883
-<< metal2 >>
-rect 34863 345678 35678 346029
-rect 34863 344689 34996 345678
-rect 35540 344689 35678 345678
-rect 34863 344513 35678 344689
-rect 34863 290669 35673 344513
-rect 255507 339235 257511 339524
-rect 255507 338246 255963 339235
-rect 257036 338246 257511 339235
-rect 255507 337981 257511 338246
-rect 52712 309216 53212 309424
-rect 52712 308200 52818 309216
-rect 53114 308200 53212 309216
-rect 48239 307644 48739 307930
-rect 52712 307924 53212 308200
-rect 48239 306734 48336 307644
-rect 48627 306734 48739 307644
-rect 48239 306467 48739 306734
-rect 34863 290500 67498 290669
-rect 34864 290461 67498 290500
-rect 67398 286169 67498 290461
-rect 221001 270883 222008 271221
-rect 221001 270289 221161 270883
-rect 221793 270289 222008 270883
-rect 221001 269990 222008 270289
-rect 262 -400 318 240
-rect 853 -400 909 240
-rect 1444 -400 1500 240
-rect 2035 -400 2091 240
-rect 2626 -400 2682 240
-rect 3217 -400 3273 240
-rect 3808 -400 3864 240
-rect 4399 -400 4455 240
-rect 4990 -400 5046 240
-rect 5581 -400 5637 240
-rect 6172 -400 6228 240
-rect 6763 -400 6819 240
-rect 7354 -400 7410 240
-rect 7945 -400 8001 240
-rect 8536 -400 8592 240
-rect 9127 -400 9183 240
-rect 9718 -400 9774 240
-rect 10309 -400 10365 240
-rect 10900 -400 10956 240
-rect 11491 -400 11547 240
-rect 12082 -400 12138 240
-rect 12673 -400 12729 240
-rect 13264 -400 13320 240
-rect 13855 -400 13911 240
-rect 14446 -400 14502 240
-rect 15037 -400 15093 240
-rect 15628 -400 15684 240
-rect 16219 -400 16275 240
-rect 16810 -400 16866 240
-rect 17401 -400 17457 240
-rect 17992 -400 18048 240
-rect 18583 -400 18639 240
-rect 19174 -400 19230 240
-rect 19765 -400 19821 240
-rect 20356 -400 20412 240
-rect 20947 -400 21003 240
-rect 21538 -400 21594 240
-rect 22129 -400 22185 240
-rect 22720 -400 22776 240
-rect 23311 -400 23367 240
-rect 23902 -400 23958 240
-rect 24493 -400 24549 240
-rect 25084 -400 25140 240
-rect 25675 -400 25731 240
-rect 26266 -400 26322 240
-rect 26857 -400 26913 240
-rect 27448 -400 27504 240
-rect 28039 -400 28095 240
-rect 28630 -400 28686 240
-rect 29221 -400 29277 240
-rect 29812 -400 29868 240
-rect 30403 -400 30459 240
-rect 30994 -400 31050 240
-rect 31585 -400 31641 240
-rect 32176 -400 32232 240
-rect 32767 -400 32823 240
-rect 33358 -400 33414 240
-rect 33949 -400 34005 240
-rect 34540 -400 34596 240
-rect 35131 -400 35187 240
-rect 35722 -400 35778 240
-rect 36313 -400 36369 240
-rect 36904 -400 36960 240
-rect 37495 -400 37551 240
-rect 38086 -400 38142 240
-rect 38677 -400 38733 240
-rect 39268 -400 39324 240
-rect 39859 -400 39915 240
-rect 40450 -400 40506 240
-rect 41041 -400 41097 240
-rect 41632 -400 41688 240
-rect 42223 -400 42279 240
-rect 42814 -400 42870 240
-rect 43405 -400 43461 240
-rect 43996 -400 44052 240
-rect 44587 -400 44643 240
-rect 45178 -400 45234 240
-rect 45769 -400 45825 240
-rect 46360 -400 46416 240
-rect 46951 -400 47007 240
-rect 47542 -400 47598 240
-rect 48133 -400 48189 240
-rect 48724 -400 48780 240
-rect 49315 -400 49371 240
-rect 49906 -400 49962 240
-rect 50497 -400 50553 240
-rect 51088 -400 51144 240
-rect 51679 -400 51735 240
-rect 52270 -400 52326 240
-rect 52861 -400 52917 240
-rect 53452 -400 53508 240
-rect 54043 -400 54099 240
-rect 54634 -400 54690 240
-rect 55225 -400 55281 240
-rect 55816 -400 55872 240
-rect 56407 -400 56463 240
-rect 56998 -400 57054 240
-rect 57589 -400 57645 240
-rect 58180 -400 58236 240
-rect 58771 -400 58827 240
-rect 59362 -400 59418 240
-rect 59953 -400 60009 240
-rect 60544 -400 60600 240
-rect 61135 -400 61191 240
-rect 61726 -400 61782 240
-rect 62317 -400 62373 240
-rect 62908 -400 62964 240
-rect 63499 -400 63555 240
-rect 64090 -400 64146 240
-rect 64681 -400 64737 240
-rect 65272 -400 65328 240
-rect 65863 -400 65919 240
-rect 66454 -400 66510 240
-rect 67045 -400 67101 240
-rect 67636 -400 67692 240
-rect 68227 -400 68283 240
-rect 68818 -400 68874 240
-rect 69409 -400 69465 240
-rect 70000 -400 70056 240
-rect 70591 -400 70647 240
-rect 71182 -400 71238 240
-rect 71773 -400 71829 240
-rect 72364 -400 72420 240
-rect 72955 -400 73011 240
-rect 73546 -400 73602 240
-rect 74137 -400 74193 240
-rect 74728 -400 74784 240
-rect 75319 -400 75375 240
-rect 75910 -400 75966 240
-rect 76501 -400 76557 240
-rect 77092 -400 77148 240
-rect 77683 -400 77739 240
-rect 78274 -400 78330 240
-rect 78865 -400 78921 240
-rect 79456 -400 79512 240
-rect 80047 -400 80103 240
-rect 80638 -400 80694 240
-rect 81229 -400 81285 240
-rect 81820 -400 81876 240
-rect 82411 -400 82467 240
-rect 83002 -400 83058 240
-rect 83593 -400 83649 240
-rect 84184 -400 84240 240
-rect 84775 -400 84831 240
-rect 85366 -400 85422 240
-rect 85957 -400 86013 240
-rect 86548 -400 86604 240
-rect 87139 -400 87195 240
-rect 87730 -400 87786 240
-rect 88321 -400 88377 240
-rect 88912 -400 88968 240
-rect 89503 -400 89559 240
-rect 90094 -400 90150 240
-rect 90685 -400 90741 240
-rect 91276 -400 91332 240
-rect 91867 -400 91923 240
-rect 92458 -400 92514 240
-rect 93049 -400 93105 240
-rect 93640 -400 93696 240
-rect 94231 -400 94287 240
-rect 94822 -400 94878 240
-rect 95413 -400 95469 240
-rect 96004 -400 96060 240
-rect 96595 -400 96651 240
-rect 97186 -400 97242 240
-rect 97777 -400 97833 240
-rect 98368 -400 98424 240
-rect 98959 -400 99015 240
-rect 99550 -400 99606 240
-rect 100141 -400 100197 240
-rect 100732 -400 100788 240
-rect 101323 -400 101379 240
-rect 101914 -400 101970 240
-rect 102505 -400 102561 240
-rect 103096 -400 103152 240
-rect 103687 -400 103743 240
-rect 104278 -400 104334 240
-rect 104869 -400 104925 240
-rect 105460 -400 105516 240
-rect 106051 -400 106107 240
-rect 106642 -400 106698 240
-rect 107233 -400 107289 240
-rect 107824 -400 107880 240
-rect 108415 -400 108471 240
-rect 109006 -400 109062 240
-rect 109597 -400 109653 240
-rect 110188 -400 110244 240
-rect 110779 -400 110835 240
-rect 111370 -400 111426 240
-rect 111961 -400 112017 240
-rect 112552 -400 112608 240
-rect 113143 -400 113199 240
-rect 113734 -400 113790 240
-rect 114325 -400 114381 240
-rect 114916 -400 114972 240
-rect 115507 -400 115563 240
-rect 116098 -400 116154 240
-rect 116689 -400 116745 240
-rect 117280 -400 117336 240
-rect 117871 -400 117927 240
-rect 118462 -400 118518 240
-rect 119053 -400 119109 240
-rect 119644 -400 119700 240
-rect 120235 -400 120291 240
-rect 120826 -400 120882 240
-rect 121417 -400 121473 240
-rect 122008 -400 122064 240
-rect 122599 -400 122655 240
-rect 123190 -400 123246 240
-rect 123781 -400 123837 240
-rect 124372 -400 124428 240
-rect 124963 -400 125019 240
-rect 125554 -400 125610 240
-rect 126145 -400 126201 240
-rect 126736 -400 126792 240
-rect 127327 -400 127383 240
-rect 127918 -400 127974 240
-rect 128509 -400 128565 240
-rect 129100 -400 129156 240
-rect 129691 -400 129747 240
-rect 130282 -400 130338 240
-rect 130873 -400 130929 240
-rect 131464 -400 131520 240
-rect 132055 -400 132111 240
-rect 132646 -400 132702 240
-rect 133237 -400 133293 240
-rect 133828 -400 133884 240
-rect 134419 -400 134475 240
-rect 135010 -400 135066 240
-rect 135601 -400 135657 240
-rect 136192 -400 136248 240
-rect 136783 -400 136839 240
-rect 137374 -400 137430 240
-rect 137965 -400 138021 240
-rect 138556 -400 138612 240
-rect 139147 -400 139203 240
-rect 139738 -400 139794 240
-rect 140329 -400 140385 240
-rect 140920 -400 140976 240
-rect 141511 -400 141567 240
-rect 142102 -400 142158 240
-rect 142693 -400 142749 240
-rect 143284 -400 143340 240
-rect 143875 -400 143931 240
-rect 144466 -400 144522 240
-rect 145057 -400 145113 240
-rect 145648 -400 145704 240
-rect 146239 -400 146295 240
-rect 146830 -400 146886 240
-rect 147421 -400 147477 240
-rect 148012 -400 148068 240
-rect 148603 -400 148659 240
-rect 149194 -400 149250 240
-rect 149785 -400 149841 240
-rect 150376 -400 150432 240
-rect 150967 -400 151023 240
-rect 151558 -400 151614 240
-rect 152149 -400 152205 240
-rect 152740 -400 152796 240
-rect 153331 -400 153387 240
-rect 153922 -400 153978 240
-rect 154513 -400 154569 240
-rect 155104 -400 155160 240
-rect 155695 -400 155751 240
-rect 156286 -400 156342 240
-rect 156877 -400 156933 240
-rect 157468 -400 157524 240
-rect 158059 -400 158115 240
-rect 158650 -400 158706 240
-rect 159241 -400 159297 240
-rect 159832 -400 159888 240
-rect 160423 -400 160479 240
-rect 161014 -400 161070 240
-rect 161605 -400 161661 240
-rect 162196 -400 162252 240
-rect 162787 -400 162843 240
-rect 163378 -400 163434 240
-rect 163969 -400 164025 240
-rect 164560 -400 164616 240
-rect 165151 -400 165207 240
-rect 165742 -400 165798 240
-rect 166333 -400 166389 240
-rect 166924 -400 166980 240
-rect 167515 -400 167571 240
-rect 168106 -400 168162 240
-rect 168697 -400 168753 240
-rect 169288 -400 169344 240
-rect 169879 -400 169935 240
-rect 170470 -400 170526 240
-rect 171061 -400 171117 240
-rect 171652 -400 171708 240
-rect 172243 -400 172299 240
-rect 172834 -400 172890 240
-rect 173425 -400 173481 240
-rect 174016 -400 174072 240
-rect 174607 -400 174663 240
-rect 175198 -400 175254 240
-rect 175789 -400 175845 240
-rect 176380 -400 176436 240
-rect 176971 -400 177027 240
-rect 177562 -400 177618 240
-rect 178153 -400 178209 240
-rect 178744 -400 178800 240
-rect 179335 -400 179391 240
-rect 179926 -400 179982 240
-rect 180517 -400 180573 240
-rect 181108 -400 181164 240
-rect 181699 -400 181755 240
-rect 182290 -400 182346 240
-rect 182881 -400 182937 240
-rect 183472 -400 183528 240
-rect 184063 -400 184119 240
-rect 184654 -400 184710 240
-rect 185245 -400 185301 240
-rect 185836 -400 185892 240
-rect 186427 -400 186483 240
-rect 187018 -400 187074 240
-rect 187609 -400 187665 240
-rect 188200 -400 188256 240
-rect 188791 -400 188847 240
-rect 189382 -400 189438 240
-rect 189973 -400 190029 240
-rect 190564 -400 190620 240
-rect 191155 -400 191211 240
-rect 191746 -400 191802 240
-rect 192337 -400 192393 240
-rect 192928 -400 192984 240
-rect 193519 -400 193575 240
-rect 194110 -400 194166 240
-rect 194701 -400 194757 240
-rect 195292 -400 195348 240
-rect 195883 -400 195939 240
-rect 196474 -400 196530 240
-rect 197065 -400 197121 240
-rect 197656 -400 197712 240
-rect 198247 -400 198303 240
-rect 198838 -400 198894 240
-rect 199429 -400 199485 240
-rect 200020 -400 200076 240
-rect 200611 -400 200667 240
-rect 201202 -400 201258 240
-rect 201793 -400 201849 240
-rect 202384 -400 202440 240
-rect 202975 -400 203031 240
-rect 203566 -400 203622 240
-rect 204157 -400 204213 240
-rect 204748 -400 204804 240
-rect 205339 -400 205395 240
-rect 205930 -400 205986 240
-rect 206521 -400 206577 240
-rect 207112 -400 207168 240
-rect 207703 -400 207759 240
-rect 208294 -400 208350 240
-rect 208885 -400 208941 240
-rect 209476 -400 209532 240
-rect 210067 -400 210123 240
-rect 210658 -400 210714 240
-rect 211249 -400 211305 240
-rect 211840 -400 211896 240
-rect 212431 -400 212487 240
-rect 213022 -400 213078 240
-rect 213613 -400 213669 240
-rect 214204 -400 214260 240
-rect 214795 -400 214851 240
-rect 215386 -400 215442 240
-rect 215977 -400 216033 240
-rect 216568 -400 216624 240
-rect 217159 -400 217215 240
-rect 217750 -400 217806 240
-rect 218341 -400 218397 240
-rect 218932 -400 218988 240
-rect 219523 -400 219579 240
-rect 220114 -400 220170 240
-rect 220705 -400 220761 240
-rect 221296 -400 221352 240
-rect 221887 -400 221943 240
-rect 222478 -400 222534 240
-rect 223069 -400 223125 240
-rect 223660 -400 223716 240
-rect 224251 -400 224307 240
-rect 224842 -400 224898 240
-rect 225433 -400 225489 240
-rect 226024 -400 226080 240
-rect 226615 -400 226671 240
-rect 227206 -400 227262 240
-rect 227797 -400 227853 240
-rect 228388 -400 228444 240
-rect 228979 -400 229035 240
-rect 229570 -400 229626 240
-rect 230161 -400 230217 240
-rect 230752 -400 230808 240
-rect 231343 -400 231399 240
-rect 231934 -400 231990 240
-rect 232525 -400 232581 240
-rect 233116 -400 233172 240
-rect 233707 -400 233763 240
-rect 234298 -400 234354 240
-rect 234889 -400 234945 240
-rect 235480 -400 235536 240
-rect 236071 -400 236127 240
-rect 236662 -400 236718 240
-rect 237253 -400 237309 240
-rect 237844 -400 237900 240
-rect 238435 -400 238491 240
-rect 239026 -400 239082 240
-rect 239617 -400 239673 240
-rect 240208 -400 240264 240
-rect 240799 -400 240855 240
-rect 241390 -400 241446 240
-rect 241981 -400 242037 240
-rect 242572 -400 242628 240
-rect 243163 -400 243219 240
-rect 243754 -400 243810 240
-rect 244345 -400 244401 240
-rect 244936 -400 244992 240
-rect 245527 -400 245583 240
-rect 246118 -400 246174 240
-rect 246709 -400 246765 240
-rect 247300 -400 247356 240
-rect 247891 -400 247947 240
-rect 248482 -400 248538 240
-rect 249073 -400 249129 240
-rect 249664 -400 249720 240
-rect 250255 -400 250311 240
-rect 250846 -400 250902 240
-rect 251437 -400 251493 240
-rect 252028 -400 252084 240
-rect 252619 -400 252675 240
-rect 253210 -400 253266 240
-rect 253801 -400 253857 240
-rect 254392 -400 254448 240
-rect 254983 -400 255039 240
-rect 255574 -400 255630 240
-rect 256165 -400 256221 240
-rect 256756 -400 256812 240
-rect 257347 -400 257403 240
-rect 257938 -400 257994 240
-rect 258529 -400 258585 240
-rect 259120 -400 259176 240
-rect 259711 -400 259767 240
-rect 260302 -400 260358 240
-rect 260893 -400 260949 240
-rect 261484 -400 261540 240
-rect 262075 -400 262131 240
-rect 262666 -400 262722 240
-rect 263257 -400 263313 240
-rect 263848 -400 263904 240
-rect 264439 -400 264495 240
-rect 265030 -400 265086 240
-rect 265621 -400 265677 240
-rect 266212 -400 266268 240
-rect 266803 -400 266859 240
-rect 267394 -400 267450 240
-rect 267985 -400 268041 240
-rect 268576 -400 268632 240
-rect 269167 -400 269223 240
-rect 269758 -400 269814 240
-rect 270349 -400 270405 240
-rect 270940 -400 270996 240
-rect 271531 -400 271587 240
-rect 272122 -400 272178 240
-rect 272713 -400 272769 240
-rect 273304 -400 273360 240
-rect 273895 -400 273951 240
-rect 274486 -400 274542 240
-rect 275077 -400 275133 240
-rect 275668 -400 275724 240
-rect 276259 -400 276315 240
-rect 276850 -400 276906 240
-rect 277441 -400 277497 240
-rect 278032 -400 278088 240
-rect 278623 -400 278679 240
-rect 279214 -400 279270 240
-rect 279805 -400 279861 240
-rect 280396 -400 280452 240
-rect 280987 -400 281043 240
-rect 281578 -400 281634 240
-rect 282169 -400 282225 240
-rect 282760 -400 282816 240
-rect 283351 -400 283407 240
-rect 283942 -400 283998 240
-rect 284533 -400 284589 240
-rect 285124 -400 285180 240
-rect 285715 -400 285771 240
-rect 286306 -400 286362 240
-rect 286897 -400 286953 240
-rect 287488 -400 287544 240
-rect 288079 -400 288135 240
-rect 288670 -400 288726 240
-rect 289261 -400 289317 240
-rect 289852 -400 289908 240
-rect 290443 -400 290499 240
-rect 291034 -400 291090 240
-rect 291625 -400 291681 240
-<< via2 >>
-rect 34996 344689 35540 345678
-rect 255963 338246 257036 339235
-rect 52818 308200 53114 309216
-rect 48336 306734 48627 307644
-rect 221161 270289 221793 270883
-<< metal3 >>
-rect 8097 351150 10597 352400
-rect 34097 351150 36597 352400
-rect 60097 351150 62597 352400
-rect 82797 351150 85297 352400
-rect 85447 351150 86547 352400
-rect 86697 351150 87797 352400
-rect 87947 351150 90447 352400
-rect 108647 351150 111147 352400
-rect 111297 351150 112397 352400
-rect 112547 351150 113647 352400
-rect 113797 351150 116297 352400
-rect 159497 351150 161997 352400
-rect 162147 351150 163247 352400
-rect 163397 351150 164497 352400
-rect 164647 351150 167147 352400
-rect 206697 351150 209197 352400
-rect 232697 351150 235197 352400
-rect 255297 351170 257697 352400
-rect 260297 351170 262697 352400
-rect 8888 347436 9806 351150
-rect 8841 347091 9841 347436
-rect 8841 345827 9057 347091
-rect 9665 345827 9841 347091
-rect 34862 346008 35678 351150
-rect 8841 345436 9841 345827
-rect 34863 345678 35678 346008
-rect 60772 345855 61908 351150
-rect 34863 344689 34996 345678
-rect 35540 344689 35678 345678
-rect 34863 344513 35678 344689
-rect 60753 345830 74359 345855
-rect 60753 344425 74361 345830
-rect -400 341999 850 342621
-rect 57981 341999 61969 342523
-rect -400 341960 61969 341999
-rect -400 341012 59012 341960
-rect 60991 341012 61969 341960
-rect -400 340999 61969 341012
-rect -400 340121 850 340999
-rect 57981 340494 61969 340999
-rect -400 324017 830 324321
-rect -400 323765 53212 324017
-rect -407 323517 53212 323765
-rect -407 322191 834 323517
-rect -400 321921 830 322191
-rect -400 318998 830 319321
-rect -400 318545 48738 318998
-rect -401 318498 48738 318545
-rect -401 318494 830 318498
-rect -401 317494 819 318494
-rect -401 317015 830 317494
-rect -400 316921 830 317015
-rect 48239 307930 48739 318498
-rect 48238 307877 48739 307930
-rect 52712 309216 53212 323517
-rect 52712 308200 52818 309216
-rect 53114 308200 53212 309216
-rect 52712 307924 53212 308200
-rect 48239 307644 48739 307877
-rect 48239 306734 48336 307644
-rect 48627 306734 48739 307644
-rect 48239 306467 48739 306734
-rect 8835 290488 9836 290625
-rect 8835 290116 9059 290488
-rect 9626 290116 9836 290488
-rect 8835 290095 9836 290116
-rect 8835 290094 49000 290095
-rect 8835 289995 67196 290094
-rect 8835 289993 9836 289995
-rect 37196 289994 67196 289995
-rect 67096 285855 67196 289994
-rect 73002 283133 74361 344425
-rect 207499 334019 208499 351150
-rect 207498 333570 208499 334019
-rect 207498 332497 207740 333570
-rect 208279 333491 208499 333570
-rect 208279 332497 208495 333491
-rect 207498 331810 208495 332497
-rect 233502 325002 234502 351150
-rect 256000 339524 257000 351170
-rect 255507 339493 257511 339524
-rect 260999 339493 261999 351170
-rect 283297 351150 285797 352400
-rect 255507 339235 261999 339493
-rect 255507 338246 255963 339235
-rect 257036 339002 261999 339235
-rect 257036 338493 261987 339002
-rect 257036 338246 257511 338493
-rect 255507 337981 257511 338246
-rect 283996 334498 284996 351150
-rect 291150 338992 292400 341492
-rect 249995 334350 284996 334498
-rect 249995 333656 250149 334350
-rect 250846 333656 284996 334350
-rect 249995 333498 284996 333656
-rect 233502 324652 234503 325002
-rect 233502 323711 233727 324652
-rect 233503 323284 233727 323711
-rect 234235 323284 234503 324652
-rect 233503 323002 234503 323284
-rect 291170 319892 292400 322292
-rect 291170 314892 292400 317292
-rect 291760 294736 292400 294792
-rect 291760 294145 292400 294201
-rect 291760 293554 292400 293610
-rect 291760 292963 292400 293019
-rect 291760 292372 292400 292428
-rect 291760 291781 292400 291837
-rect -400 281270 830 282121
-rect -400 280221 832 281270
-rect -400 279721 830 280221
-rect -400 275936 830 277121
-rect 4665 275936 5975 275938
-rect 7966 275936 8571 275937
-rect -400 275931 70686 275936
-rect 71670 275931 74500 275932
-rect -400 275797 74500 275931
-rect -400 275341 72364 275797
-rect 73633 275341 74500 275797
-rect -400 275236 74500 275341
-rect 291170 275281 292400 277681
-rect -400 274721 830 275236
-rect 4665 275231 5975 275236
-rect 7966 275220 8571 275236
-rect 70281 275233 74500 275236
-rect 71670 275232 74500 275233
-rect 221001 270883 222008 271221
-rect 221001 270289 221161 270883
-rect 221793 270289 222008 270883
-rect 221001 269990 222008 270289
-rect 291170 270281 292400 272681
-rect -400 255765 240 255821
-rect -400 255174 240 255230
-rect -400 254583 240 254639
-rect -400 253992 240 254048
-rect -400 253401 240 253457
-rect -400 252810 240 252866
-rect 291760 250025 292400 250081
-rect 291760 249434 292400 249490
-rect 291760 248843 292400 248899
-rect 291760 248252 292400 248308
-rect 291760 247661 292400 247717
-rect 291760 247070 292400 247126
-rect -400 234154 240 234210
-rect -400 233563 240 233619
-rect -400 232972 240 233028
-rect -400 232381 240 232437
-rect -400 231790 240 231846
-rect -400 231199 240 231255
-rect 291760 227814 292400 227870
-rect 291760 227223 292400 227279
-rect 291760 226632 292400 226688
-rect 291760 226041 292400 226097
-rect 291760 225450 292400 225506
-rect 291760 224859 292400 224915
-rect -400 212543 240 212599
-rect -400 211952 240 212008
-rect -400 211361 240 211417
-rect -400 210770 240 210826
-rect -400 210179 240 210235
-rect -400 209588 240 209644
-rect 291760 205603 292400 205659
-rect 291760 205012 292400 205068
-rect 291760 204421 292400 204477
-rect 291760 203830 292400 203886
-rect 291760 203239 292400 203295
-rect 291760 202648 292400 202704
-rect -400 190932 240 190988
-rect -400 190341 240 190397
-rect -400 189750 240 189806
-rect -400 189159 240 189215
-rect -400 188568 240 188624
-rect -400 187977 240 188033
-rect 291760 182392 292400 182448
-rect 291760 181801 292400 181857
-rect 291760 181210 292400 181266
-rect 291760 180619 292400 180675
-rect 291760 180028 292400 180084
-rect 291760 179437 292400 179493
-rect -400 169321 240 169377
-rect -400 168730 240 168786
-rect -400 168139 240 168195
-rect -400 167548 240 167604
-rect -400 166957 240 167013
-rect -400 166366 240 166422
-rect 291760 159781 292400 159837
-rect 291760 159190 292400 159246
-rect 291760 158599 292400 158655
-rect 291760 158008 292400 158064
-rect 291760 157417 292400 157473
-rect 291760 156826 292400 156882
-rect -400 147710 240 147766
-rect -400 147119 240 147175
-rect -400 146528 240 146584
-rect -400 145937 240 145993
-rect -400 145346 240 145402
-rect -400 144755 240 144811
-rect 291760 137570 292400 137626
-rect 291760 136979 292400 137035
-rect 291760 136388 292400 136444
-rect 291760 135797 292400 135853
-rect 291760 135206 292400 135262
-rect 291760 134615 292400 134671
-rect -400 126199 240 126255
-rect -400 125608 240 125664
-rect -400 125017 240 125073
-rect -400 124426 240 124482
-rect -400 123835 240 123891
-rect -400 123244 240 123300
-rect 291170 117615 292400 120015
-rect 291170 112615 292400 115015
-rect -400 107444 830 109844
-rect -400 102444 830 104844
-rect 291170 95715 292400 98115
-rect 291170 90715 292400 93115
-rect -400 86444 830 88844
-rect -400 81444 830 83844
-rect 291170 73415 292400 75815
-rect 291170 68415 292400 70815
-rect -400 62388 240 62444
-rect -400 61797 240 61853
-rect -400 61206 240 61262
-rect -400 60615 240 60671
-rect -400 60024 240 60080
-rect -400 59433 240 59489
-rect 291760 47559 292400 47615
-rect 291760 46968 292400 47024
-rect 291760 46377 292400 46433
-rect 291760 45786 292400 45842
-rect -400 40777 240 40833
-rect -400 40186 240 40242
-rect -400 39595 240 39651
-rect -400 39004 240 39060
-rect -400 38413 240 38469
-rect -400 37822 240 37878
-rect 291760 25230 292400 25286
-rect 291760 24639 292400 24695
-rect 291760 24048 292400 24104
-rect 291760 23457 292400 23513
-rect -400 19166 240 19222
-rect -400 18575 240 18631
-rect -400 17984 240 18040
-rect -400 17393 240 17449
-rect -400 16802 240 16858
-rect -400 16211 240 16267
-rect 291760 12001 292400 12057
-rect 291760 11410 292400 11466
-rect 291760 10819 292400 10875
-rect 291760 10228 292400 10284
-rect 291760 9637 292400 9693
-rect 291760 9046 292400 9102
-rect -400 8455 240 8511
-rect 291760 8455 292400 8511
-rect -400 7864 240 7920
-rect 291760 7864 292400 7920
-rect -400 7273 240 7329
-rect 291760 7273 292400 7329
-rect -400 6682 240 6738
-rect 291760 6682 292400 6738
-rect -400 6091 240 6147
-rect 291760 6091 292400 6147
-rect -400 5500 240 5556
-rect 291760 5500 292400 5556
-rect -400 4909 240 4965
-rect 291760 4909 292400 4965
-rect -400 4318 240 4374
-rect 291760 4318 292400 4374
-rect -400 3727 240 3783
-rect 291760 3727 292400 3783
-rect -400 3170 -4 3192
-rect 197 3170 240 3192
-rect -400 3136 240 3170
-rect 291760 3136 292400 3192
-rect -400 2545 240 2601
-rect 291760 2545 292400 2601
-rect -400 1954 240 2010
-rect 291760 1954 292400 2010
-rect -400 1363 240 1419
-rect 291760 1363 292400 1419
-rect -400 772 240 828
-rect 291760 772 292400 828
-<< via3 >>
-rect 9057 345827 9665 347091
-rect 59012 341012 60991 341960
-rect 9059 290116 9626 290488
-rect 207740 332497 208279 333570
-rect 250149 333656 250846 334350
-rect 233727 323284 234235 324652
-rect 72364 275341 73633 275797
-rect 221161 270289 221793 270883
-<< metal4 >>
-rect 82797 351150 85297 352400
-rect 87947 351150 90447 352400
-rect 108647 351150 111147 352400
-rect 113797 351150 116297 352400
-rect 159497 351150 161997 352400
-rect 164647 351150 167147 352400
-rect 8841 347091 9841 347436
-rect 8841 345827 9057 347091
-rect 9665 345827 9841 347091
-rect 8841 345594 9841 345827
-rect 8835 345248 9842 345594
-rect 8836 290625 9836 345248
-rect 57981 341993 61969 342523
-rect 57981 341960 61970 341993
-rect 57981 341012 59012 341960
-rect 60991 341881 61970 341960
-rect 60991 341061 66909 341881
-rect 60991 341012 61970 341061
-rect 57981 340997 61970 341012
-rect 57981 340494 61969 340997
-rect 8835 290488 9836 290625
-rect 8835 290116 9059 290488
-rect 9626 290116 9836 290488
-rect 8835 289993 9836 290116
-rect 66806 280683 66906 341061
-rect 249995 334350 250995 334502
-rect 207498 333570 208495 334019
-rect 207498 332497 207740 333570
-rect 208279 332497 208495 333570
-rect 207498 331989 208495 332497
-rect 249995 333656 250149 334350
-rect 250846 333656 250995 334350
-rect 73003 280649 74503 281349
-rect 73788 275932 74500 280649
-rect 71670 275797 74500 275932
-rect 71670 275341 72364 275797
-rect 73633 275341 74500 275797
-rect 71670 275232 74500 275341
-rect 207497 274119 208497 331989
-rect 233503 324652 234503 325002
-rect 233503 323284 233727 324652
-rect 234235 323994 234503 324652
-rect 234235 323284 234505 323994
-rect 233503 323002 234505 323284
-rect 233505 289994 234502 323002
-rect 249995 305139 250995 333656
-rect 249995 304502 250998 305139
-rect 213710 277144 214722 277287
-rect 207497 273991 208522 274119
-rect 213717 273991 214717 277144
-rect 224998 277065 226003 277235
-rect 207497 272991 214717 273991
-rect 225002 273994 226002 277065
-rect 233505 273994 234505 289994
-rect 249998 278028 250998 304502
-rect 249998 275985 251009 278028
-rect 225002 272994 234505 273994
-rect 233004 272990 233508 272994
-rect 250009 271279 251009 275985
-rect 221001 270997 222008 271221
-rect 250008 271028 251009 271279
-rect 250008 270998 251000 271028
-rect 230500 270997 251000 270998
-rect 221001 270883 251000 270997
-rect 221001 270289 221161 270883
-rect 221793 270289 251000 270883
-rect 221001 269998 251000 270289
-rect 221001 269997 231010 269998
-rect 221001 269990 222008 269997
-<< metal5 >>
-rect 82797 351150 85297 352400
-rect 87947 351150 90447 352400
-rect 108647 351150 111147 352400
-rect 113797 351150 116297 352400
-rect 159497 351150 161997 352400
-rect 164647 351150 167147 352400
-<< comment >>
-rect -50 352000 292050 352050
-rect -50 0 0 352000
-rect 292000 0 292050 352000
-rect -50 -50 292050 0
-use detV2  detV2_0
-timestamp 1647881396
-transform 1 0 244450 0 1 290645
-box -32487 -13471 -12750 -1496
-use otaV5  otaV5_0
-timestamp 1647895533
-transform 1 0 64101 0 1 279953
-box 2758 -1403 9010 6511
-<< labels >>
-flabel metal3 s 291760 134615 292400 134671 0 FreeSans 560 0 0 0 gpio_analog[0]
-port 0 nsew signal bidirectional
-flabel metal3 s -400 190932 240 190988 0 FreeSans 560 0 0 0 gpio_analog[10]
-port 1 nsew signal bidirectional
-flabel metal3 s -400 169321 240 169377 0 FreeSans 560 0 0 0 gpio_analog[11]
-port 2 nsew signal bidirectional
-flabel metal3 s -400 147710 240 147766 0 FreeSans 560 0 0 0 gpio_analog[12]
-port 3 nsew signal bidirectional
-flabel metal3 s -400 126199 240 126255 0 FreeSans 560 0 0 0 gpio_analog[13]
-port 4 nsew signal bidirectional
-flabel metal3 s -400 62388 240 62444 0 FreeSans 560 0 0 0 gpio_analog[14]
-port 5 nsew signal bidirectional
-flabel metal3 s -400 40777 240 40833 0 FreeSans 560 0 0 0 gpio_analog[15]
-port 6 nsew signal bidirectional
-flabel metal3 s -400 19166 240 19222 0 FreeSans 560 0 0 0 gpio_analog[16]
-port 7 nsew signal bidirectional
-flabel metal3 s -400 8455 240 8511 0 FreeSans 560 0 0 0 gpio_analog[17]
-port 8 nsew signal bidirectional
-flabel metal3 s 291760 156826 292400 156882 0 FreeSans 560 0 0 0 gpio_analog[1]
-port 9 nsew signal bidirectional
-flabel metal3 s 291760 179437 292400 179493 0 FreeSans 560 0 0 0 gpio_analog[2]
-port 10 nsew signal bidirectional
-flabel metal3 s 291760 202648 292400 202704 0 FreeSans 560 0 0 0 gpio_analog[3]
-port 11 nsew signal bidirectional
-flabel metal3 s 291760 224859 292400 224915 0 FreeSans 560 0 0 0 gpio_analog[4]
-port 12 nsew signal bidirectional
-flabel metal3 s 291760 247070 292400 247126 0 FreeSans 560 0 0 0 gpio_analog[5]
-port 13 nsew signal bidirectional
-flabel metal3 s 291760 291781 292400 291837 0 FreeSans 560 0 0 0 gpio_analog[6]
-port 14 nsew signal bidirectional
-flabel metal3 s -400 255765 240 255821 0 FreeSans 560 0 0 0 gpio_analog[7]
-port 15 nsew signal bidirectional
-flabel metal3 s -400 234154 240 234210 0 FreeSans 560 0 0 0 gpio_analog[8]
-port 16 nsew signal bidirectional
-flabel metal3 s -400 212543 240 212599 0 FreeSans 560 0 0 0 gpio_analog[9]
-port 17 nsew signal bidirectional
-flabel metal3 s 291760 135206 292400 135262 0 FreeSans 560 0 0 0 gpio_noesd[0]
-port 18 nsew signal bidirectional
-flabel metal3 s -400 190341 240 190397 0 FreeSans 560 0 0 0 gpio_noesd[10]
-port 19 nsew signal bidirectional
-flabel metal3 s -400 168730 240 168786 0 FreeSans 560 0 0 0 gpio_noesd[11]
-port 20 nsew signal bidirectional
-flabel metal3 s -400 147119 240 147175 0 FreeSans 560 0 0 0 gpio_noesd[12]
-port 21 nsew signal bidirectional
-flabel metal3 s -400 125608 240 125664 0 FreeSans 560 0 0 0 gpio_noesd[13]
-port 22 nsew signal bidirectional
-flabel metal3 s -400 61797 240 61853 0 FreeSans 560 0 0 0 gpio_noesd[14]
-port 23 nsew signal bidirectional
-flabel metal3 s -400 40186 240 40242 0 FreeSans 560 0 0 0 gpio_noesd[15]
-port 24 nsew signal bidirectional
-flabel metal3 s -400 18575 240 18631 0 FreeSans 560 0 0 0 gpio_noesd[16]
-port 25 nsew signal bidirectional
-flabel metal3 s -400 7864 240 7920 0 FreeSans 560 0 0 0 gpio_noesd[17]
-port 26 nsew signal bidirectional
-flabel metal3 s 291760 157417 292400 157473 0 FreeSans 560 0 0 0 gpio_noesd[1]
-port 27 nsew signal bidirectional
-flabel metal3 s 291760 180028 292400 180084 0 FreeSans 560 0 0 0 gpio_noesd[2]
-port 28 nsew signal bidirectional
-flabel metal3 s 291760 203239 292400 203295 0 FreeSans 560 0 0 0 gpio_noesd[3]
-port 29 nsew signal bidirectional
-flabel metal3 s 291760 225450 292400 225506 0 FreeSans 560 0 0 0 gpio_noesd[4]
-port 30 nsew signal bidirectional
-flabel metal3 s 291760 247661 292400 247717 0 FreeSans 560 0 0 0 gpio_noesd[5]
-port 31 nsew signal bidirectional
-flabel metal3 s 291760 292372 292400 292428 0 FreeSans 560 0 0 0 gpio_noesd[6]
-port 32 nsew signal bidirectional
-flabel metal3 s -400 255174 240 255230 0 FreeSans 560 0 0 0 gpio_noesd[7]
-port 33 nsew signal bidirectional
-flabel metal3 s -400 233563 240 233619 0 FreeSans 560 0 0 0 gpio_noesd[8]
-port 34 nsew signal bidirectional
-flabel metal3 s -400 211952 240 212008 0 FreeSans 560 0 0 0 gpio_noesd[9]
-port 35 nsew signal bidirectional
-flabel metal3 s 291150 338992 292400 341492 0 FreeSans 560 0 0 0 io_analog[0]
-port 36 nsew signal bidirectional
-flabel metal3 s 0 340121 850 342621 0 FreeSans 560 0 0 0 io_analog[10]
-port 37 nsew signal bidirectional
-flabel metal3 s 283297 351150 285797 352400 0 FreeSans 960 180 0 0 io_analog[1]
-port 38 nsew signal bidirectional
-flabel metal3 s 232697 351150 235197 352400 0 FreeSans 960 180 0 0 io_analog[2]
-port 39 nsew signal bidirectional
-flabel metal3 s 206697 351150 209197 352400 0 FreeSans 960 180 0 0 io_analog[3]
-port 40 nsew signal bidirectional
-flabel metal3 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4]
-port 41 nsew signal bidirectional
-flabel metal4 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4]
-port 41 nsew signal bidirectional
-flabel metal5 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4]
-port 41 nsew signal bidirectional
-flabel metal3 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5]
-port 42 nsew signal bidirectional
-flabel metal4 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5]
-port 42 nsew signal bidirectional
-flabel metal5 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5]
-port 42 nsew signal bidirectional
-flabel metal3 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6]
-port 43 nsew signal bidirectional
-flabel metal4 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6]
-port 43 nsew signal bidirectional
-flabel metal5 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6]
-port 43 nsew signal bidirectional
-flabel metal3 s 60097 351150 62597 352400 0 FreeSans 960 180 0 0 io_analog[7]
-port 44 nsew signal bidirectional
-flabel metal3 s 34097 351150 36597 352400 0 FreeSans 960 180 0 0 io_analog[8]
-port 45 nsew signal bidirectional
-flabel metal3 s 8097 351150 10597 352400 0 FreeSans 960 180 0 0 io_analog[9]
-port 46 nsew signal bidirectional
-flabel metal3 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4]
-port 47 nsew signal bidirectional
-flabel metal4 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4]
-port 47 nsew signal bidirectional
-flabel metal5 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4]
-port 47 nsew signal bidirectional
-flabel metal3 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5]
-port 48 nsew signal bidirectional
-flabel metal4 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5]
-port 48 nsew signal bidirectional
-flabel metal5 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5]
-port 48 nsew signal bidirectional
-flabel metal3 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6]
-port 49 nsew signal bidirectional
-flabel metal4 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6]
-port 49 nsew signal bidirectional
-flabel metal5 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6]
-port 49 nsew signal bidirectional
-flabel metal3 s 163397 351150 164497 352400 0 FreeSans 960 180 0 0 io_clamp_high[0]
-port 50 nsew signal bidirectional
-flabel metal3 s 112547 351150 113647 352400 0 FreeSans 960 180 0 0 io_clamp_high[1]
-port 51 nsew signal bidirectional
-flabel metal3 s 86697 351150 87797 352400 0 FreeSans 960 180 0 0 io_clamp_high[2]
-port 52 nsew signal bidirectional
-flabel metal3 s 162147 351150 163247 352400 0 FreeSans 960 180 0 0 io_clamp_low[0]
-port 53 nsew signal bidirectional
-flabel metal3 s 111297 351150 112397 352400 0 FreeSans 960 180 0 0 io_clamp_low[1]
-port 54 nsew signal bidirectional
-flabel metal3 s 85447 351150 86547 352400 0 FreeSans 960 180 0 0 io_clamp_low[2]
-port 55 nsew signal bidirectional
-flabel metal3 s 291760 1363 292400 1419 0 FreeSans 560 0 0 0 io_in[0]
-port 56 nsew signal input
-flabel metal3 s 291760 204421 292400 204477 0 FreeSans 560 0 0 0 io_in[10]
-port 57 nsew signal input
-flabel metal3 s 291760 226632 292400 226688 0 FreeSans 560 0 0 0 io_in[11]
-port 58 nsew signal input
-flabel metal3 s 291760 248843 292400 248899 0 FreeSans 560 0 0 0 io_in[12]
-port 59 nsew signal input
-flabel metal3 s 291760 293554 292400 293610 0 FreeSans 560 0 0 0 io_in[13]
-port 60 nsew signal input
-flabel metal3 s -400 253992 240 254048 0 FreeSans 560 0 0 0 io_in[14]
-port 61 nsew signal input
-flabel metal3 s -400 232381 240 232437 0 FreeSans 560 0 0 0 io_in[15]
-port 62 nsew signal input
-flabel metal3 s -400 210770 240 210826 0 FreeSans 560 0 0 0 io_in[16]
-port 63 nsew signal input
-flabel metal3 s -400 189159 240 189215 0 FreeSans 560 0 0 0 io_in[17]
-port 64 nsew signal input
-flabel metal3 s -400 167548 240 167604 0 FreeSans 560 0 0 0 io_in[18]
-port 65 nsew signal input
-flabel metal3 s -400 145937 240 145993 0 FreeSans 560 0 0 0 io_in[19]
-port 66 nsew signal input
-flabel metal3 s 291760 3727 292400 3783 0 FreeSans 560 0 0 0 io_in[1]
-port 67 nsew signal input
-flabel metal3 s -400 124426 240 124482 0 FreeSans 560 0 0 0 io_in[20]
-port 68 nsew signal input
-flabel metal3 s -400 60615 240 60671 0 FreeSans 560 0 0 0 io_in[21]
-port 69 nsew signal input
-flabel metal3 s -400 39004 240 39060 0 FreeSans 560 0 0 0 io_in[22]
-port 70 nsew signal input
-flabel metal3 s -400 17393 240 17449 0 FreeSans 560 0 0 0 io_in[23]
-port 71 nsew signal input
-flabel metal3 s -400 6682 240 6738 0 FreeSans 560 0 0 0 io_in[24]
-port 72 nsew signal input
-flabel metal3 s -400 4318 240 4374 0 FreeSans 560 0 0 0 io_in[25]
-port 73 nsew signal input
-flabel metal3 s -400 1954 240 2010 0 FreeSans 560 0 0 0 io_in[26]
-port 74 nsew signal input
-flabel metal3 s 291760 6091 292400 6147 0 FreeSans 560 0 0 0 io_in[2]
-port 75 nsew signal input
-flabel metal3 s 291760 8455 292400 8511 0 FreeSans 560 0 0 0 io_in[3]
-port 76 nsew signal input
-flabel metal3 s 291760 10819 292400 10875 0 FreeSans 560 0 0 0 io_in[4]
-port 77 nsew signal input
-flabel metal3 s 291760 24048 292400 24104 0 FreeSans 560 0 0 0 io_in[5]
-port 78 nsew signal input
-flabel metal3 s 291760 46377 292400 46433 0 FreeSans 560 0 0 0 io_in[6]
-port 79 nsew signal input
-flabel metal3 s 291760 136388 292400 136444 0 FreeSans 560 0 0 0 io_in[7]
-port 80 nsew signal input
-flabel metal3 s 291760 158599 292400 158655 0 FreeSans 560 0 0 0 io_in[8]
-port 81 nsew signal input
-flabel metal3 s 291760 181210 292400 181266 0 FreeSans 560 0 0 0 io_in[9]
-port 82 nsew signal input
-flabel metal3 s 291760 772 292400 828 0 FreeSans 560 0 0 0 io_in_3v3[0]
-port 83 nsew signal input
-flabel metal3 s 291760 203830 292400 203886 0 FreeSans 560 0 0 0 io_in_3v3[10]
-port 84 nsew signal input
-flabel metal3 s 291760 226041 292400 226097 0 FreeSans 560 0 0 0 io_in_3v3[11]
-port 85 nsew signal input
-flabel metal3 s 291760 248252 292400 248308 0 FreeSans 560 0 0 0 io_in_3v3[12]
-port 86 nsew signal input
-flabel metal3 s 291760 292963 292400 293019 0 FreeSans 560 0 0 0 io_in_3v3[13]
-port 87 nsew signal input
-flabel metal3 s -400 254583 240 254639 0 FreeSans 560 0 0 0 io_in_3v3[14]
-port 88 nsew signal input
-flabel metal3 s -400 232972 240 233028 0 FreeSans 560 0 0 0 io_in_3v3[15]
-port 89 nsew signal input
-flabel metal3 s -400 211361 240 211417 0 FreeSans 560 0 0 0 io_in_3v3[16]
-port 90 nsew signal input
-flabel metal3 s -400 189750 240 189806 0 FreeSans 560 0 0 0 io_in_3v3[17]
-port 91 nsew signal input
-flabel metal3 s -400 168139 240 168195 0 FreeSans 560 0 0 0 io_in_3v3[18]
-port 92 nsew signal input
-flabel metal3 s -400 146528 240 146584 0 FreeSans 560 0 0 0 io_in_3v3[19]
-port 93 nsew signal input
-flabel metal3 s 291760 3136 292400 3192 0 FreeSans 560 0 0 0 io_in_3v3[1]
-port 94 nsew signal input
-flabel metal3 s -400 125017 240 125073 0 FreeSans 560 0 0 0 io_in_3v3[20]
-port 95 nsew signal input
-flabel metal3 s -400 61206 240 61262 0 FreeSans 560 0 0 0 io_in_3v3[21]
-port 96 nsew signal input
-flabel metal3 s -400 39595 240 39651 0 FreeSans 560 0 0 0 io_in_3v3[22]
-port 97 nsew signal input
-flabel metal3 s -400 17984 240 18040 0 FreeSans 560 0 0 0 io_in_3v3[23]
-port 98 nsew signal input
-flabel metal3 s -400 7273 240 7329 0 FreeSans 560 0 0 0 io_in_3v3[24]
-port 99 nsew signal input
-flabel metal3 s -400 4909 240 4965 0 FreeSans 560 0 0 0 io_in_3v3[25]
-port 100 nsew signal input
-flabel metal3 s -400 2545 240 2601 0 FreeSans 560 0 0 0 io_in_3v3[26]
-port 101 nsew signal input
-flabel metal3 s 291760 5500 292400 5556 0 FreeSans 560 0 0 0 io_in_3v3[2]
-port 102 nsew signal input
-flabel metal3 s 291760 7864 292400 7920 0 FreeSans 560 0 0 0 io_in_3v3[3]
-port 103 nsew signal input
-flabel metal3 s 291760 10228 292400 10284 0 FreeSans 560 0 0 0 io_in_3v3[4]
-port 104 nsew signal input
-flabel metal3 s 291760 23457 292400 23513 0 FreeSans 560 0 0 0 io_in_3v3[5]
-port 105 nsew signal input
-flabel metal3 s 291760 45786 292400 45842 0 FreeSans 560 0 0 0 io_in_3v3[6]
-port 106 nsew signal input
-flabel metal3 s 291760 135797 292400 135853 0 FreeSans 560 0 0 0 io_in_3v3[7]
-port 107 nsew signal input
-flabel metal3 s 291760 158008 292400 158064 0 FreeSans 560 0 0 0 io_in_3v3[8]
-port 108 nsew signal input
-flabel metal3 s 291760 180619 292400 180675 0 FreeSans 560 0 0 0 io_in_3v3[9]
-port 109 nsew signal input
-flabel metal3 s 291760 2545 292400 2601 0 FreeSans 560 0 0 0 io_oeb[0]
-port 110 nsew signal tristate
-flabel metal3 s 291760 205603 292400 205659 0 FreeSans 560 0 0 0 io_oeb[10]
-port 111 nsew signal tristate
-flabel metal3 s 291760 227814 292400 227870 0 FreeSans 560 0 0 0 io_oeb[11]
-port 112 nsew signal tristate
-flabel metal3 s 291760 250025 292400 250081 0 FreeSans 560 0 0 0 io_oeb[12]
-port 113 nsew signal tristate
-flabel metal3 s 291760 294736 292400 294792 0 FreeSans 560 0 0 0 io_oeb[13]
-port 114 nsew signal tristate
-flabel metal3 s -400 252810 240 252866 0 FreeSans 560 0 0 0 io_oeb[14]
-port 115 nsew signal tristate
-flabel metal3 s -400 231199 240 231255 0 FreeSans 560 0 0 0 io_oeb[15]
-port 116 nsew signal tristate
-flabel metal3 s -400 209588 240 209644 0 FreeSans 560 0 0 0 io_oeb[16]
-port 117 nsew signal tristate
-flabel metal3 s -400 187977 240 188033 0 FreeSans 560 0 0 0 io_oeb[17]
-port 118 nsew signal tristate
-flabel metal3 s -400 166366 240 166422 0 FreeSans 560 0 0 0 io_oeb[18]
-port 119 nsew signal tristate
-flabel metal3 s -400 144755 240 144811 0 FreeSans 560 0 0 0 io_oeb[19]
-port 120 nsew signal tristate
-flabel metal3 s 291760 4909 292400 4965 0 FreeSans 560 0 0 0 io_oeb[1]
-port 121 nsew signal tristate
-flabel metal3 s -400 123244 240 123300 0 FreeSans 560 0 0 0 io_oeb[20]
-port 122 nsew signal tristate
-flabel metal3 s -400 59433 240 59489 0 FreeSans 560 0 0 0 io_oeb[21]
-port 123 nsew signal tristate
-flabel metal3 s -400 37822 240 37878 0 FreeSans 560 0 0 0 io_oeb[22]
-port 124 nsew signal tristate
-flabel metal3 s -400 16211 240 16267 0 FreeSans 560 0 0 0 io_oeb[23]
-port 125 nsew signal tristate
-flabel metal3 s -400 5500 240 5556 0 FreeSans 560 0 0 0 io_oeb[24]
-port 126 nsew signal tristate
-flabel metal3 s -400 3136 240 3192 0 FreeSans 560 0 0 0 io_oeb[25]
-port 127 nsew signal tristate
-flabel metal3 s -400 772 240 828 0 FreeSans 560 0 0 0 io_oeb[26]
-port 128 nsew signal tristate
-flabel metal3 s 291760 7273 292400 7329 0 FreeSans 560 0 0 0 io_oeb[2]
-port 129 nsew signal tristate
-flabel metal3 s 291760 9637 292400 9693 0 FreeSans 560 0 0 0 io_oeb[3]
-port 130 nsew signal tristate
-flabel metal3 s 291760 12001 292400 12057 0 FreeSans 560 0 0 0 io_oeb[4]
-port 131 nsew signal tristate
-flabel metal3 s 291760 25230 292400 25286 0 FreeSans 560 0 0 0 io_oeb[5]
-port 132 nsew signal tristate
-flabel metal3 s 291760 47559 292400 47615 0 FreeSans 560 0 0 0 io_oeb[6]
-port 133 nsew signal tristate
-flabel metal3 s 291760 137570 292400 137626 0 FreeSans 560 0 0 0 io_oeb[7]
-port 134 nsew signal tristate
-flabel metal3 s 291760 159781 292400 159837 0 FreeSans 560 0 0 0 io_oeb[8]
-port 135 nsew signal tristate
-flabel metal3 s 291760 182392 292400 182448 0 FreeSans 560 0 0 0 io_oeb[9]
-port 136 nsew signal tristate
-flabel metal3 s 291760 1954 292400 2010 0 FreeSans 560 0 0 0 io_out[0]
-port 137 nsew signal tristate
-flabel metal3 s 291760 205012 292400 205068 0 FreeSans 560 0 0 0 io_out[10]
-port 138 nsew signal tristate
-flabel metal3 s 291760 227223 292400 227279 0 FreeSans 560 0 0 0 io_out[11]
-port 139 nsew signal tristate
-flabel metal3 s 291760 249434 292400 249490 0 FreeSans 560 0 0 0 io_out[12]
-port 140 nsew signal tristate
-flabel metal3 s 291760 294145 292400 294201 0 FreeSans 560 0 0 0 io_out[13]
-port 141 nsew signal tristate
-flabel metal3 s -400 253401 240 253457 0 FreeSans 560 0 0 0 io_out[14]
-port 142 nsew signal tristate
-flabel metal3 s -400 231790 240 231846 0 FreeSans 560 0 0 0 io_out[15]
-port 143 nsew signal tristate
-flabel metal3 s -400 210179 240 210235 0 FreeSans 560 0 0 0 io_out[16]
-port 144 nsew signal tristate
-flabel metal3 s -400 188568 240 188624 0 FreeSans 560 0 0 0 io_out[17]
-port 145 nsew signal tristate
-flabel metal3 s -400 166957 240 167013 0 FreeSans 560 0 0 0 io_out[18]
-port 146 nsew signal tristate
-flabel metal3 s -400 145346 240 145402 0 FreeSans 560 0 0 0 io_out[19]
-port 147 nsew signal tristate
-flabel metal3 s 291760 4318 292400 4374 0 FreeSans 560 0 0 0 io_out[1]
-port 148 nsew signal tristate
-flabel metal3 s -400 123835 240 123891 0 FreeSans 560 0 0 0 io_out[20]
-port 149 nsew signal tristate
-flabel metal3 s -400 60024 240 60080 0 FreeSans 560 0 0 0 io_out[21]
-port 150 nsew signal tristate
-flabel metal3 s -400 38413 240 38469 0 FreeSans 560 0 0 0 io_out[22]
-port 151 nsew signal tristate
-flabel metal3 s -400 16802 240 16858 0 FreeSans 560 0 0 0 io_out[23]
-port 152 nsew signal tristate
-flabel metal3 s -400 6091 240 6147 0 FreeSans 560 0 0 0 io_out[24]
-port 153 nsew signal tristate
-flabel metal3 s -400 3727 240 3783 0 FreeSans 560 0 0 0 io_out[25]
-port 154 nsew signal tristate
-flabel metal3 s -400 1363 240 1419 0 FreeSans 560 0 0 0 io_out[26]
-port 155 nsew signal tristate
-flabel metal3 s 291760 6682 292400 6738 0 FreeSans 560 0 0 0 io_out[2]
-port 156 nsew signal tristate
-flabel metal3 s 291760 9046 292400 9102 0 FreeSans 560 0 0 0 io_out[3]
-port 157 nsew signal tristate
-flabel metal3 s 291760 11410 292400 11466 0 FreeSans 560 0 0 0 io_out[4]
-port 158 nsew signal tristate
-flabel metal3 s 291760 24639 292400 24695 0 FreeSans 560 0 0 0 io_out[5]
-port 159 nsew signal tristate
-flabel metal3 s 291760 46968 292400 47024 0 FreeSans 560 0 0 0 io_out[6]
-port 160 nsew signal tristate
-flabel metal3 s 291760 136979 292400 137035 0 FreeSans 560 0 0 0 io_out[7]
-port 161 nsew signal tristate
-flabel metal3 s 291760 159190 292400 159246 0 FreeSans 560 0 0 0 io_out[8]
-port 162 nsew signal tristate
-flabel metal3 s 291760 181801 292400 181857 0 FreeSans 560 0 0 0 io_out[9]
-port 163 nsew signal tristate
-flabel metal2 s 62908 -400 62964 240 0 FreeSans 560 90 0 0 la_data_in[0]
-port 164 nsew signal input
-flabel metal2 s 240208 -400 240264 240 0 FreeSans 560 90 0 0 la_data_in[100]
-port 165 nsew signal input
-flabel metal2 s 241981 -400 242037 240 0 FreeSans 560 90 0 0 la_data_in[101]
-port 166 nsew signal input
-flabel metal2 s 243754 -400 243810 240 0 FreeSans 560 90 0 0 la_data_in[102]
-port 167 nsew signal input
-flabel metal2 s 245527 -400 245583 240 0 FreeSans 560 90 0 0 la_data_in[103]
-port 168 nsew signal input
-flabel metal2 s 247300 -400 247356 240 0 FreeSans 560 90 0 0 la_data_in[104]
-port 169 nsew signal input
-flabel metal2 s 249073 -400 249129 240 0 FreeSans 560 90 0 0 la_data_in[105]
-port 170 nsew signal input
-flabel metal2 s 250846 -400 250902 240 0 FreeSans 560 90 0 0 la_data_in[106]
-port 171 nsew signal input
-flabel metal2 s 252619 -400 252675 240 0 FreeSans 560 90 0 0 la_data_in[107]
-port 172 nsew signal input
-flabel metal2 s 254392 -400 254448 240 0 FreeSans 560 90 0 0 la_data_in[108]
-port 173 nsew signal input
-flabel metal2 s 256165 -400 256221 240 0 FreeSans 560 90 0 0 la_data_in[109]
-port 174 nsew signal input
-flabel metal2 s 80638 -400 80694 240 0 FreeSans 560 90 0 0 la_data_in[10]
-port 175 nsew signal input
-flabel metal2 s 257938 -400 257994 240 0 FreeSans 560 90 0 0 la_data_in[110]
-port 176 nsew signal input
-flabel metal2 s 259711 -400 259767 240 0 FreeSans 560 90 0 0 la_data_in[111]
-port 177 nsew signal input
-flabel metal2 s 261484 -400 261540 240 0 FreeSans 560 90 0 0 la_data_in[112]
-port 178 nsew signal input
-flabel metal2 s 263257 -400 263313 240 0 FreeSans 560 90 0 0 la_data_in[113]
-port 179 nsew signal input
-flabel metal2 s 265030 -400 265086 240 0 FreeSans 560 90 0 0 la_data_in[114]
-port 180 nsew signal input
-flabel metal2 s 266803 -400 266859 240 0 FreeSans 560 90 0 0 la_data_in[115]
-port 181 nsew signal input
-flabel metal2 s 268576 -400 268632 240 0 FreeSans 560 90 0 0 la_data_in[116]
-port 182 nsew signal input
-flabel metal2 s 270349 -400 270405 240 0 FreeSans 560 90 0 0 la_data_in[117]
-port 183 nsew signal input
-flabel metal2 s 272122 -400 272178 240 0 FreeSans 560 90 0 0 la_data_in[118]
-port 184 nsew signal input
-flabel metal2 s 273895 -400 273951 240 0 FreeSans 560 90 0 0 la_data_in[119]
-port 185 nsew signal input
-flabel metal2 s 82411 -400 82467 240 0 FreeSans 560 90 0 0 la_data_in[11]
-port 186 nsew signal input
-flabel metal2 s 275668 -400 275724 240 0 FreeSans 560 90 0 0 la_data_in[120]
-port 187 nsew signal input
-flabel metal2 s 277441 -400 277497 240 0 FreeSans 560 90 0 0 la_data_in[121]
-port 188 nsew signal input
-flabel metal2 s 279214 -400 279270 240 0 FreeSans 560 90 0 0 la_data_in[122]
-port 189 nsew signal input
-flabel metal2 s 280987 -400 281043 240 0 FreeSans 560 90 0 0 la_data_in[123]
-port 190 nsew signal input
-flabel metal2 s 282760 -400 282816 240 0 FreeSans 560 90 0 0 la_data_in[124]
-port 191 nsew signal input
-flabel metal2 s 284533 -400 284589 240 0 FreeSans 560 90 0 0 la_data_in[125]
-port 192 nsew signal input
-flabel metal2 s 286306 -400 286362 240 0 FreeSans 560 90 0 0 la_data_in[126]
-port 193 nsew signal input
-flabel metal2 s 288079 -400 288135 240 0 FreeSans 560 90 0 0 la_data_in[127]
-port 194 nsew signal input
-flabel metal2 s 84184 -400 84240 240 0 FreeSans 560 90 0 0 la_data_in[12]
-port 195 nsew signal input
-flabel metal2 s 85957 -400 86013 240 0 FreeSans 560 90 0 0 la_data_in[13]
-port 196 nsew signal input
-flabel metal2 s 87730 -400 87786 240 0 FreeSans 560 90 0 0 la_data_in[14]
-port 197 nsew signal input
-flabel metal2 s 89503 -400 89559 240 0 FreeSans 560 90 0 0 la_data_in[15]
-port 198 nsew signal input
-flabel metal2 s 91276 -400 91332 240 0 FreeSans 560 90 0 0 la_data_in[16]
-port 199 nsew signal input
-flabel metal2 s 93049 -400 93105 240 0 FreeSans 560 90 0 0 la_data_in[17]
-port 200 nsew signal input
-flabel metal2 s 94822 -400 94878 240 0 FreeSans 560 90 0 0 la_data_in[18]
-port 201 nsew signal input
-flabel metal2 s 96595 -400 96651 240 0 FreeSans 560 90 0 0 la_data_in[19]
-port 202 nsew signal input
-flabel metal2 s 64681 -400 64737 240 0 FreeSans 560 90 0 0 la_data_in[1]
-port 203 nsew signal input
-flabel metal2 s 98368 -400 98424 240 0 FreeSans 560 90 0 0 la_data_in[20]
-port 204 nsew signal input
-flabel metal2 s 100141 -400 100197 240 0 FreeSans 560 90 0 0 la_data_in[21]
-port 205 nsew signal input
-flabel metal2 s 101914 -400 101970 240 0 FreeSans 560 90 0 0 la_data_in[22]
-port 206 nsew signal input
-flabel metal2 s 103687 -400 103743 240 0 FreeSans 560 90 0 0 la_data_in[23]
-port 207 nsew signal input
-flabel metal2 s 105460 -400 105516 240 0 FreeSans 560 90 0 0 la_data_in[24]
-port 208 nsew signal input
-flabel metal2 s 107233 -400 107289 240 0 FreeSans 560 90 0 0 la_data_in[25]
-port 209 nsew signal input
-flabel metal2 s 109006 -400 109062 240 0 FreeSans 560 90 0 0 la_data_in[26]
-port 210 nsew signal input
-flabel metal2 s 110779 -400 110835 240 0 FreeSans 560 90 0 0 la_data_in[27]
-port 211 nsew signal input
-flabel metal2 s 112552 -400 112608 240 0 FreeSans 560 90 0 0 la_data_in[28]
-port 212 nsew signal input
-flabel metal2 s 114325 -400 114381 240 0 FreeSans 560 90 0 0 la_data_in[29]
-port 213 nsew signal input
-flabel metal2 s 66454 -400 66510 240 0 FreeSans 560 90 0 0 la_data_in[2]
-port 214 nsew signal input
-flabel metal2 s 116098 -400 116154 240 0 FreeSans 560 90 0 0 la_data_in[30]
-port 215 nsew signal input
-flabel metal2 s 117871 -400 117927 240 0 FreeSans 560 90 0 0 la_data_in[31]
-port 216 nsew signal input
-flabel metal2 s 119644 -400 119700 240 0 FreeSans 560 90 0 0 la_data_in[32]
-port 217 nsew signal input
-flabel metal2 s 121417 -400 121473 240 0 FreeSans 560 90 0 0 la_data_in[33]
-port 218 nsew signal input
-flabel metal2 s 123190 -400 123246 240 0 FreeSans 560 90 0 0 la_data_in[34]
-port 219 nsew signal input
-flabel metal2 s 124963 -400 125019 240 0 FreeSans 560 90 0 0 la_data_in[35]
-port 220 nsew signal input
-flabel metal2 s 126736 -400 126792 240 0 FreeSans 560 90 0 0 la_data_in[36]
-port 221 nsew signal input
-flabel metal2 s 128509 -400 128565 240 0 FreeSans 560 90 0 0 la_data_in[37]
-port 222 nsew signal input
-flabel metal2 s 130282 -400 130338 240 0 FreeSans 560 90 0 0 la_data_in[38]
-port 223 nsew signal input
-flabel metal2 s 132055 -400 132111 240 0 FreeSans 560 90 0 0 la_data_in[39]
-port 224 nsew signal input
-flabel metal2 s 68227 -400 68283 240 0 FreeSans 560 90 0 0 la_data_in[3]
-port 225 nsew signal input
-flabel metal2 s 133828 -400 133884 240 0 FreeSans 560 90 0 0 la_data_in[40]
-port 226 nsew signal input
-flabel metal2 s 135601 -400 135657 240 0 FreeSans 560 90 0 0 la_data_in[41]
-port 227 nsew signal input
-flabel metal2 s 137374 -400 137430 240 0 FreeSans 560 90 0 0 la_data_in[42]
-port 228 nsew signal input
-flabel metal2 s 139147 -400 139203 240 0 FreeSans 560 90 0 0 la_data_in[43]
-port 229 nsew signal input
-flabel metal2 s 140920 -400 140976 240 0 FreeSans 560 90 0 0 la_data_in[44]
-port 230 nsew signal input
-flabel metal2 s 142693 -400 142749 240 0 FreeSans 560 90 0 0 la_data_in[45]
-port 231 nsew signal input
-flabel metal2 s 144466 -400 144522 240 0 FreeSans 560 90 0 0 la_data_in[46]
-port 232 nsew signal input
-flabel metal2 s 146239 -400 146295 240 0 FreeSans 560 90 0 0 la_data_in[47]
-port 233 nsew signal input
-flabel metal2 s 148012 -400 148068 240 0 FreeSans 560 90 0 0 la_data_in[48]
-port 234 nsew signal input
-flabel metal2 s 149785 -400 149841 240 0 FreeSans 560 90 0 0 la_data_in[49]
-port 235 nsew signal input
-flabel metal2 s 70000 -400 70056 240 0 FreeSans 560 90 0 0 la_data_in[4]
-port 236 nsew signal input
-flabel metal2 s 151558 -400 151614 240 0 FreeSans 560 90 0 0 la_data_in[50]
-port 237 nsew signal input
-flabel metal2 s 153331 -400 153387 240 0 FreeSans 560 90 0 0 la_data_in[51]
-port 238 nsew signal input
-flabel metal2 s 155104 -400 155160 240 0 FreeSans 560 90 0 0 la_data_in[52]
-port 239 nsew signal input
-flabel metal2 s 156877 -400 156933 240 0 FreeSans 560 90 0 0 la_data_in[53]
-port 240 nsew signal input
-flabel metal2 s 158650 -400 158706 240 0 FreeSans 560 90 0 0 la_data_in[54]
-port 241 nsew signal input
-flabel metal2 s 160423 -400 160479 240 0 FreeSans 560 90 0 0 la_data_in[55]
-port 242 nsew signal input
-flabel metal2 s 162196 -400 162252 240 0 FreeSans 560 90 0 0 la_data_in[56]
-port 243 nsew signal input
-flabel metal2 s 163969 -400 164025 240 0 FreeSans 560 90 0 0 la_data_in[57]
-port 244 nsew signal input
-flabel metal2 s 165742 -400 165798 240 0 FreeSans 560 90 0 0 la_data_in[58]
-port 245 nsew signal input
-flabel metal2 s 167515 -400 167571 240 0 FreeSans 560 90 0 0 la_data_in[59]
-port 246 nsew signal input
-flabel metal2 s 71773 -400 71829 240 0 FreeSans 560 90 0 0 la_data_in[5]
-port 247 nsew signal input
-flabel metal2 s 169288 -400 169344 240 0 FreeSans 560 90 0 0 la_data_in[60]
-port 248 nsew signal input
-flabel metal2 s 171061 -400 171117 240 0 FreeSans 560 90 0 0 la_data_in[61]
-port 249 nsew signal input
-flabel metal2 s 172834 -400 172890 240 0 FreeSans 560 90 0 0 la_data_in[62]
-port 250 nsew signal input
-flabel metal2 s 174607 -400 174663 240 0 FreeSans 560 90 0 0 la_data_in[63]
-port 251 nsew signal input
-flabel metal2 s 176380 -400 176436 240 0 FreeSans 560 90 0 0 la_data_in[64]
-port 252 nsew signal input
-flabel metal2 s 178153 -400 178209 240 0 FreeSans 560 90 0 0 la_data_in[65]
-port 253 nsew signal input
-flabel metal2 s 179926 -400 179982 240 0 FreeSans 560 90 0 0 la_data_in[66]
-port 254 nsew signal input
-flabel metal2 s 181699 -400 181755 240 0 FreeSans 560 90 0 0 la_data_in[67]
-port 255 nsew signal input
-flabel metal2 s 183472 -400 183528 240 0 FreeSans 560 90 0 0 la_data_in[68]
-port 256 nsew signal input
-flabel metal2 s 185245 -400 185301 240 0 FreeSans 560 90 0 0 la_data_in[69]
-port 257 nsew signal input
-flabel metal2 s 73546 -400 73602 240 0 FreeSans 560 90 0 0 la_data_in[6]
-port 258 nsew signal input
-flabel metal2 s 187018 -400 187074 240 0 FreeSans 560 90 0 0 la_data_in[70]
-port 259 nsew signal input
-flabel metal2 s 188791 -400 188847 240 0 FreeSans 560 90 0 0 la_data_in[71]
-port 260 nsew signal input
-flabel metal2 s 190564 -400 190620 240 0 FreeSans 560 90 0 0 la_data_in[72]
-port 261 nsew signal input
-flabel metal2 s 192337 -400 192393 240 0 FreeSans 560 90 0 0 la_data_in[73]
-port 262 nsew signal input
-flabel metal2 s 194110 -400 194166 240 0 FreeSans 560 90 0 0 la_data_in[74]
-port 263 nsew signal input
-flabel metal2 s 195883 -400 195939 240 0 FreeSans 560 90 0 0 la_data_in[75]
-port 264 nsew signal input
-flabel metal2 s 197656 -400 197712 240 0 FreeSans 560 90 0 0 la_data_in[76]
-port 265 nsew signal input
-flabel metal2 s 199429 -400 199485 240 0 FreeSans 560 90 0 0 la_data_in[77]
-port 266 nsew signal input
-flabel metal2 s 201202 -400 201258 240 0 FreeSans 560 90 0 0 la_data_in[78]
-port 267 nsew signal input
-flabel metal2 s 202975 -400 203031 240 0 FreeSans 560 90 0 0 la_data_in[79]
-port 268 nsew signal input
-flabel metal2 s 75319 -400 75375 240 0 FreeSans 560 90 0 0 la_data_in[7]
-port 269 nsew signal input
-flabel metal2 s 204748 -400 204804 240 0 FreeSans 560 90 0 0 la_data_in[80]
-port 270 nsew signal input
-flabel metal2 s 206521 -400 206577 240 0 FreeSans 560 90 0 0 la_data_in[81]
-port 271 nsew signal input
-flabel metal2 s 208294 -400 208350 240 0 FreeSans 560 90 0 0 la_data_in[82]
-port 272 nsew signal input
-flabel metal2 s 210067 -400 210123 240 0 FreeSans 560 90 0 0 la_data_in[83]
-port 273 nsew signal input
-flabel metal2 s 211840 -400 211896 240 0 FreeSans 560 90 0 0 la_data_in[84]
-port 274 nsew signal input
-flabel metal2 s 213613 -400 213669 240 0 FreeSans 560 90 0 0 la_data_in[85]
-port 275 nsew signal input
-flabel metal2 s 215386 -400 215442 240 0 FreeSans 560 90 0 0 la_data_in[86]
-port 276 nsew signal input
-flabel metal2 s 217159 -400 217215 240 0 FreeSans 560 90 0 0 la_data_in[87]
-port 277 nsew signal input
-flabel metal2 s 218932 -400 218988 240 0 FreeSans 560 90 0 0 la_data_in[88]
-port 278 nsew signal input
-flabel metal2 s 220705 -400 220761 240 0 FreeSans 560 90 0 0 la_data_in[89]
-port 279 nsew signal input
-flabel metal2 s 77092 -400 77148 240 0 FreeSans 560 90 0 0 la_data_in[8]
-port 280 nsew signal input
-flabel metal2 s 222478 -400 222534 240 0 FreeSans 560 90 0 0 la_data_in[90]
-port 281 nsew signal input
-flabel metal2 s 224251 -400 224307 240 0 FreeSans 560 90 0 0 la_data_in[91]
-port 282 nsew signal input
-flabel metal2 s 226024 -400 226080 240 0 FreeSans 560 90 0 0 la_data_in[92]
-port 283 nsew signal input
-flabel metal2 s 227797 -400 227853 240 0 FreeSans 560 90 0 0 la_data_in[93]
-port 284 nsew signal input
-flabel metal2 s 229570 -400 229626 240 0 FreeSans 560 90 0 0 la_data_in[94]
-port 285 nsew signal input
-flabel metal2 s 231343 -400 231399 240 0 FreeSans 560 90 0 0 la_data_in[95]
-port 286 nsew signal input
-flabel metal2 s 233116 -400 233172 240 0 FreeSans 560 90 0 0 la_data_in[96]
-port 287 nsew signal input
-flabel metal2 s 234889 -400 234945 240 0 FreeSans 560 90 0 0 la_data_in[97]
-port 288 nsew signal input
-flabel metal2 s 236662 -400 236718 240 0 FreeSans 560 90 0 0 la_data_in[98]
-port 289 nsew signal input
-flabel metal2 s 238435 -400 238491 240 0 FreeSans 560 90 0 0 la_data_in[99]
-port 290 nsew signal input
-flabel metal2 s 78865 -400 78921 240 0 FreeSans 560 90 0 0 la_data_in[9]
-port 291 nsew signal input
-flabel metal2 s 63499 -400 63555 240 0 FreeSans 560 90 0 0 la_data_out[0]
-port 292 nsew signal tristate
-flabel metal2 s 240799 -400 240855 240 0 FreeSans 560 90 0 0 la_data_out[100]
-port 293 nsew signal tristate
-flabel metal2 s 242572 -400 242628 240 0 FreeSans 560 90 0 0 la_data_out[101]
-port 294 nsew signal tristate
-flabel metal2 s 244345 -400 244401 240 0 FreeSans 560 90 0 0 la_data_out[102]
-port 295 nsew signal tristate
-flabel metal2 s 246118 -400 246174 240 0 FreeSans 560 90 0 0 la_data_out[103]
-port 296 nsew signal tristate
-flabel metal2 s 247891 -400 247947 240 0 FreeSans 560 90 0 0 la_data_out[104]
-port 297 nsew signal tristate
-flabel metal2 s 249664 -400 249720 240 0 FreeSans 560 90 0 0 la_data_out[105]
-port 298 nsew signal tristate
-flabel metal2 s 251437 -400 251493 240 0 FreeSans 560 90 0 0 la_data_out[106]
-port 299 nsew signal tristate
-flabel metal2 s 253210 -400 253266 240 0 FreeSans 560 90 0 0 la_data_out[107]
-port 300 nsew signal tristate
-flabel metal2 s 254983 -400 255039 240 0 FreeSans 560 90 0 0 la_data_out[108]
-port 301 nsew signal tristate
-flabel metal2 s 256756 -400 256812 240 0 FreeSans 560 90 0 0 la_data_out[109]
-port 302 nsew signal tristate
-flabel metal2 s 81229 -400 81285 240 0 FreeSans 560 90 0 0 la_data_out[10]
-port 303 nsew signal tristate
-flabel metal2 s 258529 -400 258585 240 0 FreeSans 560 90 0 0 la_data_out[110]
-port 304 nsew signal tristate
-flabel metal2 s 260302 -400 260358 240 0 FreeSans 560 90 0 0 la_data_out[111]
-port 305 nsew signal tristate
-flabel metal2 s 262075 -400 262131 240 0 FreeSans 560 90 0 0 la_data_out[112]
-port 306 nsew signal tristate
-flabel metal2 s 263848 -400 263904 240 0 FreeSans 560 90 0 0 la_data_out[113]
-port 307 nsew signal tristate
-flabel metal2 s 265621 -400 265677 240 0 FreeSans 560 90 0 0 la_data_out[114]
-port 308 nsew signal tristate
-flabel metal2 s 267394 -400 267450 240 0 FreeSans 560 90 0 0 la_data_out[115]
-port 309 nsew signal tristate
-flabel metal2 s 269167 -400 269223 240 0 FreeSans 560 90 0 0 la_data_out[116]
-port 310 nsew signal tristate
-flabel metal2 s 270940 -400 270996 240 0 FreeSans 560 90 0 0 la_data_out[117]
-port 311 nsew signal tristate
-flabel metal2 s 272713 -400 272769 240 0 FreeSans 560 90 0 0 la_data_out[118]
-port 312 nsew signal tristate
-flabel metal2 s 274486 -400 274542 240 0 FreeSans 560 90 0 0 la_data_out[119]
-port 313 nsew signal tristate
-flabel metal2 s 83002 -400 83058 240 0 FreeSans 560 90 0 0 la_data_out[11]
-port 314 nsew signal tristate
-flabel metal2 s 276259 -400 276315 240 0 FreeSans 560 90 0 0 la_data_out[120]
-port 315 nsew signal tristate
-flabel metal2 s 278032 -400 278088 240 0 FreeSans 560 90 0 0 la_data_out[121]
-port 316 nsew signal tristate
-flabel metal2 s 279805 -400 279861 240 0 FreeSans 560 90 0 0 la_data_out[122]
-port 317 nsew signal tristate
-flabel metal2 s 281578 -400 281634 240 0 FreeSans 560 90 0 0 la_data_out[123]
-port 318 nsew signal tristate
-flabel metal2 s 283351 -400 283407 240 0 FreeSans 560 90 0 0 la_data_out[124]
-port 319 nsew signal tristate
-flabel metal2 s 285124 -400 285180 240 0 FreeSans 560 90 0 0 la_data_out[125]
-port 320 nsew signal tristate
-flabel metal2 s 286897 -400 286953 240 0 FreeSans 560 90 0 0 la_data_out[126]
-port 321 nsew signal tristate
-flabel metal2 s 288670 -400 288726 240 0 FreeSans 560 90 0 0 la_data_out[127]
-port 322 nsew signal tristate
-flabel metal2 s 84775 -400 84831 240 0 FreeSans 560 90 0 0 la_data_out[12]
-port 323 nsew signal tristate
-flabel metal2 s 86548 -400 86604 240 0 FreeSans 560 90 0 0 la_data_out[13]
-port 324 nsew signal tristate
-flabel metal2 s 88321 -400 88377 240 0 FreeSans 560 90 0 0 la_data_out[14]
-port 325 nsew signal tristate
-flabel metal2 s 90094 -400 90150 240 0 FreeSans 560 90 0 0 la_data_out[15]
-port 326 nsew signal tristate
-flabel metal2 s 91867 -400 91923 240 0 FreeSans 560 90 0 0 la_data_out[16]
-port 327 nsew signal tristate
-flabel metal2 s 93640 -400 93696 240 0 FreeSans 560 90 0 0 la_data_out[17]
-port 328 nsew signal tristate
-flabel metal2 s 95413 -400 95469 240 0 FreeSans 560 90 0 0 la_data_out[18]
-port 329 nsew signal tristate
-flabel metal2 s 97186 -400 97242 240 0 FreeSans 560 90 0 0 la_data_out[19]
-port 330 nsew signal tristate
-flabel metal2 s 65272 -400 65328 240 0 FreeSans 560 90 0 0 la_data_out[1]
-port 331 nsew signal tristate
-flabel metal2 s 98959 -400 99015 240 0 FreeSans 560 90 0 0 la_data_out[20]
-port 332 nsew signal tristate
-flabel metal2 s 100732 -400 100788 240 0 FreeSans 560 90 0 0 la_data_out[21]
-port 333 nsew signal tristate
-flabel metal2 s 102505 -400 102561 240 0 FreeSans 560 90 0 0 la_data_out[22]
-port 334 nsew signal tristate
-flabel metal2 s 104278 -400 104334 240 0 FreeSans 560 90 0 0 la_data_out[23]
-port 335 nsew signal tristate
-flabel metal2 s 106051 -400 106107 240 0 FreeSans 560 90 0 0 la_data_out[24]
-port 336 nsew signal tristate
-flabel metal2 s 107824 -400 107880 240 0 FreeSans 560 90 0 0 la_data_out[25]
-port 337 nsew signal tristate
-flabel metal2 s 109597 -400 109653 240 0 FreeSans 560 90 0 0 la_data_out[26]
-port 338 nsew signal tristate
-flabel metal2 s 111370 -400 111426 240 0 FreeSans 560 90 0 0 la_data_out[27]
-port 339 nsew signal tristate
-flabel metal2 s 113143 -400 113199 240 0 FreeSans 560 90 0 0 la_data_out[28]
-port 340 nsew signal tristate
-flabel metal2 s 114916 -400 114972 240 0 FreeSans 560 90 0 0 la_data_out[29]
-port 341 nsew signal tristate
-flabel metal2 s 67045 -400 67101 240 0 FreeSans 560 90 0 0 la_data_out[2]
-port 342 nsew signal tristate
-flabel metal2 s 116689 -400 116745 240 0 FreeSans 560 90 0 0 la_data_out[30]
-port 343 nsew signal tristate
-flabel metal2 s 118462 -400 118518 240 0 FreeSans 560 90 0 0 la_data_out[31]
-port 344 nsew signal tristate
-flabel metal2 s 120235 -400 120291 240 0 FreeSans 560 90 0 0 la_data_out[32]
-port 345 nsew signal tristate
-flabel metal2 s 122008 -400 122064 240 0 FreeSans 560 90 0 0 la_data_out[33]
-port 346 nsew signal tristate
-flabel metal2 s 123781 -400 123837 240 0 FreeSans 560 90 0 0 la_data_out[34]
-port 347 nsew signal tristate
-flabel metal2 s 125554 -400 125610 240 0 FreeSans 560 90 0 0 la_data_out[35]
-port 348 nsew signal tristate
-flabel metal2 s 127327 -400 127383 240 0 FreeSans 560 90 0 0 la_data_out[36]
-port 349 nsew signal tristate
-flabel metal2 s 129100 -400 129156 240 0 FreeSans 560 90 0 0 la_data_out[37]
-port 350 nsew signal tristate
-flabel metal2 s 130873 -400 130929 240 0 FreeSans 560 90 0 0 la_data_out[38]
-port 351 nsew signal tristate
-flabel metal2 s 132646 -400 132702 240 0 FreeSans 560 90 0 0 la_data_out[39]
-port 352 nsew signal tristate
-flabel metal2 s 68818 -400 68874 240 0 FreeSans 560 90 0 0 la_data_out[3]
-port 353 nsew signal tristate
-flabel metal2 s 134419 -400 134475 240 0 FreeSans 560 90 0 0 la_data_out[40]
-port 354 nsew signal tristate
-flabel metal2 s 136192 -400 136248 240 0 FreeSans 560 90 0 0 la_data_out[41]
-port 355 nsew signal tristate
-flabel metal2 s 137965 -400 138021 240 0 FreeSans 560 90 0 0 la_data_out[42]
-port 356 nsew signal tristate
-flabel metal2 s 139738 -400 139794 240 0 FreeSans 560 90 0 0 la_data_out[43]
-port 357 nsew signal tristate
-flabel metal2 s 141511 -400 141567 240 0 FreeSans 560 90 0 0 la_data_out[44]
-port 358 nsew signal tristate
-flabel metal2 s 143284 -400 143340 240 0 FreeSans 560 90 0 0 la_data_out[45]
-port 359 nsew signal tristate
-flabel metal2 s 145057 -400 145113 240 0 FreeSans 560 90 0 0 la_data_out[46]
-port 360 nsew signal tristate
-flabel metal2 s 146830 -400 146886 240 0 FreeSans 560 90 0 0 la_data_out[47]
-port 361 nsew signal tristate
-flabel metal2 s 148603 -400 148659 240 0 FreeSans 560 90 0 0 la_data_out[48]
-port 362 nsew signal tristate
-flabel metal2 s 150376 -400 150432 240 0 FreeSans 560 90 0 0 la_data_out[49]
-port 363 nsew signal tristate
-flabel metal2 s 70591 -400 70647 240 0 FreeSans 560 90 0 0 la_data_out[4]
-port 364 nsew signal tristate
-flabel metal2 s 152149 -400 152205 240 0 FreeSans 560 90 0 0 la_data_out[50]
-port 365 nsew signal tristate
-flabel metal2 s 153922 -400 153978 240 0 FreeSans 560 90 0 0 la_data_out[51]
-port 366 nsew signal tristate
-flabel metal2 s 155695 -400 155751 240 0 FreeSans 560 90 0 0 la_data_out[52]
-port 367 nsew signal tristate
-flabel metal2 s 157468 -400 157524 240 0 FreeSans 560 90 0 0 la_data_out[53]
-port 368 nsew signal tristate
-flabel metal2 s 159241 -400 159297 240 0 FreeSans 560 90 0 0 la_data_out[54]
-port 369 nsew signal tristate
-flabel metal2 s 161014 -400 161070 240 0 FreeSans 560 90 0 0 la_data_out[55]
-port 370 nsew signal tristate
-flabel metal2 s 162787 -400 162843 240 0 FreeSans 560 90 0 0 la_data_out[56]
-port 371 nsew signal tristate
-flabel metal2 s 164560 -400 164616 240 0 FreeSans 560 90 0 0 la_data_out[57]
-port 372 nsew signal tristate
-flabel metal2 s 166333 -400 166389 240 0 FreeSans 560 90 0 0 la_data_out[58]
-port 373 nsew signal tristate
-flabel metal2 s 168106 -400 168162 240 0 FreeSans 560 90 0 0 la_data_out[59]
-port 374 nsew signal tristate
-flabel metal2 s 72364 -400 72420 240 0 FreeSans 560 90 0 0 la_data_out[5]
-port 375 nsew signal tristate
-flabel metal2 s 169879 -400 169935 240 0 FreeSans 560 90 0 0 la_data_out[60]
-port 376 nsew signal tristate
-flabel metal2 s 171652 -400 171708 240 0 FreeSans 560 90 0 0 la_data_out[61]
-port 377 nsew signal tristate
-flabel metal2 s 173425 -400 173481 240 0 FreeSans 560 90 0 0 la_data_out[62]
-port 378 nsew signal tristate
-flabel metal2 s 175198 -400 175254 240 0 FreeSans 560 90 0 0 la_data_out[63]
-port 379 nsew signal tristate
-flabel metal2 s 176971 -400 177027 240 0 FreeSans 560 90 0 0 la_data_out[64]
-port 380 nsew signal tristate
-flabel metal2 s 178744 -400 178800 240 0 FreeSans 560 90 0 0 la_data_out[65]
-port 381 nsew signal tristate
-flabel metal2 s 180517 -400 180573 240 0 FreeSans 560 90 0 0 la_data_out[66]
-port 382 nsew signal tristate
-flabel metal2 s 182290 -400 182346 240 0 FreeSans 560 90 0 0 la_data_out[67]
-port 383 nsew signal tristate
-flabel metal2 s 184063 -400 184119 240 0 FreeSans 560 90 0 0 la_data_out[68]
-port 384 nsew signal tristate
-flabel metal2 s 185836 -400 185892 240 0 FreeSans 560 90 0 0 la_data_out[69]
-port 385 nsew signal tristate
-flabel metal2 s 74137 -400 74193 240 0 FreeSans 560 90 0 0 la_data_out[6]
-port 386 nsew signal tristate
-flabel metal2 s 187609 -400 187665 240 0 FreeSans 560 90 0 0 la_data_out[70]
-port 387 nsew signal tristate
-flabel metal2 s 189382 -400 189438 240 0 FreeSans 560 90 0 0 la_data_out[71]
-port 388 nsew signal tristate
-flabel metal2 s 191155 -400 191211 240 0 FreeSans 560 90 0 0 la_data_out[72]
-port 389 nsew signal tristate
-flabel metal2 s 192928 -400 192984 240 0 FreeSans 560 90 0 0 la_data_out[73]
-port 390 nsew signal tristate
-flabel metal2 s 194701 -400 194757 240 0 FreeSans 560 90 0 0 la_data_out[74]
-port 391 nsew signal tristate
-flabel metal2 s 196474 -400 196530 240 0 FreeSans 560 90 0 0 la_data_out[75]
-port 392 nsew signal tristate
-flabel metal2 s 198247 -400 198303 240 0 FreeSans 560 90 0 0 la_data_out[76]
-port 393 nsew signal tristate
-flabel metal2 s 200020 -400 200076 240 0 FreeSans 560 90 0 0 la_data_out[77]
-port 394 nsew signal tristate
-flabel metal2 s 201793 -400 201849 240 0 FreeSans 560 90 0 0 la_data_out[78]
-port 395 nsew signal tristate
-flabel metal2 s 203566 -400 203622 240 0 FreeSans 560 90 0 0 la_data_out[79]
-port 396 nsew signal tristate
-flabel metal2 s 75910 -400 75966 240 0 FreeSans 560 90 0 0 la_data_out[7]
-port 397 nsew signal tristate
-flabel metal2 s 205339 -400 205395 240 0 FreeSans 560 90 0 0 la_data_out[80]
-port 398 nsew signal tristate
-flabel metal2 s 207112 -400 207168 240 0 FreeSans 560 90 0 0 la_data_out[81]
-port 399 nsew signal tristate
-flabel metal2 s 208885 -400 208941 240 0 FreeSans 560 90 0 0 la_data_out[82]
-port 400 nsew signal tristate
-flabel metal2 s 210658 -400 210714 240 0 FreeSans 560 90 0 0 la_data_out[83]
-port 401 nsew signal tristate
-flabel metal2 s 212431 -400 212487 240 0 FreeSans 560 90 0 0 la_data_out[84]
-port 402 nsew signal tristate
-flabel metal2 s 214204 -400 214260 240 0 FreeSans 560 90 0 0 la_data_out[85]
-port 403 nsew signal tristate
-flabel metal2 s 215977 -400 216033 240 0 FreeSans 560 90 0 0 la_data_out[86]
-port 404 nsew signal tristate
-flabel metal2 s 217750 -400 217806 240 0 FreeSans 560 90 0 0 la_data_out[87]
-port 405 nsew signal tristate
-flabel metal2 s 219523 -400 219579 240 0 FreeSans 560 90 0 0 la_data_out[88]
-port 406 nsew signal tristate
-flabel metal2 s 221296 -400 221352 240 0 FreeSans 560 90 0 0 la_data_out[89]
-port 407 nsew signal tristate
-flabel metal2 s 77683 -400 77739 240 0 FreeSans 560 90 0 0 la_data_out[8]
-port 408 nsew signal tristate
-flabel metal2 s 223069 -400 223125 240 0 FreeSans 560 90 0 0 la_data_out[90]
-port 409 nsew signal tristate
-flabel metal2 s 224842 -400 224898 240 0 FreeSans 560 90 0 0 la_data_out[91]
-port 410 nsew signal tristate
-flabel metal2 s 226615 -400 226671 240 0 FreeSans 560 90 0 0 la_data_out[92]
-port 411 nsew signal tristate
-flabel metal2 s 228388 -400 228444 240 0 FreeSans 560 90 0 0 la_data_out[93]
-port 412 nsew signal tristate
-flabel metal2 s 230161 -400 230217 240 0 FreeSans 560 90 0 0 la_data_out[94]
-port 413 nsew signal tristate
-flabel metal2 s 231934 -400 231990 240 0 FreeSans 560 90 0 0 la_data_out[95]
-port 414 nsew signal tristate
-flabel metal2 s 233707 -400 233763 240 0 FreeSans 560 90 0 0 la_data_out[96]
-port 415 nsew signal tristate
-flabel metal2 s 235480 -400 235536 240 0 FreeSans 560 90 0 0 la_data_out[97]
-port 416 nsew signal tristate
-flabel metal2 s 237253 -400 237309 240 0 FreeSans 560 90 0 0 la_data_out[98]
-port 417 nsew signal tristate
-flabel metal2 s 239026 -400 239082 240 0 FreeSans 560 90 0 0 la_data_out[99]
-port 418 nsew signal tristate
-flabel metal2 s 79456 -400 79512 240 0 FreeSans 560 90 0 0 la_data_out[9]
-port 419 nsew signal tristate
-flabel metal2 s 64090 -400 64146 240 0 FreeSans 560 90 0 0 la_oenb[0]
-port 420 nsew signal input
-flabel metal2 s 241390 -400 241446 240 0 FreeSans 560 90 0 0 la_oenb[100]
-port 421 nsew signal input
-flabel metal2 s 243163 -400 243219 240 0 FreeSans 560 90 0 0 la_oenb[101]
-port 422 nsew signal input
-flabel metal2 s 244936 -400 244992 240 0 FreeSans 560 90 0 0 la_oenb[102]
-port 423 nsew signal input
-flabel metal2 s 246709 -400 246765 240 0 FreeSans 560 90 0 0 la_oenb[103]
-port 424 nsew signal input
-flabel metal2 s 248482 -400 248538 240 0 FreeSans 560 90 0 0 la_oenb[104]
-port 425 nsew signal input
-flabel metal2 s 250255 -400 250311 240 0 FreeSans 560 90 0 0 la_oenb[105]
-port 426 nsew signal input
-flabel metal2 s 252028 -400 252084 240 0 FreeSans 560 90 0 0 la_oenb[106]
-port 427 nsew signal input
-flabel metal2 s 253801 -400 253857 240 0 FreeSans 560 90 0 0 la_oenb[107]
-port 428 nsew signal input
-flabel metal2 s 255574 -400 255630 240 0 FreeSans 560 90 0 0 la_oenb[108]
-port 429 nsew signal input
-flabel metal2 s 257347 -400 257403 240 0 FreeSans 560 90 0 0 la_oenb[109]
-port 430 nsew signal input
-flabel metal2 s 81820 -400 81876 240 0 FreeSans 560 90 0 0 la_oenb[10]
-port 431 nsew signal input
-flabel metal2 s 259120 -400 259176 240 0 FreeSans 560 90 0 0 la_oenb[110]
-port 432 nsew signal input
-flabel metal2 s 260893 -400 260949 240 0 FreeSans 560 90 0 0 la_oenb[111]
-port 433 nsew signal input
-flabel metal2 s 262666 -400 262722 240 0 FreeSans 560 90 0 0 la_oenb[112]
-port 434 nsew signal input
-flabel metal2 s 264439 -400 264495 240 0 FreeSans 560 90 0 0 la_oenb[113]
-port 435 nsew signal input
-flabel metal2 s 266212 -400 266268 240 0 FreeSans 560 90 0 0 la_oenb[114]
-port 436 nsew signal input
-flabel metal2 s 267985 -400 268041 240 0 FreeSans 560 90 0 0 la_oenb[115]
-port 437 nsew signal input
-flabel metal2 s 269758 -400 269814 240 0 FreeSans 560 90 0 0 la_oenb[116]
-port 438 nsew signal input
-flabel metal2 s 271531 -400 271587 240 0 FreeSans 560 90 0 0 la_oenb[117]
-port 439 nsew signal input
-flabel metal2 s 273304 -400 273360 240 0 FreeSans 560 90 0 0 la_oenb[118]
-port 440 nsew signal input
-flabel metal2 s 275077 -400 275133 240 0 FreeSans 560 90 0 0 la_oenb[119]
-port 441 nsew signal input
-flabel metal2 s 83593 -400 83649 240 0 FreeSans 560 90 0 0 la_oenb[11]
-port 442 nsew signal input
-flabel metal2 s 276850 -400 276906 240 0 FreeSans 560 90 0 0 la_oenb[120]
-port 443 nsew signal input
-flabel metal2 s 278623 -400 278679 240 0 FreeSans 560 90 0 0 la_oenb[121]
-port 444 nsew signal input
-flabel metal2 s 280396 -400 280452 240 0 FreeSans 560 90 0 0 la_oenb[122]
-port 445 nsew signal input
-flabel metal2 s 282169 -400 282225 240 0 FreeSans 560 90 0 0 la_oenb[123]
-port 446 nsew signal input
-flabel metal2 s 283942 -400 283998 240 0 FreeSans 560 90 0 0 la_oenb[124]
-port 447 nsew signal input
-flabel metal2 s 285715 -400 285771 240 0 FreeSans 560 90 0 0 la_oenb[125]
-port 448 nsew signal input
-flabel metal2 s 287488 -400 287544 240 0 FreeSans 560 90 0 0 la_oenb[126]
-port 449 nsew signal input
-flabel metal2 s 289261 -400 289317 240 0 FreeSans 560 90 0 0 la_oenb[127]
-port 450 nsew signal input
-flabel metal2 s 85366 -400 85422 240 0 FreeSans 560 90 0 0 la_oenb[12]
-port 451 nsew signal input
-flabel metal2 s 87139 -400 87195 240 0 FreeSans 560 90 0 0 la_oenb[13]
-port 452 nsew signal input
-flabel metal2 s 88912 -400 88968 240 0 FreeSans 560 90 0 0 la_oenb[14]
-port 453 nsew signal input
-flabel metal2 s 90685 -400 90741 240 0 FreeSans 560 90 0 0 la_oenb[15]
-port 454 nsew signal input
-flabel metal2 s 92458 -400 92514 240 0 FreeSans 560 90 0 0 la_oenb[16]
-port 455 nsew signal input
-flabel metal2 s 94231 -400 94287 240 0 FreeSans 560 90 0 0 la_oenb[17]
-port 456 nsew signal input
-flabel metal2 s 96004 -400 96060 240 0 FreeSans 560 90 0 0 la_oenb[18]
-port 457 nsew signal input
-flabel metal2 s 97777 -400 97833 240 0 FreeSans 560 90 0 0 la_oenb[19]
-port 458 nsew signal input
-flabel metal2 s 65863 -400 65919 240 0 FreeSans 560 90 0 0 la_oenb[1]
-port 459 nsew signal input
-flabel metal2 s 99550 -400 99606 240 0 FreeSans 560 90 0 0 la_oenb[20]
-port 460 nsew signal input
-flabel metal2 s 101323 -400 101379 240 0 FreeSans 560 90 0 0 la_oenb[21]
-port 461 nsew signal input
-flabel metal2 s 103096 -400 103152 240 0 FreeSans 560 90 0 0 la_oenb[22]
-port 462 nsew signal input
-flabel metal2 s 104869 -400 104925 240 0 FreeSans 560 90 0 0 la_oenb[23]
-port 463 nsew signal input
-flabel metal2 s 106642 -400 106698 240 0 FreeSans 560 90 0 0 la_oenb[24]
-port 464 nsew signal input
-flabel metal2 s 108415 -400 108471 240 0 FreeSans 560 90 0 0 la_oenb[25]
-port 465 nsew signal input
-flabel metal2 s 110188 -400 110244 240 0 FreeSans 560 90 0 0 la_oenb[26]
-port 466 nsew signal input
-flabel metal2 s 111961 -400 112017 240 0 FreeSans 560 90 0 0 la_oenb[27]
-port 467 nsew signal input
-flabel metal2 s 113734 -400 113790 240 0 FreeSans 560 90 0 0 la_oenb[28]
-port 468 nsew signal input
-flabel metal2 s 115507 -400 115563 240 0 FreeSans 560 90 0 0 la_oenb[29]
-port 469 nsew signal input
-flabel metal2 s 67636 -400 67692 240 0 FreeSans 560 90 0 0 la_oenb[2]
-port 470 nsew signal input
-flabel metal2 s 117280 -400 117336 240 0 FreeSans 560 90 0 0 la_oenb[30]
-port 471 nsew signal input
-flabel metal2 s 119053 -400 119109 240 0 FreeSans 560 90 0 0 la_oenb[31]
-port 472 nsew signal input
-flabel metal2 s 120826 -400 120882 240 0 FreeSans 560 90 0 0 la_oenb[32]
-port 473 nsew signal input
-flabel metal2 s 122599 -400 122655 240 0 FreeSans 560 90 0 0 la_oenb[33]
-port 474 nsew signal input
-flabel metal2 s 124372 -400 124428 240 0 FreeSans 560 90 0 0 la_oenb[34]
-port 475 nsew signal input
-flabel metal2 s 126145 -400 126201 240 0 FreeSans 560 90 0 0 la_oenb[35]
-port 476 nsew signal input
-flabel metal2 s 127918 -400 127974 240 0 FreeSans 560 90 0 0 la_oenb[36]
-port 477 nsew signal input
-flabel metal2 s 129691 -400 129747 240 0 FreeSans 560 90 0 0 la_oenb[37]
-port 478 nsew signal input
-flabel metal2 s 131464 -400 131520 240 0 FreeSans 560 90 0 0 la_oenb[38]
-port 479 nsew signal input
-flabel metal2 s 133237 -400 133293 240 0 FreeSans 560 90 0 0 la_oenb[39]
-port 480 nsew signal input
-flabel metal2 s 69409 -400 69465 240 0 FreeSans 560 90 0 0 la_oenb[3]
-port 481 nsew signal input
-flabel metal2 s 135010 -400 135066 240 0 FreeSans 560 90 0 0 la_oenb[40]
-port 482 nsew signal input
-flabel metal2 s 136783 -400 136839 240 0 FreeSans 560 90 0 0 la_oenb[41]
-port 483 nsew signal input
-flabel metal2 s 138556 -400 138612 240 0 FreeSans 560 90 0 0 la_oenb[42]
-port 484 nsew signal input
-flabel metal2 s 140329 -400 140385 240 0 FreeSans 560 90 0 0 la_oenb[43]
-port 485 nsew signal input
-flabel metal2 s 142102 -400 142158 240 0 FreeSans 560 90 0 0 la_oenb[44]
-port 486 nsew signal input
-flabel metal2 s 143875 -400 143931 240 0 FreeSans 560 90 0 0 la_oenb[45]
-port 487 nsew signal input
-flabel metal2 s 145648 -400 145704 240 0 FreeSans 560 90 0 0 la_oenb[46]
-port 488 nsew signal input
-flabel metal2 s 147421 -400 147477 240 0 FreeSans 560 90 0 0 la_oenb[47]
-port 489 nsew signal input
-flabel metal2 s 149194 -400 149250 240 0 FreeSans 560 90 0 0 la_oenb[48]
-port 490 nsew signal input
-flabel metal2 s 150967 -400 151023 240 0 FreeSans 560 90 0 0 la_oenb[49]
-port 491 nsew signal input
-flabel metal2 s 71182 -400 71238 240 0 FreeSans 560 90 0 0 la_oenb[4]
-port 492 nsew signal input
-flabel metal2 s 152740 -400 152796 240 0 FreeSans 560 90 0 0 la_oenb[50]
-port 493 nsew signal input
-flabel metal2 s 154513 -400 154569 240 0 FreeSans 560 90 0 0 la_oenb[51]
-port 494 nsew signal input
-flabel metal2 s 156286 -400 156342 240 0 FreeSans 560 90 0 0 la_oenb[52]
-port 495 nsew signal input
-flabel metal2 s 158059 -400 158115 240 0 FreeSans 560 90 0 0 la_oenb[53]
-port 496 nsew signal input
-flabel metal2 s 159832 -400 159888 240 0 FreeSans 560 90 0 0 la_oenb[54]
-port 497 nsew signal input
-flabel metal2 s 161605 -400 161661 240 0 FreeSans 560 90 0 0 la_oenb[55]
-port 498 nsew signal input
-flabel metal2 s 163378 -400 163434 240 0 FreeSans 560 90 0 0 la_oenb[56]
-port 499 nsew signal input
-flabel metal2 s 165151 -400 165207 240 0 FreeSans 560 90 0 0 la_oenb[57]
-port 500 nsew signal input
-flabel metal2 s 166924 -400 166980 240 0 FreeSans 560 90 0 0 la_oenb[58]
-port 501 nsew signal input
-flabel metal2 s 168697 -400 168753 240 0 FreeSans 560 90 0 0 la_oenb[59]
-port 502 nsew signal input
-flabel metal2 s 72955 -400 73011 240 0 FreeSans 560 90 0 0 la_oenb[5]
-port 503 nsew signal input
-flabel metal2 s 170470 -400 170526 240 0 FreeSans 560 90 0 0 la_oenb[60]
-port 504 nsew signal input
-flabel metal2 s 172243 -400 172299 240 0 FreeSans 560 90 0 0 la_oenb[61]
-port 505 nsew signal input
-flabel metal2 s 174016 -400 174072 240 0 FreeSans 560 90 0 0 la_oenb[62]
-port 506 nsew signal input
-flabel metal2 s 175789 -400 175845 240 0 FreeSans 560 90 0 0 la_oenb[63]
-port 507 nsew signal input
-flabel metal2 s 177562 -400 177618 240 0 FreeSans 560 90 0 0 la_oenb[64]
-port 508 nsew signal input
-flabel metal2 s 179335 -400 179391 240 0 FreeSans 560 90 0 0 la_oenb[65]
-port 509 nsew signal input
-flabel metal2 s 181108 -400 181164 240 0 FreeSans 560 90 0 0 la_oenb[66]
-port 510 nsew signal input
-flabel metal2 s 182881 -400 182937 240 0 FreeSans 560 90 0 0 la_oenb[67]
-port 511 nsew signal input
-flabel metal2 s 184654 -400 184710 240 0 FreeSans 560 90 0 0 la_oenb[68]
-port 512 nsew signal input
-flabel metal2 s 186427 -400 186483 240 0 FreeSans 560 90 0 0 la_oenb[69]
-port 513 nsew signal input
-flabel metal2 s 74728 -400 74784 240 0 FreeSans 560 90 0 0 la_oenb[6]
-port 514 nsew signal input
-flabel metal2 s 188200 -400 188256 240 0 FreeSans 560 90 0 0 la_oenb[70]
-port 515 nsew signal input
-flabel metal2 s 189973 -400 190029 240 0 FreeSans 560 90 0 0 la_oenb[71]
-port 516 nsew signal input
-flabel metal2 s 191746 -400 191802 240 0 FreeSans 560 90 0 0 la_oenb[72]
-port 517 nsew signal input
-flabel metal2 s 193519 -400 193575 240 0 FreeSans 560 90 0 0 la_oenb[73]
-port 518 nsew signal input
-flabel metal2 s 195292 -400 195348 240 0 FreeSans 560 90 0 0 la_oenb[74]
-port 519 nsew signal input
-flabel metal2 s 197065 -400 197121 240 0 FreeSans 560 90 0 0 la_oenb[75]
-port 520 nsew signal input
-flabel metal2 s 198838 -400 198894 240 0 FreeSans 560 90 0 0 la_oenb[76]
-port 521 nsew signal input
-flabel metal2 s 200611 -400 200667 240 0 FreeSans 560 90 0 0 la_oenb[77]
-port 522 nsew signal input
-flabel metal2 s 202384 -400 202440 240 0 FreeSans 560 90 0 0 la_oenb[78]
-port 523 nsew signal input
-flabel metal2 s 204157 -400 204213 240 0 FreeSans 560 90 0 0 la_oenb[79]
-port 524 nsew signal input
-flabel metal2 s 76501 -400 76557 240 0 FreeSans 560 90 0 0 la_oenb[7]
-port 525 nsew signal input
-flabel metal2 s 205930 -400 205986 240 0 FreeSans 560 90 0 0 la_oenb[80]
-port 526 nsew signal input
-flabel metal2 s 207703 -400 207759 240 0 FreeSans 560 90 0 0 la_oenb[81]
-port 527 nsew signal input
-flabel metal2 s 209476 -400 209532 240 0 FreeSans 560 90 0 0 la_oenb[82]
-port 528 nsew signal input
-flabel metal2 s 211249 -400 211305 240 0 FreeSans 560 90 0 0 la_oenb[83]
-port 529 nsew signal input
-flabel metal2 s 213022 -400 213078 240 0 FreeSans 560 90 0 0 la_oenb[84]
-port 530 nsew signal input
-flabel metal2 s 214795 -400 214851 240 0 FreeSans 560 90 0 0 la_oenb[85]
-port 531 nsew signal input
-flabel metal2 s 216568 -400 216624 240 0 FreeSans 560 90 0 0 la_oenb[86]
-port 532 nsew signal input
-flabel metal2 s 218341 -400 218397 240 0 FreeSans 560 90 0 0 la_oenb[87]
-port 533 nsew signal input
-flabel metal2 s 220114 -400 220170 240 0 FreeSans 560 90 0 0 la_oenb[88]
-port 534 nsew signal input
-flabel metal2 s 221887 -400 221943 240 0 FreeSans 560 90 0 0 la_oenb[89]
-port 535 nsew signal input
-flabel metal2 s 78274 -400 78330 240 0 FreeSans 560 90 0 0 la_oenb[8]
-port 536 nsew signal input
-flabel metal2 s 223660 -400 223716 240 0 FreeSans 560 90 0 0 la_oenb[90]
-port 537 nsew signal input
-flabel metal2 s 225433 -400 225489 240 0 FreeSans 560 90 0 0 la_oenb[91]
-port 538 nsew signal input
-flabel metal2 s 227206 -400 227262 240 0 FreeSans 560 90 0 0 la_oenb[92]
-port 539 nsew signal input
-flabel metal2 s 228979 -400 229035 240 0 FreeSans 560 90 0 0 la_oenb[93]
-port 540 nsew signal input
-flabel metal2 s 230752 -400 230808 240 0 FreeSans 560 90 0 0 la_oenb[94]
-port 541 nsew signal input
-flabel metal2 s 232525 -400 232581 240 0 FreeSans 560 90 0 0 la_oenb[95]
-port 542 nsew signal input
-flabel metal2 s 234298 -400 234354 240 0 FreeSans 560 90 0 0 la_oenb[96]
-port 543 nsew signal input
-flabel metal2 s 236071 -400 236127 240 0 FreeSans 560 90 0 0 la_oenb[97]
-port 544 nsew signal input
-flabel metal2 s 237844 -400 237900 240 0 FreeSans 560 90 0 0 la_oenb[98]
-port 545 nsew signal input
-flabel metal2 s 239617 -400 239673 240 0 FreeSans 560 90 0 0 la_oenb[99]
-port 546 nsew signal input
-flabel metal2 s 80047 -400 80103 240 0 FreeSans 560 90 0 0 la_oenb[9]
-port 547 nsew signal input
-flabel metal2 s 289852 -400 289908 240 0 FreeSans 560 90 0 0 user_clock2
-port 548 nsew signal input
-flabel metal2 s 290443 -400 290499 240 0 FreeSans 560 90 0 0 user_irq[0]
-port 549 nsew signal tristate
-flabel metal2 s 291034 -400 291090 240 0 FreeSans 560 90 0 0 user_irq[1]
-port 550 nsew signal tristate
-flabel metal2 s 291625 -400 291681 240 0 FreeSans 560 90 0 0 user_irq[2]
-port 551 nsew signal tristate
-flabel metal3 s 291170 319892 292400 322292 0 FreeSans 560 0 0 0 vccd1
-port 552 nsew signal bidirectional
-flabel metal3 s 291170 314892 292400 317292 0 FreeSans 560 0 0 0 vccd1
-port 553 nsew signal bidirectional
-flabel metal3 s 0 321921 830 324321 0 FreeSans 560 0 0 0 vccd2
-port 554 nsew signal bidirectional
-flabel metal3 s 0 316921 830 319321 0 FreeSans 560 0 0 0 vccd2
-port 555 nsew signal bidirectional
-flabel metal3 s 291170 270281 292400 272681 0 FreeSans 560 0 0 0 vdda1
-port 556 nsew signal bidirectional
-flabel metal3 s 291170 275281 292400 277681 0 FreeSans 560 0 0 0 vdda1
-port 557 nsew signal bidirectional
-flabel metal3 s 291170 117615 292400 120015 0 FreeSans 560 0 0 0 vdda1
-port 558 nsew signal bidirectional
-flabel metal3 s 291170 112615 292400 115015 0 FreeSans 560 0 0 0 vdda1
-port 559 nsew signal bidirectional
-flabel metal3 s 0 102444 830 104844 0 FreeSans 560 0 0 0 vdda2
-port 560 nsew signal bidirectional
-flabel metal3 s 0 107444 830 109844 0 FreeSans 560 0 0 0 vdda2
-port 561 nsew signal bidirectional
-flabel metal3 s 260297 351170 262697 352400 0 FreeSans 960 180 0 0 vssa1
-port 562 nsew signal bidirectional
-flabel metal3 s 291170 73415 292400 75815 0 FreeSans 560 0 0 0 vssa1
-port 564 nsew signal bidirectional
-flabel metal3 s 291170 68415 292400 70815 0 FreeSans 560 0 0 0 vssa1
-port 565 nsew signal bidirectional
-flabel metal3 s 0 279721 830 282121 0 FreeSans 560 0 0 0 vssa2
-port 566 nsew signal bidirectional
-flabel metal3 s 0 274721 830 277121 0 FreeSans 560 0 0 0 vssa2
-port 567 nsew signal bidirectional
-flabel metal3 s 291170 95715 292400 98115 0 FreeSans 560 0 0 0 vssd1
-port 568 nsew signal bidirectional
-flabel metal3 s 291170 90715 292400 93115 0 FreeSans 560 0 0 0 vssd1
-port 569 nsew signal bidirectional
-flabel metal3 s 0 86444 830 88844 0 FreeSans 560 0 0 0 vssd2
-port 570 nsew signal bidirectional
-flabel metal3 s 0 81444 830 83844 0 FreeSans 560 0 0 0 vssd2
-port 571 nsew signal bidirectional
-flabel metal2 s 262 -400 318 240 0 FreeSans 560 90 0 0 wb_clk_i
-port 572 nsew signal input
-flabel metal2 s 853 -400 909 240 0 FreeSans 560 90 0 0 wb_rst_i
-port 573 nsew signal input
-flabel metal2 s 1444 -400 1500 240 0 FreeSans 560 90 0 0 wbs_ack_o
-port 574 nsew signal tristate
-flabel metal2 s 3808 -400 3864 240 0 FreeSans 560 90 0 0 wbs_adr_i[0]
-port 575 nsew signal input
-flabel metal2 s 23902 -400 23958 240 0 FreeSans 560 90 0 0 wbs_adr_i[10]
-port 576 nsew signal input
-flabel metal2 s 25675 -400 25731 240 0 FreeSans 560 90 0 0 wbs_adr_i[11]
-port 577 nsew signal input
-flabel metal2 s 27448 -400 27504 240 0 FreeSans 560 90 0 0 wbs_adr_i[12]
-port 578 nsew signal input
-flabel metal2 s 29221 -400 29277 240 0 FreeSans 560 90 0 0 wbs_adr_i[13]
-port 579 nsew signal input
-flabel metal2 s 30994 -400 31050 240 0 FreeSans 560 90 0 0 wbs_adr_i[14]
-port 580 nsew signal input
-flabel metal2 s 32767 -400 32823 240 0 FreeSans 560 90 0 0 wbs_adr_i[15]
-port 581 nsew signal input
-flabel metal2 s 34540 -400 34596 240 0 FreeSans 560 90 0 0 wbs_adr_i[16]
-port 582 nsew signal input
-flabel metal2 s 36313 -400 36369 240 0 FreeSans 560 90 0 0 wbs_adr_i[17]
-port 583 nsew signal input
-flabel metal2 s 38086 -400 38142 240 0 FreeSans 560 90 0 0 wbs_adr_i[18]
-port 584 nsew signal input
-flabel metal2 s 39859 -400 39915 240 0 FreeSans 560 90 0 0 wbs_adr_i[19]
-port 585 nsew signal input
-flabel metal2 s 6172 -400 6228 240 0 FreeSans 560 90 0 0 wbs_adr_i[1]
-port 586 nsew signal input
-flabel metal2 s 41632 -400 41688 240 0 FreeSans 560 90 0 0 wbs_adr_i[20]
-port 587 nsew signal input
-flabel metal2 s 43405 -400 43461 240 0 FreeSans 560 90 0 0 wbs_adr_i[21]
-port 588 nsew signal input
-flabel metal2 s 45178 -400 45234 240 0 FreeSans 560 90 0 0 wbs_adr_i[22]
-port 589 nsew signal input
-flabel metal2 s 46951 -400 47007 240 0 FreeSans 560 90 0 0 wbs_adr_i[23]
-port 590 nsew signal input
-flabel metal2 s 48724 -400 48780 240 0 FreeSans 560 90 0 0 wbs_adr_i[24]
-port 591 nsew signal input
-flabel metal2 s 50497 -400 50553 240 0 FreeSans 560 90 0 0 wbs_adr_i[25]
-port 592 nsew signal input
-flabel metal2 s 52270 -400 52326 240 0 FreeSans 560 90 0 0 wbs_adr_i[26]
-port 593 nsew signal input
-flabel metal2 s 54043 -400 54099 240 0 FreeSans 560 90 0 0 wbs_adr_i[27]
-port 594 nsew signal input
-flabel metal2 s 55816 -400 55872 240 0 FreeSans 560 90 0 0 wbs_adr_i[28]
-port 595 nsew signal input
-flabel metal2 s 57589 -400 57645 240 0 FreeSans 560 90 0 0 wbs_adr_i[29]
-port 596 nsew signal input
-flabel metal2 s 8536 -400 8592 240 0 FreeSans 560 90 0 0 wbs_adr_i[2]
-port 597 nsew signal input
-flabel metal2 s 59362 -400 59418 240 0 FreeSans 560 90 0 0 wbs_adr_i[30]
-port 598 nsew signal input
-flabel metal2 s 61135 -400 61191 240 0 FreeSans 560 90 0 0 wbs_adr_i[31]
-port 599 nsew signal input
-flabel metal2 s 10900 -400 10956 240 0 FreeSans 560 90 0 0 wbs_adr_i[3]
-port 600 nsew signal input
-flabel metal2 s 13264 -400 13320 240 0 FreeSans 560 90 0 0 wbs_adr_i[4]
-port 601 nsew signal input
-flabel metal2 s 15037 -400 15093 240 0 FreeSans 560 90 0 0 wbs_adr_i[5]
-port 602 nsew signal input
-flabel metal2 s 16810 -400 16866 240 0 FreeSans 560 90 0 0 wbs_adr_i[6]
-port 603 nsew signal input
-flabel metal2 s 18583 -400 18639 240 0 FreeSans 560 90 0 0 wbs_adr_i[7]
-port 604 nsew signal input
-flabel metal2 s 20356 -400 20412 240 0 FreeSans 560 90 0 0 wbs_adr_i[8]
-port 605 nsew signal input
-flabel metal2 s 22129 -400 22185 240 0 FreeSans 560 90 0 0 wbs_adr_i[9]
-port 606 nsew signal input
-flabel metal2 s 2035 -400 2091 240 0 FreeSans 560 90 0 0 wbs_cyc_i
-port 607 nsew signal input
-flabel metal2 s 4399 -400 4455 240 0 FreeSans 560 90 0 0 wbs_dat_i[0]
-port 608 nsew signal input
-flabel metal2 s 24493 -400 24549 240 0 FreeSans 560 90 0 0 wbs_dat_i[10]
-port 609 nsew signal input
-flabel metal2 s 26266 -400 26322 240 0 FreeSans 560 90 0 0 wbs_dat_i[11]
-port 610 nsew signal input
-flabel metal2 s 28039 -400 28095 240 0 FreeSans 560 90 0 0 wbs_dat_i[12]
-port 611 nsew signal input
-flabel metal2 s 29812 -400 29868 240 0 FreeSans 560 90 0 0 wbs_dat_i[13]
-port 612 nsew signal input
-flabel metal2 s 31585 -400 31641 240 0 FreeSans 560 90 0 0 wbs_dat_i[14]
-port 613 nsew signal input
-flabel metal2 s 33358 -400 33414 240 0 FreeSans 560 90 0 0 wbs_dat_i[15]
-port 614 nsew signal input
-flabel metal2 s 35131 -400 35187 240 0 FreeSans 560 90 0 0 wbs_dat_i[16]
-port 615 nsew signal input
-flabel metal2 s 36904 -400 36960 240 0 FreeSans 560 90 0 0 wbs_dat_i[17]
-port 616 nsew signal input
-flabel metal2 s 38677 -400 38733 240 0 FreeSans 560 90 0 0 wbs_dat_i[18]
-port 617 nsew signal input
-flabel metal2 s 40450 -400 40506 240 0 FreeSans 560 90 0 0 wbs_dat_i[19]
-port 618 nsew signal input
-flabel metal2 s 6763 -400 6819 240 0 FreeSans 560 90 0 0 wbs_dat_i[1]
-port 619 nsew signal input
-flabel metal2 s 42223 -400 42279 240 0 FreeSans 560 90 0 0 wbs_dat_i[20]
-port 620 nsew signal input
-flabel metal2 s 43996 -400 44052 240 0 FreeSans 560 90 0 0 wbs_dat_i[21]
-port 621 nsew signal input
-flabel metal2 s 45769 -400 45825 240 0 FreeSans 560 90 0 0 wbs_dat_i[22]
-port 622 nsew signal input
-flabel metal2 s 47542 -400 47598 240 0 FreeSans 560 90 0 0 wbs_dat_i[23]
-port 623 nsew signal input
-flabel metal2 s 49315 -400 49371 240 0 FreeSans 560 90 0 0 wbs_dat_i[24]
-port 624 nsew signal input
-flabel metal2 s 51088 -400 51144 240 0 FreeSans 560 90 0 0 wbs_dat_i[25]
-port 625 nsew signal input
-flabel metal2 s 52861 -400 52917 240 0 FreeSans 560 90 0 0 wbs_dat_i[26]
-port 626 nsew signal input
-flabel metal2 s 54634 -400 54690 240 0 FreeSans 560 90 0 0 wbs_dat_i[27]
-port 627 nsew signal input
-flabel metal2 s 56407 -400 56463 240 0 FreeSans 560 90 0 0 wbs_dat_i[28]
-port 628 nsew signal input
-flabel metal2 s 58180 -400 58236 240 0 FreeSans 560 90 0 0 wbs_dat_i[29]
-port 629 nsew signal input
-flabel metal2 s 9127 -400 9183 240 0 FreeSans 560 90 0 0 wbs_dat_i[2]
-port 630 nsew signal input
-flabel metal2 s 59953 -400 60009 240 0 FreeSans 560 90 0 0 wbs_dat_i[30]
-port 631 nsew signal input
-flabel metal2 s 61726 -400 61782 240 0 FreeSans 560 90 0 0 wbs_dat_i[31]
-port 632 nsew signal input
-flabel metal2 s 11491 -400 11547 240 0 FreeSans 560 90 0 0 wbs_dat_i[3]
-port 633 nsew signal input
-flabel metal2 s 13855 -400 13911 240 0 FreeSans 560 90 0 0 wbs_dat_i[4]
-port 634 nsew signal input
-flabel metal2 s 15628 -400 15684 240 0 FreeSans 560 90 0 0 wbs_dat_i[5]
-port 635 nsew signal input
-flabel metal2 s 17401 -400 17457 240 0 FreeSans 560 90 0 0 wbs_dat_i[6]
-port 636 nsew signal input
-flabel metal2 s 19174 -400 19230 240 0 FreeSans 560 90 0 0 wbs_dat_i[7]
-port 637 nsew signal input
-flabel metal2 s 20947 -400 21003 240 0 FreeSans 560 90 0 0 wbs_dat_i[8]
-port 638 nsew signal input
-flabel metal2 s 22720 -400 22776 240 0 FreeSans 560 90 0 0 wbs_dat_i[9]
-port 639 nsew signal input
-flabel metal2 s 4990 -400 5046 240 0 FreeSans 560 90 0 0 wbs_dat_o[0]
-port 640 nsew signal tristate
-flabel metal2 s 25084 -400 25140 240 0 FreeSans 560 90 0 0 wbs_dat_o[10]
-port 641 nsew signal tristate
-flabel metal2 s 26857 -400 26913 240 0 FreeSans 560 90 0 0 wbs_dat_o[11]
-port 642 nsew signal tristate
-flabel metal2 s 28630 -400 28686 240 0 FreeSans 560 90 0 0 wbs_dat_o[12]
-port 643 nsew signal tristate
-flabel metal2 s 30403 -400 30459 240 0 FreeSans 560 90 0 0 wbs_dat_o[13]
-port 644 nsew signal tristate
-flabel metal2 s 32176 -400 32232 240 0 FreeSans 560 90 0 0 wbs_dat_o[14]
-port 645 nsew signal tristate
-flabel metal2 s 33949 -400 34005 240 0 FreeSans 560 90 0 0 wbs_dat_o[15]
-port 646 nsew signal tristate
-flabel metal2 s 35722 -400 35778 240 0 FreeSans 560 90 0 0 wbs_dat_o[16]
-port 647 nsew signal tristate
-flabel metal2 s 37495 -400 37551 240 0 FreeSans 560 90 0 0 wbs_dat_o[17]
-port 648 nsew signal tristate
-flabel metal2 s 39268 -400 39324 240 0 FreeSans 560 90 0 0 wbs_dat_o[18]
-port 649 nsew signal tristate
-flabel metal2 s 41041 -400 41097 240 0 FreeSans 560 90 0 0 wbs_dat_o[19]
-port 650 nsew signal tristate
-flabel metal2 s 7354 -400 7410 240 0 FreeSans 560 90 0 0 wbs_dat_o[1]
-port 651 nsew signal tristate
-flabel metal2 s 42814 -400 42870 240 0 FreeSans 560 90 0 0 wbs_dat_o[20]
-port 652 nsew signal tristate
-flabel metal2 s 44587 -400 44643 240 0 FreeSans 560 90 0 0 wbs_dat_o[21]
-port 653 nsew signal tristate
-flabel metal2 s 46360 -400 46416 240 0 FreeSans 560 90 0 0 wbs_dat_o[22]
-port 654 nsew signal tristate
-flabel metal2 s 48133 -400 48189 240 0 FreeSans 560 90 0 0 wbs_dat_o[23]
-port 655 nsew signal tristate
-flabel metal2 s 49906 -400 49962 240 0 FreeSans 560 90 0 0 wbs_dat_o[24]
-port 656 nsew signal tristate
-flabel metal2 s 51679 -400 51735 240 0 FreeSans 560 90 0 0 wbs_dat_o[25]
-port 657 nsew signal tristate
-flabel metal2 s 53452 -400 53508 240 0 FreeSans 560 90 0 0 wbs_dat_o[26]
-port 658 nsew signal tristate
-flabel metal2 s 55225 -400 55281 240 0 FreeSans 560 90 0 0 wbs_dat_o[27]
-port 659 nsew signal tristate
-flabel metal2 s 56998 -400 57054 240 0 FreeSans 560 90 0 0 wbs_dat_o[28]
-port 660 nsew signal tristate
-flabel metal2 s 58771 -400 58827 240 0 FreeSans 560 90 0 0 wbs_dat_o[29]
-port 661 nsew signal tristate
-flabel metal2 s 9718 -400 9774 240 0 FreeSans 560 90 0 0 wbs_dat_o[2]
-port 662 nsew signal tristate
-flabel metal2 s 60544 -400 60600 240 0 FreeSans 560 90 0 0 wbs_dat_o[30]
-port 663 nsew signal tristate
-flabel metal2 s 62317 -400 62373 240 0 FreeSans 560 90 0 0 wbs_dat_o[31]
-port 664 nsew signal tristate
-flabel metal2 s 12082 -400 12138 240 0 FreeSans 560 90 0 0 wbs_dat_o[3]
-port 665 nsew signal tristate
-flabel metal2 s 14446 -400 14502 240 0 FreeSans 560 90 0 0 wbs_dat_o[4]
-port 666 nsew signal tristate
-flabel metal2 s 16219 -400 16275 240 0 FreeSans 560 90 0 0 wbs_dat_o[5]
-port 667 nsew signal tristate
-flabel metal2 s 17992 -400 18048 240 0 FreeSans 560 90 0 0 wbs_dat_o[6]
-port 668 nsew signal tristate
-flabel metal2 s 19765 -400 19821 240 0 FreeSans 560 90 0 0 wbs_dat_o[7]
-port 669 nsew signal tristate
-flabel metal2 s 21538 -400 21594 240 0 FreeSans 560 90 0 0 wbs_dat_o[8]
-port 670 nsew signal tristate
-flabel metal2 s 23311 -400 23367 240 0 FreeSans 560 90 0 0 wbs_dat_o[9]
-port 671 nsew signal tristate
-flabel metal2 s 5581 -400 5637 240 0 FreeSans 560 90 0 0 wbs_sel_i[0]
-port 672 nsew signal input
-flabel metal2 s 7945 -400 8001 240 0 FreeSans 560 90 0 0 wbs_sel_i[1]
-port 673 nsew signal input
-flabel metal2 s 10309 -400 10365 240 0 FreeSans 560 90 0 0 wbs_sel_i[2]
-port 674 nsew signal input
-flabel metal2 s 12673 -400 12729 240 0 FreeSans 560 90 0 0 wbs_sel_i[3]
-port 675 nsew signal input
-flabel metal2 s 2626 -400 2682 240 0 FreeSans 560 90 0 0 wbs_stb_i
-port 676 nsew signal input
-flabel metal2 s 3217 -400 3273 240 0 FreeSans 560 90 0 0 wbs_we_i
-port 677 nsew signal input
-rlabel metal4 73057 281055 73057 281055 1 cltop
-flabel metal3 s 255297 351170 257697 352400 0 FreeSans 960 180 0 0 vssa1
-port 563 nsew signal bidirectional
-<< properties >>
-string FIXED_BBOX 0 0 292000 352000
-<< end >>
diff --git a/netgen/comp.out b/netgen/comp.out
index 8ccbc2d..e36a50a 100644
--- a/netgen/comp.out
+++ b/netgen/comp.out
@@ -1,3 +1,31 @@
+Flattening unmatched subcell sky130_fd_pr__res_xhigh_po_0p35_HDW2JU in circuit detV2 (0)(1 instance)
+Flattening unmatched subcell detV2 in circuit user_analog_project_wrapper (0)(1 instance)
+Flattening unmatched subcell otaV5 in circuit user_analog_project_wrapper (0)(1 instance)
+Flattening unmatched subcell detector in circuit user_analog_project_wrapper (1)(1 instance)
+Flattening unmatched subcell OTA in circuit user_analog_project_wrapper (1)(1 instance)
+Warning: Equate pins:  cell sky130_fd_pr__res_xhigh_po has no definition, treated as a black box.
+Warning: Equate pins:  cell sky130_fd_pr__res_xhigh_po has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_pr__res_xhigh_po      |Circuit 2: sky130_fd_pr__res_xhigh_po      
+-------------------------------------------|-------------------------------------------
+1                                          |1                                          
+2                                          |2                                          
+3                                          |3                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_pr__res_xhigh_po and sky130_fd_pr__res_xhigh_po are equivalent.
+Warning: Equate pins:  cell sky130_fd_pr__cap_mim_m3_1 has no definition, treated as a black box.
+Warning: Equate pins:  cell sky130_fd_pr__cap_mim_m3_1 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_pr__cap_mim_m3_1      |Circuit 2: sky130_fd_pr__cap_mim_m3_1      
+-------------------------------------------|-------------------------------------------
+1                                          |1                                          
+2                                          |2                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_pr__cap_mim_m3_1 and sky130_fd_pr__cap_mim_m3_1 are equivalent.
 Warning: Equate pins:  cell sky130_fd_pr__nfet_01v8 has no definition, treated as a black box.
 Warning: Equate pins:  cell sky130_fd_pr__nfet_01v8 has no definition, treated as a black box.
 
@@ -11,29 +39,6 @@
 ---------------------------------------------------------------------------------------
 Cell pin lists are equivalent.
 Device classes sky130_fd_pr__nfet_01v8 and sky130_fd_pr__nfet_01v8 are equivalent.
-Warning: Equate pins:  cell sky130_fd_pr__cap_mim_m3_1 has no definition, treated as a black box.
-Warning: Equate pins:  cell sky130_fd_pr__cap_mim_m3_1 has no definition, treated as a black box.
-
-Subcircuit pins:
-Circuit 1: sky130_fd_pr__cap_mim_m3_1      |Circuit 2: sky130_fd_pr__cap_mim_m3_1      
--------------------------------------------|-------------------------------------------
-1                                          |1                                          
-2                                          |2                                          
----------------------------------------------------------------------------------------
-Cell pin lists are equivalent.
-Device classes sky130_fd_pr__cap_mim_m3_1 and sky130_fd_pr__cap_mim_m3_1 are equivalent.
-Warning: Equate pins:  cell sky130_fd_pr__res_xhigh_po has no definition, treated as a black box.
-Warning: Equate pins:  cell sky130_fd_pr__res_xhigh_po has no definition, treated as a black box.
-
-Subcircuit pins:
-Circuit 1: sky130_fd_pr__res_xhigh_po      |Circuit 2: sky130_fd_pr__res_xhigh_po      
--------------------------------------------|-------------------------------------------
-1                                          |1                                          
-2                                          |2                                          
-3                                          |3                                          
----------------------------------------------------------------------------------------
-Cell pin lists are equivalent.
-Device classes sky130_fd_pr__res_xhigh_po and sky130_fd_pr__res_xhigh_po are equivalent.
 Warning: Equate pins:  cell sky130_fd_pr__pfet_01v8 has no definition, treated as a black box.
 Warning: Equate pins:  cell sky130_fd_pr__pfet_01v8 has no definition, treated as a black box.
 
@@ -48,1368 +53,1321 @@
 Cell pin lists are equivalent.
 Device classes sky130_fd_pr__pfet_01v8 and sky130_fd_pr__pfet_01v8 are equivalent.
 
+Cell user_analog_project_wrapper disconnected node: gpio_analog[0]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[10]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[11]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[12]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[13]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[14]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[15]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[16]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[17]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[1]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[2]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[3]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[4]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[5]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[6]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[7]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[8]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[9]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[0]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[10]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[11]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[12]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[13]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[14]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[15]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[16]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[17]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[1]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[2]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[3]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[4]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[5]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[6]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[7]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[8]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[9]
+Cell user_analog_project_wrapper disconnected node: io_analog[0]
+Cell user_analog_project_wrapper disconnected node: io_analog[4]
+Cell user_analog_project_wrapper disconnected node: io_analog[5]
+Cell user_analog_project_wrapper disconnected node: io_analog[6]
+Cell user_analog_project_wrapper disconnected node: io_clamp_high[0]
+Cell user_analog_project_wrapper disconnected node: io_clamp_high[1]
+Cell user_analog_project_wrapper disconnected node: io_clamp_high[2]
+Cell user_analog_project_wrapper disconnected node: io_clamp_low[0]
+Cell user_analog_project_wrapper disconnected node: io_clamp_low[1]
+Cell user_analog_project_wrapper disconnected node: io_clamp_low[2]
+Cell user_analog_project_wrapper disconnected node: io_in[0]
+Cell user_analog_project_wrapper disconnected node: io_in[10]
+Cell user_analog_project_wrapper disconnected node: io_in[11]
+Cell user_analog_project_wrapper disconnected node: io_in[12]
+Cell user_analog_project_wrapper disconnected node: io_in[13]
+Cell user_analog_project_wrapper disconnected node: io_in[14]
+Cell user_analog_project_wrapper disconnected node: io_in[15]
+Cell user_analog_project_wrapper disconnected node: io_in[16]
+Cell user_analog_project_wrapper disconnected node: io_in[17]
+Cell user_analog_project_wrapper disconnected node: io_in[18]
+Cell user_analog_project_wrapper disconnected node: io_in[19]
+Cell user_analog_project_wrapper disconnected node: io_in[1]
+Cell user_analog_project_wrapper disconnected node: io_in[20]
+Cell user_analog_project_wrapper disconnected node: io_in[21]
+Cell user_analog_project_wrapper disconnected node: io_in[22]
+Cell user_analog_project_wrapper disconnected node: io_in[23]
+Cell user_analog_project_wrapper disconnected node: io_in[24]
+Cell user_analog_project_wrapper disconnected node: io_in[25]
+Cell user_analog_project_wrapper disconnected node: io_in[26]
+Cell user_analog_project_wrapper disconnected node: io_in[2]
+Cell user_analog_project_wrapper disconnected node: io_in[3]
+Cell user_analog_project_wrapper disconnected node: io_in[4]
+Cell user_analog_project_wrapper disconnected node: io_in[5]
+Cell user_analog_project_wrapper disconnected node: io_in[6]
+Cell user_analog_project_wrapper disconnected node: io_in[7]
+Cell user_analog_project_wrapper disconnected node: io_in[8]
+Cell user_analog_project_wrapper disconnected node: io_in[9]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[0]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[10]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[11]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[12]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[13]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[14]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[15]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[16]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[17]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[18]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[19]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[1]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[20]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[21]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[22]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[23]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[24]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[25]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[26]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[2]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[3]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[4]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[5]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[6]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[7]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[8]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[9]
+Cell user_analog_project_wrapper disconnected node: io_oeb[0]
+Cell user_analog_project_wrapper disconnected node: io_oeb[10]
+Cell user_analog_project_wrapper disconnected node: io_oeb[11]
+Cell user_analog_project_wrapper disconnected node: io_oeb[12]
+Cell user_analog_project_wrapper disconnected node: io_oeb[13]
+Cell user_analog_project_wrapper disconnected node: io_oeb[14]
+Cell user_analog_project_wrapper disconnected node: io_oeb[15]
+Cell user_analog_project_wrapper disconnected node: io_oeb[16]
+Cell user_analog_project_wrapper disconnected node: io_oeb[17]
+Cell user_analog_project_wrapper disconnected node: io_oeb[18]
+Cell user_analog_project_wrapper disconnected node: io_oeb[19]
+Cell user_analog_project_wrapper disconnected node: io_oeb[1]
+Cell user_analog_project_wrapper disconnected node: io_oeb[20]
+Cell user_analog_project_wrapper disconnected node: io_oeb[21]
+Cell user_analog_project_wrapper disconnected node: io_oeb[22]
+Cell user_analog_project_wrapper disconnected node: io_oeb[23]
+Cell user_analog_project_wrapper disconnected node: io_oeb[24]
+Cell user_analog_project_wrapper disconnected node: io_oeb[25]
+Cell user_analog_project_wrapper disconnected node: io_oeb[26]
+Cell user_analog_project_wrapper disconnected node: io_oeb[2]
+Cell user_analog_project_wrapper disconnected node: io_oeb[3]
+Cell user_analog_project_wrapper disconnected node: io_oeb[4]
+Cell user_analog_project_wrapper disconnected node: io_oeb[5]
+Cell user_analog_project_wrapper disconnected node: io_oeb[6]
+Cell user_analog_project_wrapper disconnected node: io_oeb[7]
+Cell user_analog_project_wrapper disconnected node: io_oeb[8]
+Cell user_analog_project_wrapper disconnected node: io_oeb[9]
+Cell user_analog_project_wrapper disconnected node: io_out[0]
+Cell user_analog_project_wrapper disconnected node: io_out[10]
+Cell user_analog_project_wrapper disconnected node: io_out[11]
+Cell user_analog_project_wrapper disconnected node: io_out[12]
+Cell user_analog_project_wrapper disconnected node: io_out[13]
+Cell user_analog_project_wrapper disconnected node: io_out[14]
+Cell user_analog_project_wrapper disconnected node: io_out[15]
+Cell user_analog_project_wrapper disconnected node: io_out[16]
+Cell user_analog_project_wrapper disconnected node: io_out[17]
+Cell user_analog_project_wrapper disconnected node: io_out[18]
+Cell user_analog_project_wrapper disconnected node: io_out[19]
+Cell user_analog_project_wrapper disconnected node: io_out[1]
+Cell user_analog_project_wrapper disconnected node: io_out[20]
+Cell user_analog_project_wrapper disconnected node: io_out[21]
+Cell user_analog_project_wrapper disconnected node: io_out[22]
+Cell user_analog_project_wrapper disconnected node: io_out[23]
+Cell user_analog_project_wrapper disconnected node: io_out[24]
+Cell user_analog_project_wrapper disconnected node: io_out[25]
+Cell user_analog_project_wrapper disconnected node: io_out[26]
+Cell user_analog_project_wrapper disconnected node: io_out[2]
+Cell user_analog_project_wrapper disconnected node: io_out[3]
+Cell user_analog_project_wrapper disconnected node: io_out[4]
+Cell user_analog_project_wrapper disconnected node: io_out[5]
+Cell user_analog_project_wrapper disconnected node: io_out[6]
+Cell user_analog_project_wrapper disconnected node: io_out[7]
+Cell user_analog_project_wrapper disconnected node: io_out[8]
+Cell user_analog_project_wrapper disconnected node: io_out[9]
+Cell user_analog_project_wrapper disconnected node: la_data_in[0]
+Cell user_analog_project_wrapper disconnected node: la_data_in[100]
+Cell user_analog_project_wrapper disconnected node: la_data_in[101]
+Cell user_analog_project_wrapper disconnected node: la_data_in[102]
+Cell user_analog_project_wrapper disconnected node: la_data_in[103]
+Cell user_analog_project_wrapper disconnected node: la_data_in[104]
+Cell user_analog_project_wrapper disconnected node: la_data_in[105]
+Cell user_analog_project_wrapper disconnected node: la_data_in[106]
+Cell user_analog_project_wrapper disconnected node: la_data_in[107]
+Cell user_analog_project_wrapper disconnected node: la_data_in[108]
+Cell user_analog_project_wrapper disconnected node: la_data_in[109]
+Cell user_analog_project_wrapper disconnected node: la_data_in[10]
+Cell user_analog_project_wrapper disconnected node: la_data_in[110]
+Cell user_analog_project_wrapper disconnected node: la_data_in[111]
+Cell user_analog_project_wrapper disconnected node: la_data_in[112]
+Cell user_analog_project_wrapper disconnected node: la_data_in[113]
+Cell user_analog_project_wrapper disconnected node: la_data_in[114]
+Cell user_analog_project_wrapper disconnected node: la_data_in[115]
+Cell user_analog_project_wrapper disconnected node: la_data_in[116]
+Cell user_analog_project_wrapper disconnected node: la_data_in[117]
+Cell user_analog_project_wrapper disconnected node: la_data_in[118]
+Cell user_analog_project_wrapper disconnected node: la_data_in[119]
+Cell user_analog_project_wrapper disconnected node: la_data_in[11]
+Cell user_analog_project_wrapper disconnected node: la_data_in[120]
+Cell user_analog_project_wrapper disconnected node: la_data_in[121]
+Cell user_analog_project_wrapper disconnected node: la_data_in[122]
+Cell user_analog_project_wrapper disconnected node: la_data_in[123]
+Cell user_analog_project_wrapper disconnected node: la_data_in[124]
+Cell user_analog_project_wrapper disconnected node: la_data_in[125]
+Cell user_analog_project_wrapper disconnected node: la_data_in[126]
+Cell user_analog_project_wrapper disconnected node: la_data_in[127]
+Cell user_analog_project_wrapper disconnected node: la_data_in[12]
+Cell user_analog_project_wrapper disconnected node: la_data_in[13]
+Cell user_analog_project_wrapper disconnected node: la_data_in[14]
+Cell user_analog_project_wrapper disconnected node: la_data_in[15]
+Cell user_analog_project_wrapper disconnected node: la_data_in[16]
+Cell user_analog_project_wrapper disconnected node: la_data_in[17]
+Cell user_analog_project_wrapper disconnected node: la_data_in[18]
+Cell user_analog_project_wrapper disconnected node: la_data_in[19]
+Cell user_analog_project_wrapper disconnected node: la_data_in[1]
+Cell user_analog_project_wrapper disconnected node: la_data_in[20]
+Cell user_analog_project_wrapper disconnected node: la_data_in[21]
+Cell user_analog_project_wrapper disconnected node: la_data_in[22]
+Cell user_analog_project_wrapper disconnected node: la_data_in[23]
+Cell user_analog_project_wrapper disconnected node: la_data_in[24]
+Cell user_analog_project_wrapper disconnected node: la_data_in[25]
+Cell user_analog_project_wrapper disconnected node: la_data_in[26]
+Cell user_analog_project_wrapper disconnected node: la_data_in[27]
+Cell user_analog_project_wrapper disconnected node: la_data_in[28]
+Cell user_analog_project_wrapper disconnected node: la_data_in[29]
+Cell user_analog_project_wrapper disconnected node: la_data_in[2]
+Cell user_analog_project_wrapper disconnected node: la_data_in[30]
+Cell user_analog_project_wrapper disconnected node: la_data_in[31]
+Cell user_analog_project_wrapper disconnected node: la_data_in[32]
+Cell user_analog_project_wrapper disconnected node: la_data_in[33]
+Cell user_analog_project_wrapper disconnected node: la_data_in[34]
+Cell user_analog_project_wrapper disconnected node: la_data_in[35]
+Cell user_analog_project_wrapper disconnected node: la_data_in[36]
+Cell user_analog_project_wrapper disconnected node: la_data_in[37]
+Cell user_analog_project_wrapper disconnected node: la_data_in[38]
+Cell user_analog_project_wrapper disconnected node: la_data_in[39]
+Cell user_analog_project_wrapper disconnected node: la_data_in[3]
+Cell user_analog_project_wrapper disconnected node: la_data_in[40]
+Cell user_analog_project_wrapper disconnected node: la_data_in[41]
+Cell user_analog_project_wrapper disconnected node: la_data_in[42]
+Cell user_analog_project_wrapper disconnected node: la_data_in[43]
+Cell user_analog_project_wrapper disconnected node: la_data_in[44]
+Cell user_analog_project_wrapper disconnected node: la_data_in[45]
+Cell user_analog_project_wrapper disconnected node: la_data_in[46]
+Cell user_analog_project_wrapper disconnected node: la_data_in[47]
+Cell user_analog_project_wrapper disconnected node: la_data_in[48]
+Cell user_analog_project_wrapper disconnected node: la_data_in[49]
+Cell user_analog_project_wrapper disconnected node: la_data_in[4]
+Cell user_analog_project_wrapper disconnected node: la_data_in[50]
+Cell user_analog_project_wrapper disconnected node: la_data_in[51]
+Cell user_analog_project_wrapper disconnected node: la_data_in[52]
+Cell user_analog_project_wrapper disconnected node: la_data_in[53]
+Cell user_analog_project_wrapper disconnected node: la_data_in[54]
+Cell user_analog_project_wrapper disconnected node: la_data_in[55]
+Cell user_analog_project_wrapper disconnected node: la_data_in[56]
+Cell user_analog_project_wrapper disconnected node: la_data_in[57]
+Cell user_analog_project_wrapper disconnected node: la_data_in[58]
+Cell user_analog_project_wrapper disconnected node: la_data_in[59]
+Cell user_analog_project_wrapper disconnected node: la_data_in[5]
+Cell user_analog_project_wrapper disconnected node: la_data_in[60]
+Cell user_analog_project_wrapper disconnected node: la_data_in[61]
+Cell user_analog_project_wrapper disconnected node: la_data_in[62]
+Cell user_analog_project_wrapper disconnected node: la_data_in[63]
+Cell user_analog_project_wrapper disconnected node: la_data_in[64]
+Cell user_analog_project_wrapper disconnected node: la_data_in[65]
+Cell user_analog_project_wrapper disconnected node: la_data_in[66]
+Cell user_analog_project_wrapper disconnected node: la_data_in[67]
+Cell user_analog_project_wrapper disconnected node: la_data_in[68]
+Cell user_analog_project_wrapper disconnected node: la_data_in[69]
+Cell user_analog_project_wrapper disconnected node: la_data_in[6]
+Cell user_analog_project_wrapper disconnected node: la_data_in[70]
+Cell user_analog_project_wrapper disconnected node: la_data_in[71]
+Cell user_analog_project_wrapper disconnected node: la_data_in[72]
+Cell user_analog_project_wrapper disconnected node: la_data_in[73]
+Cell user_analog_project_wrapper disconnected node: la_data_in[74]
+Cell user_analog_project_wrapper disconnected node: la_data_in[75]
+Cell user_analog_project_wrapper disconnected node: la_data_in[76]
+Cell user_analog_project_wrapper disconnected node: la_data_in[77]
+Cell user_analog_project_wrapper disconnected node: la_data_in[78]
+Cell user_analog_project_wrapper disconnected node: la_data_in[79]
+Cell user_analog_project_wrapper disconnected node: la_data_in[7]
+Cell user_analog_project_wrapper disconnected node: la_data_in[80]
+Cell user_analog_project_wrapper disconnected node: la_data_in[81]
+Cell user_analog_project_wrapper disconnected node: la_data_in[82]
+Cell user_analog_project_wrapper disconnected node: la_data_in[83]
+Cell user_analog_project_wrapper disconnected node: la_data_in[84]
+Cell user_analog_project_wrapper disconnected node: la_data_in[85]
+Cell user_analog_project_wrapper disconnected node: la_data_in[86]
+Cell user_analog_project_wrapper disconnected node: la_data_in[87]
+Cell user_analog_project_wrapper disconnected node: la_data_in[88]
+Cell user_analog_project_wrapper disconnected node: la_data_in[89]
+Cell user_analog_project_wrapper disconnected node: la_data_in[8]
+Cell user_analog_project_wrapper disconnected node: la_data_in[90]
+Cell user_analog_project_wrapper disconnected node: la_data_in[91]
+Cell user_analog_project_wrapper disconnected node: la_data_in[92]
+Cell user_analog_project_wrapper disconnected node: la_data_in[93]
+Cell user_analog_project_wrapper disconnected node: la_data_in[94]
+Cell user_analog_project_wrapper disconnected node: la_data_in[95]
+Cell user_analog_project_wrapper disconnected node: la_data_in[96]
+Cell user_analog_project_wrapper disconnected node: la_data_in[97]
+Cell user_analog_project_wrapper disconnected node: la_data_in[98]
+Cell user_analog_project_wrapper disconnected node: la_data_in[99]
+Cell user_analog_project_wrapper disconnected node: la_data_in[9]
+Cell user_analog_project_wrapper disconnected node: la_data_out[0]
+Cell user_analog_project_wrapper disconnected node: la_data_out[100]
+Cell user_analog_project_wrapper disconnected node: la_data_out[101]
+Cell user_analog_project_wrapper disconnected node: la_data_out[102]
+Cell user_analog_project_wrapper disconnected node: la_data_out[103]
+Cell user_analog_project_wrapper disconnected node: la_data_out[104]
+Cell user_analog_project_wrapper disconnected node: la_data_out[105]
+Cell user_analog_project_wrapper disconnected node: la_data_out[106]
+Cell user_analog_project_wrapper disconnected node: la_data_out[107]
+Cell user_analog_project_wrapper disconnected node: la_data_out[108]
+Cell user_analog_project_wrapper disconnected node: la_data_out[109]
+Cell user_analog_project_wrapper disconnected node: la_data_out[10]
+Cell user_analog_project_wrapper disconnected node: la_data_out[110]
+Cell user_analog_project_wrapper disconnected node: la_data_out[111]
+Cell user_analog_project_wrapper disconnected node: la_data_out[112]
+Cell user_analog_project_wrapper disconnected node: la_data_out[113]
+Cell user_analog_project_wrapper disconnected node: la_data_out[114]
+Cell user_analog_project_wrapper disconnected node: la_data_out[115]
+Cell user_analog_project_wrapper disconnected node: la_data_out[116]
+Cell user_analog_project_wrapper disconnected node: la_data_out[117]
+Cell user_analog_project_wrapper disconnected node: la_data_out[118]
+Cell user_analog_project_wrapper disconnected node: la_data_out[119]
+Cell user_analog_project_wrapper disconnected node: la_data_out[11]
+Cell user_analog_project_wrapper disconnected node: la_data_out[120]
+Cell user_analog_project_wrapper disconnected node: la_data_out[121]
+Cell user_analog_project_wrapper disconnected node: la_data_out[122]
+Cell user_analog_project_wrapper disconnected node: la_data_out[123]
+Cell user_analog_project_wrapper disconnected node: la_data_out[124]
+Cell user_analog_project_wrapper disconnected node: la_data_out[125]
+Cell user_analog_project_wrapper disconnected node: la_data_out[126]
+Cell user_analog_project_wrapper disconnected node: la_data_out[127]
+Cell user_analog_project_wrapper disconnected node: la_data_out[12]
+Cell user_analog_project_wrapper disconnected node: la_data_out[13]
+Cell user_analog_project_wrapper disconnected node: la_data_out[14]
+Cell user_analog_project_wrapper disconnected node: la_data_out[15]
+Cell user_analog_project_wrapper disconnected node: la_data_out[16]
+Cell user_analog_project_wrapper disconnected node: la_data_out[17]
+Cell user_analog_project_wrapper disconnected node: la_data_out[18]
+Cell user_analog_project_wrapper disconnected node: la_data_out[19]
+Cell user_analog_project_wrapper disconnected node: la_data_out[1]
+Cell user_analog_project_wrapper disconnected node: la_data_out[20]
+Cell user_analog_project_wrapper disconnected node: la_data_out[21]
+Cell user_analog_project_wrapper disconnected node: la_data_out[22]
+Cell user_analog_project_wrapper disconnected node: la_data_out[23]
+Cell user_analog_project_wrapper disconnected node: la_data_out[24]
+Cell user_analog_project_wrapper disconnected node: la_data_out[25]
+Cell user_analog_project_wrapper disconnected node: la_data_out[26]
+Cell user_analog_project_wrapper disconnected node: la_data_out[27]
+Cell user_analog_project_wrapper disconnected node: la_data_out[28]
+Cell user_analog_project_wrapper disconnected node: la_data_out[29]
+Cell user_analog_project_wrapper disconnected node: la_data_out[2]
+Cell user_analog_project_wrapper disconnected node: la_data_out[30]
+Cell user_analog_project_wrapper disconnected node: la_data_out[31]
+Cell user_analog_project_wrapper disconnected node: la_data_out[32]
+Cell user_analog_project_wrapper disconnected node: la_data_out[33]
+Cell user_analog_project_wrapper disconnected node: la_data_out[34]
+Cell user_analog_project_wrapper disconnected node: la_data_out[35]
+Cell user_analog_project_wrapper disconnected node: la_data_out[36]
+Cell user_analog_project_wrapper disconnected node: la_data_out[37]
+Cell user_analog_project_wrapper disconnected node: la_data_out[38]
+Cell user_analog_project_wrapper disconnected node: la_data_out[39]
+Cell user_analog_project_wrapper disconnected node: la_data_out[3]
+Cell user_analog_project_wrapper disconnected node: la_data_out[40]
+Cell user_analog_project_wrapper disconnected node: la_data_out[41]
+Cell user_analog_project_wrapper disconnected node: la_data_out[42]
+Cell user_analog_project_wrapper disconnected node: la_data_out[43]
+Cell user_analog_project_wrapper disconnected node: la_data_out[44]
+Cell user_analog_project_wrapper disconnected node: la_data_out[45]
+Cell user_analog_project_wrapper disconnected node: la_data_out[46]
+Cell user_analog_project_wrapper disconnected node: la_data_out[47]
+Cell user_analog_project_wrapper disconnected node: la_data_out[48]
+Cell user_analog_project_wrapper disconnected node: la_data_out[49]
+Cell user_analog_project_wrapper disconnected node: la_data_out[4]
+Cell user_analog_project_wrapper disconnected node: la_data_out[50]
+Cell user_analog_project_wrapper disconnected node: la_data_out[51]
+Cell user_analog_project_wrapper disconnected node: la_data_out[52]
+Cell user_analog_project_wrapper disconnected node: la_data_out[53]
+Cell user_analog_project_wrapper disconnected node: la_data_out[54]
+Cell user_analog_project_wrapper disconnected node: la_data_out[55]
+Cell user_analog_project_wrapper disconnected node: la_data_out[56]
+Cell user_analog_project_wrapper disconnected node: la_data_out[57]
+Cell user_analog_project_wrapper disconnected node: la_data_out[58]
+Cell user_analog_project_wrapper disconnected node: la_data_out[59]
+Cell user_analog_project_wrapper disconnected node: la_data_out[5]
+Cell user_analog_project_wrapper disconnected node: la_data_out[60]
+Cell user_analog_project_wrapper disconnected node: la_data_out[61]
+Cell user_analog_project_wrapper disconnected node: la_data_out[62]
+Cell user_analog_project_wrapper disconnected node: la_data_out[63]
+Cell user_analog_project_wrapper disconnected node: la_data_out[64]
+Cell user_analog_project_wrapper disconnected node: la_data_out[65]
+Cell user_analog_project_wrapper disconnected node: la_data_out[66]
+Cell user_analog_project_wrapper disconnected node: la_data_out[67]
+Cell user_analog_project_wrapper disconnected node: la_data_out[68]
+Cell user_analog_project_wrapper disconnected node: la_data_out[69]
+Cell user_analog_project_wrapper disconnected node: la_data_out[6]
+Cell user_analog_project_wrapper disconnected node: la_data_out[70]
+Cell user_analog_project_wrapper disconnected node: la_data_out[71]
+Cell user_analog_project_wrapper disconnected node: la_data_out[72]
+Cell user_analog_project_wrapper disconnected node: la_data_out[73]
+Cell user_analog_project_wrapper disconnected node: la_data_out[74]
+Cell user_analog_project_wrapper disconnected node: la_data_out[75]
+Cell user_analog_project_wrapper disconnected node: la_data_out[76]
+Cell user_analog_project_wrapper disconnected node: la_data_out[77]
+Cell user_analog_project_wrapper disconnected node: la_data_out[78]
+Cell user_analog_project_wrapper disconnected node: la_data_out[79]
+Cell user_analog_project_wrapper disconnected node: la_data_out[7]
+Cell user_analog_project_wrapper disconnected node: la_data_out[80]
+Cell user_analog_project_wrapper disconnected node: la_data_out[81]
+Cell user_analog_project_wrapper disconnected node: la_data_out[82]
+Cell user_analog_project_wrapper disconnected node: la_data_out[83]
+Cell user_analog_project_wrapper disconnected node: la_data_out[84]
+Cell user_analog_project_wrapper disconnected node: la_data_out[85]
+Cell user_analog_project_wrapper disconnected node: la_data_out[86]
+Cell user_analog_project_wrapper disconnected node: la_data_out[87]
+Cell user_analog_project_wrapper disconnected node: la_data_out[88]
+Cell user_analog_project_wrapper disconnected node: la_data_out[89]
+Cell user_analog_project_wrapper disconnected node: la_data_out[8]
+Cell user_analog_project_wrapper disconnected node: la_data_out[90]
+Cell user_analog_project_wrapper disconnected node: la_data_out[91]
+Cell user_analog_project_wrapper disconnected node: la_data_out[92]
+Cell user_analog_project_wrapper disconnected node: la_data_out[93]
+Cell user_analog_project_wrapper disconnected node: la_data_out[94]
+Cell user_analog_project_wrapper disconnected node: la_data_out[95]
+Cell user_analog_project_wrapper disconnected node: la_data_out[96]
+Cell user_analog_project_wrapper disconnected node: la_data_out[97]
+Cell user_analog_project_wrapper disconnected node: la_data_out[98]
+Cell user_analog_project_wrapper disconnected node: la_data_out[99]
+Cell user_analog_project_wrapper disconnected node: la_data_out[9]
+Cell user_analog_project_wrapper disconnected node: la_oenb[0]
+Cell user_analog_project_wrapper disconnected node: la_oenb[100]
+Cell user_analog_project_wrapper disconnected node: la_oenb[101]
+Cell user_analog_project_wrapper disconnected node: la_oenb[102]
+Cell user_analog_project_wrapper disconnected node: la_oenb[103]
+Cell user_analog_project_wrapper disconnected node: la_oenb[104]
+Cell user_analog_project_wrapper disconnected node: la_oenb[105]
+Cell user_analog_project_wrapper disconnected node: la_oenb[106]
+Cell user_analog_project_wrapper disconnected node: la_oenb[107]
+Cell user_analog_project_wrapper disconnected node: la_oenb[108]
+Cell user_analog_project_wrapper disconnected node: la_oenb[109]
+Cell user_analog_project_wrapper disconnected node: la_oenb[10]
+Cell user_analog_project_wrapper disconnected node: la_oenb[110]
+Cell user_analog_project_wrapper disconnected node: la_oenb[111]
+Cell user_analog_project_wrapper disconnected node: la_oenb[112]
+Cell user_analog_project_wrapper disconnected node: la_oenb[113]
+Cell user_analog_project_wrapper disconnected node: la_oenb[114]
+Cell user_analog_project_wrapper disconnected node: la_oenb[115]
+Cell user_analog_project_wrapper disconnected node: la_oenb[116]
+Cell user_analog_project_wrapper disconnected node: la_oenb[117]
+Cell user_analog_project_wrapper disconnected node: la_oenb[118]
+Cell user_analog_project_wrapper disconnected node: la_oenb[119]
+Cell user_analog_project_wrapper disconnected node: la_oenb[11]
+Cell user_analog_project_wrapper disconnected node: la_oenb[120]
+Cell user_analog_project_wrapper disconnected node: la_oenb[121]
+Cell user_analog_project_wrapper disconnected node: la_oenb[122]
+Cell user_analog_project_wrapper disconnected node: la_oenb[123]
+Cell user_analog_project_wrapper disconnected node: la_oenb[124]
+Cell user_analog_project_wrapper disconnected node: la_oenb[125]
+Cell user_analog_project_wrapper disconnected node: la_oenb[126]
+Cell user_analog_project_wrapper disconnected node: la_oenb[127]
+Cell user_analog_project_wrapper disconnected node: la_oenb[12]
+Cell user_analog_project_wrapper disconnected node: la_oenb[13]
+Cell user_analog_project_wrapper disconnected node: la_oenb[14]
+Cell user_analog_project_wrapper disconnected node: la_oenb[15]
+Cell user_analog_project_wrapper disconnected node: la_oenb[16]
+Cell user_analog_project_wrapper disconnected node: la_oenb[17]
+Cell user_analog_project_wrapper disconnected node: la_oenb[18]
+Cell user_analog_project_wrapper disconnected node: la_oenb[19]
+Cell user_analog_project_wrapper disconnected node: la_oenb[1]
+Cell user_analog_project_wrapper disconnected node: la_oenb[20]
+Cell user_analog_project_wrapper disconnected node: la_oenb[21]
+Cell user_analog_project_wrapper disconnected node: la_oenb[22]
+Cell user_analog_project_wrapper disconnected node: la_oenb[23]
+Cell user_analog_project_wrapper disconnected node: la_oenb[24]
+Cell user_analog_project_wrapper disconnected node: la_oenb[25]
+Cell user_analog_project_wrapper disconnected node: la_oenb[26]
+Cell user_analog_project_wrapper disconnected node: la_oenb[27]
+Cell user_analog_project_wrapper disconnected node: la_oenb[28]
+Cell user_analog_project_wrapper disconnected node: la_oenb[29]
+Cell user_analog_project_wrapper disconnected node: la_oenb[2]
+Cell user_analog_project_wrapper disconnected node: la_oenb[30]
+Cell user_analog_project_wrapper disconnected node: la_oenb[31]
+Cell user_analog_project_wrapper disconnected node: la_oenb[32]
+Cell user_analog_project_wrapper disconnected node: la_oenb[33]
+Cell user_analog_project_wrapper disconnected node: la_oenb[34]
+Cell user_analog_project_wrapper disconnected node: la_oenb[35]
+Cell user_analog_project_wrapper disconnected node: la_oenb[36]
+Cell user_analog_project_wrapper disconnected node: la_oenb[37]
+Cell user_analog_project_wrapper disconnected node: la_oenb[38]
+Cell user_analog_project_wrapper disconnected node: la_oenb[39]
+Cell user_analog_project_wrapper disconnected node: la_oenb[3]
+Cell user_analog_project_wrapper disconnected node: la_oenb[40]
+Cell user_analog_project_wrapper disconnected node: la_oenb[41]
+Cell user_analog_project_wrapper disconnected node: la_oenb[42]
+Cell user_analog_project_wrapper disconnected node: la_oenb[43]
+Cell user_analog_project_wrapper disconnected node: la_oenb[44]
+Cell user_analog_project_wrapper disconnected node: la_oenb[45]
+Cell user_analog_project_wrapper disconnected node: la_oenb[46]
+Cell user_analog_project_wrapper disconnected node: la_oenb[47]
+Cell user_analog_project_wrapper disconnected node: la_oenb[48]
+Cell user_analog_project_wrapper disconnected node: la_oenb[49]
+Cell user_analog_project_wrapper disconnected node: la_oenb[4]
+Cell user_analog_project_wrapper disconnected node: la_oenb[50]
+Cell user_analog_project_wrapper disconnected node: la_oenb[51]
+Cell user_analog_project_wrapper disconnected node: la_oenb[52]
+Cell user_analog_project_wrapper disconnected node: la_oenb[53]
+Cell user_analog_project_wrapper disconnected node: la_oenb[54]
+Cell user_analog_project_wrapper disconnected node: la_oenb[55]
+Cell user_analog_project_wrapper disconnected node: la_oenb[56]
+Cell user_analog_project_wrapper disconnected node: la_oenb[57]
+Cell user_analog_project_wrapper disconnected node: la_oenb[58]
+Cell user_analog_project_wrapper disconnected node: la_oenb[59]
+Cell user_analog_project_wrapper disconnected node: la_oenb[5]
+Cell user_analog_project_wrapper disconnected node: la_oenb[60]
+Cell user_analog_project_wrapper disconnected node: la_oenb[61]
+Cell user_analog_project_wrapper disconnected node: la_oenb[62]
+Cell user_analog_project_wrapper disconnected node: la_oenb[63]
+Cell user_analog_project_wrapper disconnected node: la_oenb[64]
+Cell user_analog_project_wrapper disconnected node: la_oenb[65]
+Cell user_analog_project_wrapper disconnected node: la_oenb[66]
+Cell user_analog_project_wrapper disconnected node: la_oenb[67]
+Cell user_analog_project_wrapper disconnected node: la_oenb[68]
+Cell user_analog_project_wrapper disconnected node: la_oenb[69]
+Cell user_analog_project_wrapper disconnected node: la_oenb[6]
+Cell user_analog_project_wrapper disconnected node: la_oenb[70]
+Cell user_analog_project_wrapper disconnected node: la_oenb[71]
+Cell user_analog_project_wrapper disconnected node: la_oenb[72]
+Cell user_analog_project_wrapper disconnected node: la_oenb[73]
+Cell user_analog_project_wrapper disconnected node: la_oenb[74]
+Cell user_analog_project_wrapper disconnected node: la_oenb[75]
+Cell user_analog_project_wrapper disconnected node: la_oenb[76]
+Cell user_analog_project_wrapper disconnected node: la_oenb[77]
+Cell user_analog_project_wrapper disconnected node: la_oenb[78]
+Cell user_analog_project_wrapper disconnected node: la_oenb[79]
+Cell user_analog_project_wrapper disconnected node: la_oenb[7]
+Cell user_analog_project_wrapper disconnected node: la_oenb[80]
+Cell user_analog_project_wrapper disconnected node: la_oenb[81]
+Cell user_analog_project_wrapper disconnected node: la_oenb[82]
+Cell user_analog_project_wrapper disconnected node: la_oenb[83]
+Cell user_analog_project_wrapper disconnected node: la_oenb[84]
+Cell user_analog_project_wrapper disconnected node: la_oenb[85]
+Cell user_analog_project_wrapper disconnected node: la_oenb[86]
+Cell user_analog_project_wrapper disconnected node: la_oenb[87]
+Cell user_analog_project_wrapper disconnected node: la_oenb[88]
+Cell user_analog_project_wrapper disconnected node: la_oenb[89]
+Cell user_analog_project_wrapper disconnected node: la_oenb[8]
+Cell user_analog_project_wrapper disconnected node: la_oenb[90]
+Cell user_analog_project_wrapper disconnected node: la_oenb[91]
+Cell user_analog_project_wrapper disconnected node: la_oenb[92]
+Cell user_analog_project_wrapper disconnected node: la_oenb[93]
+Cell user_analog_project_wrapper disconnected node: la_oenb[94]
+Cell user_analog_project_wrapper disconnected node: la_oenb[95]
+Cell user_analog_project_wrapper disconnected node: la_oenb[96]
+Cell user_analog_project_wrapper disconnected node: la_oenb[97]
+Cell user_analog_project_wrapper disconnected node: la_oenb[98]
+Cell user_analog_project_wrapper disconnected node: la_oenb[99]
+Cell user_analog_project_wrapper disconnected node: la_oenb[9]
+Cell user_analog_project_wrapper disconnected node: user_clock2
+Cell user_analog_project_wrapper disconnected node: user_irq[0]
+Cell user_analog_project_wrapper disconnected node: user_irq[1]
+Cell user_analog_project_wrapper disconnected node: user_irq[2]
+Cell user_analog_project_wrapper disconnected node: vdda1
+Cell user_analog_project_wrapper disconnected node: vdda2
+Cell user_analog_project_wrapper disconnected node: vssd1
+Cell user_analog_project_wrapper disconnected node: vssd2
+Cell user_analog_project_wrapper disconnected node: wb_clk_i
+Cell user_analog_project_wrapper disconnected node: wb_rst_i
+Cell user_analog_project_wrapper disconnected node: wbs_ack_o
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[0]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[10]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[11]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[12]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[13]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[14]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[15]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[16]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[17]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[18]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[19]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[1]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[20]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[21]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[22]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[23]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[24]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[25]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[26]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[27]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[28]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[29]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[2]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[30]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[31]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[3]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[4]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[5]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[6]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[7]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[8]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[9]
+Cell user_analog_project_wrapper disconnected node: wbs_cyc_i
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[0]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[10]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[11]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[12]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[13]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[14]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[15]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[16]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[17]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[18]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[19]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[1]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[20]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[21]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[22]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[23]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[24]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[25]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[26]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[27]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[28]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[29]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[2]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[30]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[31]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[3]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[4]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[5]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[6]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[7]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[8]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[9]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[0]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[10]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[11]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[12]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[13]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[14]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[15]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[16]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[17]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[18]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[19]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[1]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[20]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[21]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[22]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[23]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[24]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[25]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[26]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[27]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[28]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[29]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[2]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[30]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[31]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[3]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[4]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[5]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[6]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[7]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[8]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[9]
+Cell user_analog_project_wrapper disconnected node: wbs_sel_i[0]
+Cell user_analog_project_wrapper disconnected node: wbs_sel_i[1]
+Cell user_analog_project_wrapper disconnected node: wbs_sel_i[2]
+Cell user_analog_project_wrapper disconnected node: wbs_sel_i[3]
+Cell user_analog_project_wrapper disconnected node: wbs_stb_i
+Cell user_analog_project_wrapper disconnected node: wbs_we_i
+
+Cell user_analog_project_wrapper disconnected node: vdda1
+Cell user_analog_project_wrapper disconnected node: vdda2
+Cell user_analog_project_wrapper disconnected node: vssd1
+Cell user_analog_project_wrapper disconnected node: vssd2
+Cell user_analog_project_wrapper disconnected node: wb_clk_i
+Cell user_analog_project_wrapper disconnected node: wb_rst_i
+Cell user_analog_project_wrapper disconnected node: wbs_stb_i
+Cell user_analog_project_wrapper disconnected node: wbs_cyc_i
+Cell user_analog_project_wrapper disconnected node: wbs_we_i
+Cell user_analog_project_wrapper disconnected node: wbs_sel_i[3]
+Cell user_analog_project_wrapper disconnected node: wbs_sel_i[2]
+Cell user_analog_project_wrapper disconnected node: wbs_sel_i[1]
+Cell user_analog_project_wrapper disconnected node: wbs_sel_i[0]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[31]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[30]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[29]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[28]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[27]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[26]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[25]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[24]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[23]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[22]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[21]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[20]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[19]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[18]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[17]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[16]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[15]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[14]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[13]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[12]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[11]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[10]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[9]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[8]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[7]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[6]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[5]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[4]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[3]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[2]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[1]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_i[0]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[31]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[30]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[29]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[28]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[27]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[26]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[25]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[24]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[23]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[22]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[21]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[20]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[19]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[18]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[17]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[16]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[15]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[14]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[13]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[12]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[11]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[10]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[9]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[8]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[7]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[6]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[5]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[4]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[3]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[2]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[1]
+Cell user_analog_project_wrapper disconnected node: wbs_adr_i[0]
+Cell user_analog_project_wrapper disconnected node: wbs_ack_o
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[31]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[30]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[29]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[28]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[27]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[26]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[25]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[24]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[23]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[22]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[21]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[20]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[19]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[18]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[17]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[16]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[15]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[14]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[13]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[12]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[11]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[10]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[9]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[8]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[7]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[6]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[5]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[4]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[3]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[2]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[1]
+Cell user_analog_project_wrapper disconnected node: wbs_dat_o[0]
+Cell user_analog_project_wrapper disconnected node: la_data_in[127]
+Cell user_analog_project_wrapper disconnected node: la_data_in[126]
+Cell user_analog_project_wrapper disconnected node: la_data_in[125]
+Cell user_analog_project_wrapper disconnected node: la_data_in[124]
+Cell user_analog_project_wrapper disconnected node: la_data_in[123]
+Cell user_analog_project_wrapper disconnected node: la_data_in[122]
+Cell user_analog_project_wrapper disconnected node: la_data_in[121]
+Cell user_analog_project_wrapper disconnected node: la_data_in[120]
+Cell user_analog_project_wrapper disconnected node: la_data_in[119]
+Cell user_analog_project_wrapper disconnected node: la_data_in[118]
+Cell user_analog_project_wrapper disconnected node: la_data_in[117]
+Cell user_analog_project_wrapper disconnected node: la_data_in[116]
+Cell user_analog_project_wrapper disconnected node: la_data_in[115]
+Cell user_analog_project_wrapper disconnected node: la_data_in[114]
+Cell user_analog_project_wrapper disconnected node: la_data_in[113]
+Cell user_analog_project_wrapper disconnected node: la_data_in[112]
+Cell user_analog_project_wrapper disconnected node: la_data_in[111]
+Cell user_analog_project_wrapper disconnected node: la_data_in[110]
+Cell user_analog_project_wrapper disconnected node: la_data_in[109]
+Cell user_analog_project_wrapper disconnected node: la_data_in[108]
+Cell user_analog_project_wrapper disconnected node: la_data_in[107]
+Cell user_analog_project_wrapper disconnected node: la_data_in[106]
+Cell user_analog_project_wrapper disconnected node: la_data_in[105]
+Cell user_analog_project_wrapper disconnected node: la_data_in[104]
+Cell user_analog_project_wrapper disconnected node: la_data_in[103]
+Cell user_analog_project_wrapper disconnected node: la_data_in[102]
+Cell user_analog_project_wrapper disconnected node: la_data_in[101]
+Cell user_analog_project_wrapper disconnected node: la_data_in[100]
+Cell user_analog_project_wrapper disconnected node: la_data_in[99]
+Cell user_analog_project_wrapper disconnected node: la_data_in[98]
+Cell user_analog_project_wrapper disconnected node: la_data_in[97]
+Cell user_analog_project_wrapper disconnected node: la_data_in[96]
+Cell user_analog_project_wrapper disconnected node: la_data_in[95]
+Cell user_analog_project_wrapper disconnected node: la_data_in[94]
+Cell user_analog_project_wrapper disconnected node: la_data_in[93]
+Cell user_analog_project_wrapper disconnected node: la_data_in[92]
+Cell user_analog_project_wrapper disconnected node: la_data_in[91]
+Cell user_analog_project_wrapper disconnected node: la_data_in[90]
+Cell user_analog_project_wrapper disconnected node: la_data_in[89]
+Cell user_analog_project_wrapper disconnected node: la_data_in[88]
+Cell user_analog_project_wrapper disconnected node: la_data_in[87]
+Cell user_analog_project_wrapper disconnected node: la_data_in[86]
+Cell user_analog_project_wrapper disconnected node: la_data_in[85]
+Cell user_analog_project_wrapper disconnected node: la_data_in[84]
+Cell user_analog_project_wrapper disconnected node: la_data_in[83]
+Cell user_analog_project_wrapper disconnected node: la_data_in[82]
+Cell user_analog_project_wrapper disconnected node: la_data_in[81]
+Cell user_analog_project_wrapper disconnected node: la_data_in[80]
+Cell user_analog_project_wrapper disconnected node: la_data_in[79]
+Cell user_analog_project_wrapper disconnected node: la_data_in[78]
+Cell user_analog_project_wrapper disconnected node: la_data_in[77]
+Cell user_analog_project_wrapper disconnected node: la_data_in[76]
+Cell user_analog_project_wrapper disconnected node: la_data_in[75]
+Cell user_analog_project_wrapper disconnected node: la_data_in[74]
+Cell user_analog_project_wrapper disconnected node: la_data_in[73]
+Cell user_analog_project_wrapper disconnected node: la_data_in[72]
+Cell user_analog_project_wrapper disconnected node: la_data_in[71]
+Cell user_analog_project_wrapper disconnected node: la_data_in[70]
+Cell user_analog_project_wrapper disconnected node: la_data_in[69]
+Cell user_analog_project_wrapper disconnected node: la_data_in[68]
+Cell user_analog_project_wrapper disconnected node: la_data_in[67]
+Cell user_analog_project_wrapper disconnected node: la_data_in[66]
+Cell user_analog_project_wrapper disconnected node: la_data_in[65]
+Cell user_analog_project_wrapper disconnected node: la_data_in[64]
+Cell user_analog_project_wrapper disconnected node: la_data_in[63]
+Cell user_analog_project_wrapper disconnected node: la_data_in[62]
+Cell user_analog_project_wrapper disconnected node: la_data_in[61]
+Cell user_analog_project_wrapper disconnected node: la_data_in[60]
+Cell user_analog_project_wrapper disconnected node: la_data_in[59]
+Cell user_analog_project_wrapper disconnected node: la_data_in[58]
+Cell user_analog_project_wrapper disconnected node: la_data_in[57]
+Cell user_analog_project_wrapper disconnected node: la_data_in[56]
+Cell user_analog_project_wrapper disconnected node: la_data_in[55]
+Cell user_analog_project_wrapper disconnected node: la_data_in[54]
+Cell user_analog_project_wrapper disconnected node: la_data_in[53]
+Cell user_analog_project_wrapper disconnected node: la_data_in[52]
+Cell user_analog_project_wrapper disconnected node: la_data_in[51]
+Cell user_analog_project_wrapper disconnected node: la_data_in[50]
+Cell user_analog_project_wrapper disconnected node: la_data_in[49]
+Cell user_analog_project_wrapper disconnected node: la_data_in[48]
+Cell user_analog_project_wrapper disconnected node: la_data_in[47]
+Cell user_analog_project_wrapper disconnected node: la_data_in[46]
+Cell user_analog_project_wrapper disconnected node: la_data_in[45]
+Cell user_analog_project_wrapper disconnected node: la_data_in[44]
+Cell user_analog_project_wrapper disconnected node: la_data_in[43]
+Cell user_analog_project_wrapper disconnected node: la_data_in[42]
+Cell user_analog_project_wrapper disconnected node: la_data_in[41]
+Cell user_analog_project_wrapper disconnected node: la_data_in[40]
+Cell user_analog_project_wrapper disconnected node: la_data_in[39]
+Cell user_analog_project_wrapper disconnected node: la_data_in[38]
+Cell user_analog_project_wrapper disconnected node: la_data_in[37]
+Cell user_analog_project_wrapper disconnected node: la_data_in[36]
+Cell user_analog_project_wrapper disconnected node: la_data_in[35]
+Cell user_analog_project_wrapper disconnected node: la_data_in[34]
+Cell user_analog_project_wrapper disconnected node: la_data_in[33]
+Cell user_analog_project_wrapper disconnected node: la_data_in[32]
+Cell user_analog_project_wrapper disconnected node: la_data_in[31]
+Cell user_analog_project_wrapper disconnected node: la_data_in[30]
+Cell user_analog_project_wrapper disconnected node: la_data_in[29]
+Cell user_analog_project_wrapper disconnected node: la_data_in[28]
+Cell user_analog_project_wrapper disconnected node: la_data_in[27]
+Cell user_analog_project_wrapper disconnected node: la_data_in[26]
+Cell user_analog_project_wrapper disconnected node: la_data_in[25]
+Cell user_analog_project_wrapper disconnected node: la_data_in[24]
+Cell user_analog_project_wrapper disconnected node: la_data_in[23]
+Cell user_analog_project_wrapper disconnected node: la_data_in[22]
+Cell user_analog_project_wrapper disconnected node: la_data_in[21]
+Cell user_analog_project_wrapper disconnected node: la_data_in[20]
+Cell user_analog_project_wrapper disconnected node: la_data_in[19]
+Cell user_analog_project_wrapper disconnected node: la_data_in[18]
+Cell user_analog_project_wrapper disconnected node: la_data_in[17]
+Cell user_analog_project_wrapper disconnected node: la_data_in[16]
+Cell user_analog_project_wrapper disconnected node: la_data_in[15]
+Cell user_analog_project_wrapper disconnected node: la_data_in[14]
+Cell user_analog_project_wrapper disconnected node: la_data_in[13]
+Cell user_analog_project_wrapper disconnected node: la_data_in[12]
+Cell user_analog_project_wrapper disconnected node: la_data_in[11]
+Cell user_analog_project_wrapper disconnected node: la_data_in[10]
+Cell user_analog_project_wrapper disconnected node: la_data_in[9]
+Cell user_analog_project_wrapper disconnected node: la_data_in[8]
+Cell user_analog_project_wrapper disconnected node: la_data_in[7]
+Cell user_analog_project_wrapper disconnected node: la_data_in[6]
+Cell user_analog_project_wrapper disconnected node: la_data_in[5]
+Cell user_analog_project_wrapper disconnected node: la_data_in[4]
+Cell user_analog_project_wrapper disconnected node: la_data_in[3]
+Cell user_analog_project_wrapper disconnected node: la_data_in[2]
+Cell user_analog_project_wrapper disconnected node: la_data_in[1]
+Cell user_analog_project_wrapper disconnected node: la_data_in[0]
+Cell user_analog_project_wrapper disconnected node: la_data_out[127]
+Cell user_analog_project_wrapper disconnected node: la_data_out[126]
+Cell user_analog_project_wrapper disconnected node: la_data_out[125]
+Cell user_analog_project_wrapper disconnected node: la_data_out[124]
+Cell user_analog_project_wrapper disconnected node: la_data_out[123]
+Cell user_analog_project_wrapper disconnected node: la_data_out[122]
+Cell user_analog_project_wrapper disconnected node: la_data_out[121]
+Cell user_analog_project_wrapper disconnected node: la_data_out[120]
+Cell user_analog_project_wrapper disconnected node: la_data_out[119]
+Cell user_analog_project_wrapper disconnected node: la_data_out[118]
+Cell user_analog_project_wrapper disconnected node: la_data_out[117]
+Cell user_analog_project_wrapper disconnected node: la_data_out[116]
+Cell user_analog_project_wrapper disconnected node: la_data_out[115]
+Cell user_analog_project_wrapper disconnected node: la_data_out[114]
+Cell user_analog_project_wrapper disconnected node: la_data_out[113]
+Cell user_analog_project_wrapper disconnected node: la_data_out[112]
+Cell user_analog_project_wrapper disconnected node: la_data_out[111]
+Cell user_analog_project_wrapper disconnected node: la_data_out[110]
+Cell user_analog_project_wrapper disconnected node: la_data_out[109]
+Cell user_analog_project_wrapper disconnected node: la_data_out[108]
+Cell user_analog_project_wrapper disconnected node: la_data_out[107]
+Cell user_analog_project_wrapper disconnected node: la_data_out[106]
+Cell user_analog_project_wrapper disconnected node: la_data_out[105]
+Cell user_analog_project_wrapper disconnected node: la_data_out[104]
+Cell user_analog_project_wrapper disconnected node: la_data_out[103]
+Cell user_analog_project_wrapper disconnected node: la_data_out[102]
+Cell user_analog_project_wrapper disconnected node: la_data_out[101]
+Cell user_analog_project_wrapper disconnected node: la_data_out[100]
+Cell user_analog_project_wrapper disconnected node: la_data_out[99]
+Cell user_analog_project_wrapper disconnected node: la_data_out[98]
+Cell user_analog_project_wrapper disconnected node: la_data_out[97]
+Cell user_analog_project_wrapper disconnected node: la_data_out[96]
+Cell user_analog_project_wrapper disconnected node: la_data_out[95]
+Cell user_analog_project_wrapper disconnected node: la_data_out[94]
+Cell user_analog_project_wrapper disconnected node: la_data_out[93]
+Cell user_analog_project_wrapper disconnected node: la_data_out[92]
+Cell user_analog_project_wrapper disconnected node: la_data_out[91]
+Cell user_analog_project_wrapper disconnected node: la_data_out[90]
+Cell user_analog_project_wrapper disconnected node: la_data_out[89]
+Cell user_analog_project_wrapper disconnected node: la_data_out[88]
+Cell user_analog_project_wrapper disconnected node: la_data_out[87]
+Cell user_analog_project_wrapper disconnected node: la_data_out[86]
+Cell user_analog_project_wrapper disconnected node: la_data_out[85]
+Cell user_analog_project_wrapper disconnected node: la_data_out[84]
+Cell user_analog_project_wrapper disconnected node: la_data_out[83]
+Cell user_analog_project_wrapper disconnected node: la_data_out[82]
+Cell user_analog_project_wrapper disconnected node: la_data_out[81]
+Cell user_analog_project_wrapper disconnected node: la_data_out[80]
+Cell user_analog_project_wrapper disconnected node: la_data_out[79]
+Cell user_analog_project_wrapper disconnected node: la_data_out[78]
+Cell user_analog_project_wrapper disconnected node: la_data_out[77]
+Cell user_analog_project_wrapper disconnected node: la_data_out[76]
+Cell user_analog_project_wrapper disconnected node: la_data_out[75]
+Cell user_analog_project_wrapper disconnected node: la_data_out[74]
+Cell user_analog_project_wrapper disconnected node: la_data_out[73]
+Cell user_analog_project_wrapper disconnected node: la_data_out[72]
+Cell user_analog_project_wrapper disconnected node: la_data_out[71]
+Cell user_analog_project_wrapper disconnected node: la_data_out[70]
+Cell user_analog_project_wrapper disconnected node: la_data_out[69]
+Cell user_analog_project_wrapper disconnected node: la_data_out[68]
+Cell user_analog_project_wrapper disconnected node: la_data_out[67]
+Cell user_analog_project_wrapper disconnected node: la_data_out[66]
+Cell user_analog_project_wrapper disconnected node: la_data_out[65]
+Cell user_analog_project_wrapper disconnected node: la_data_out[64]
+Cell user_analog_project_wrapper disconnected node: la_data_out[63]
+Cell user_analog_project_wrapper disconnected node: la_data_out[62]
+Cell user_analog_project_wrapper disconnected node: la_data_out[61]
+Cell user_analog_project_wrapper disconnected node: la_data_out[60]
+Cell user_analog_project_wrapper disconnected node: la_data_out[59]
+Cell user_analog_project_wrapper disconnected node: la_data_out[58]
+Cell user_analog_project_wrapper disconnected node: la_data_out[57]
+Cell user_analog_project_wrapper disconnected node: la_data_out[56]
+Cell user_analog_project_wrapper disconnected node: la_data_out[55]
+Cell user_analog_project_wrapper disconnected node: la_data_out[54]
+Cell user_analog_project_wrapper disconnected node: la_data_out[53]
+Cell user_analog_project_wrapper disconnected node: la_data_out[52]
+Cell user_analog_project_wrapper disconnected node: la_data_out[51]
+Cell user_analog_project_wrapper disconnected node: la_data_out[50]
+Cell user_analog_project_wrapper disconnected node: la_data_out[49]
+Cell user_analog_project_wrapper disconnected node: la_data_out[48]
+Cell user_analog_project_wrapper disconnected node: la_data_out[47]
+Cell user_analog_project_wrapper disconnected node: la_data_out[46]
+Cell user_analog_project_wrapper disconnected node: la_data_out[45]
+Cell user_analog_project_wrapper disconnected node: la_data_out[44]
+Cell user_analog_project_wrapper disconnected node: la_data_out[43]
+Cell user_analog_project_wrapper disconnected node: la_data_out[42]
+Cell user_analog_project_wrapper disconnected node: la_data_out[41]
+Cell user_analog_project_wrapper disconnected node: la_data_out[40]
+Cell user_analog_project_wrapper disconnected node: la_data_out[39]
+Cell user_analog_project_wrapper disconnected node: la_data_out[38]
+Cell user_analog_project_wrapper disconnected node: la_data_out[37]
+Cell user_analog_project_wrapper disconnected node: la_data_out[36]
+Cell user_analog_project_wrapper disconnected node: la_data_out[35]
+Cell user_analog_project_wrapper disconnected node: la_data_out[34]
+Cell user_analog_project_wrapper disconnected node: la_data_out[33]
+Cell user_analog_project_wrapper disconnected node: la_data_out[32]
+Cell user_analog_project_wrapper disconnected node: la_data_out[31]
+Cell user_analog_project_wrapper disconnected node: la_data_out[30]
+Cell user_analog_project_wrapper disconnected node: la_data_out[29]
+Cell user_analog_project_wrapper disconnected node: la_data_out[28]
+Cell user_analog_project_wrapper disconnected node: la_data_out[27]
+Cell user_analog_project_wrapper disconnected node: la_data_out[26]
+Cell user_analog_project_wrapper disconnected node: la_data_out[25]
+Cell user_analog_project_wrapper disconnected node: la_data_out[24]
+Cell user_analog_project_wrapper disconnected node: la_data_out[23]
+Cell user_analog_project_wrapper disconnected node: la_data_out[22]
+Cell user_analog_project_wrapper disconnected node: la_data_out[21]
+Cell user_analog_project_wrapper disconnected node: la_data_out[20]
+Cell user_analog_project_wrapper disconnected node: la_data_out[19]
+Cell user_analog_project_wrapper disconnected node: la_data_out[18]
+Cell user_analog_project_wrapper disconnected node: la_data_out[17]
+Cell user_analog_project_wrapper disconnected node: la_data_out[16]
+Cell user_analog_project_wrapper disconnected node: la_data_out[15]
+Cell user_analog_project_wrapper disconnected node: la_data_out[14]
+Cell user_analog_project_wrapper disconnected node: la_data_out[13]
+Cell user_analog_project_wrapper disconnected node: la_data_out[12]
+Cell user_analog_project_wrapper disconnected node: la_data_out[11]
+Cell user_analog_project_wrapper disconnected node: la_data_out[10]
+Cell user_analog_project_wrapper disconnected node: la_data_out[9]
+Cell user_analog_project_wrapper disconnected node: la_data_out[8]
+Cell user_analog_project_wrapper disconnected node: la_data_out[7]
+Cell user_analog_project_wrapper disconnected node: la_data_out[6]
+Cell user_analog_project_wrapper disconnected node: la_data_out[5]
+Cell user_analog_project_wrapper disconnected node: la_data_out[4]
+Cell user_analog_project_wrapper disconnected node: la_data_out[3]
+Cell user_analog_project_wrapper disconnected node: la_data_out[2]
+Cell user_analog_project_wrapper disconnected node: la_data_out[1]
+Cell user_analog_project_wrapper disconnected node: la_data_out[0]
+Cell user_analog_project_wrapper disconnected node: io_in[26]
+Cell user_analog_project_wrapper disconnected node: io_in[25]
+Cell user_analog_project_wrapper disconnected node: io_in[24]
+Cell user_analog_project_wrapper disconnected node: io_in[23]
+Cell user_analog_project_wrapper disconnected node: io_in[22]
+Cell user_analog_project_wrapper disconnected node: io_in[21]
+Cell user_analog_project_wrapper disconnected node: io_in[20]
+Cell user_analog_project_wrapper disconnected node: io_in[19]
+Cell user_analog_project_wrapper disconnected node: io_in[18]
+Cell user_analog_project_wrapper disconnected node: io_in[17]
+Cell user_analog_project_wrapper disconnected node: io_in[16]
+Cell user_analog_project_wrapper disconnected node: io_in[15]
+Cell user_analog_project_wrapper disconnected node: io_in[14]
+Cell user_analog_project_wrapper disconnected node: io_in[13]
+Cell user_analog_project_wrapper disconnected node: io_in[12]
+Cell user_analog_project_wrapper disconnected node: io_in[11]
+Cell user_analog_project_wrapper disconnected node: io_in[10]
+Cell user_analog_project_wrapper disconnected node: io_in[9]
+Cell user_analog_project_wrapper disconnected node: io_in[8]
+Cell user_analog_project_wrapper disconnected node: io_in[7]
+Cell user_analog_project_wrapper disconnected node: io_in[6]
+Cell user_analog_project_wrapper disconnected node: io_in[5]
+Cell user_analog_project_wrapper disconnected node: io_in[4]
+Cell user_analog_project_wrapper disconnected node: io_in[3]
+Cell user_analog_project_wrapper disconnected node: io_in[2]
+Cell user_analog_project_wrapper disconnected node: io_in[1]
+Cell user_analog_project_wrapper disconnected node: io_in[0]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[26]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[25]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[24]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[23]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[22]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[21]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[20]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[19]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[18]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[17]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[16]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[15]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[14]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[13]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[12]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[11]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[10]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[9]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[8]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[7]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[6]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[5]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[4]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[3]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[2]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[1]
+Cell user_analog_project_wrapper disconnected node: io_in_3v3[0]
+Cell user_analog_project_wrapper disconnected node: user_clock2
+Cell user_analog_project_wrapper disconnected node: io_out[26]
+Cell user_analog_project_wrapper disconnected node: io_out[25]
+Cell user_analog_project_wrapper disconnected node: io_out[24]
+Cell user_analog_project_wrapper disconnected node: io_out[23]
+Cell user_analog_project_wrapper disconnected node: io_out[22]
+Cell user_analog_project_wrapper disconnected node: io_out[21]
+Cell user_analog_project_wrapper disconnected node: io_out[20]
+Cell user_analog_project_wrapper disconnected node: io_out[19]
+Cell user_analog_project_wrapper disconnected node: io_out[18]
+Cell user_analog_project_wrapper disconnected node: io_out[17]
+Cell user_analog_project_wrapper disconnected node: io_out[16]
+Cell user_analog_project_wrapper disconnected node: io_out[15]
+Cell user_analog_project_wrapper disconnected node: io_out[14]
+Cell user_analog_project_wrapper disconnected node: io_out[13]
+Cell user_analog_project_wrapper disconnected node: io_out[12]
+Cell user_analog_project_wrapper disconnected node: io_out[11]
+Cell user_analog_project_wrapper disconnected node: io_out[10]
+Cell user_analog_project_wrapper disconnected node: io_out[9]
+Cell user_analog_project_wrapper disconnected node: io_out[8]
+Cell user_analog_project_wrapper disconnected node: io_out[7]
+Cell user_analog_project_wrapper disconnected node: io_out[6]
+Cell user_analog_project_wrapper disconnected node: io_out[5]
+Cell user_analog_project_wrapper disconnected node: io_out[4]
+Cell user_analog_project_wrapper disconnected node: io_out[3]
+Cell user_analog_project_wrapper disconnected node: io_out[2]
+Cell user_analog_project_wrapper disconnected node: io_out[1]
+Cell user_analog_project_wrapper disconnected node: io_out[0]
+Cell user_analog_project_wrapper disconnected node: io_oeb[26]
+Cell user_analog_project_wrapper disconnected node: io_oeb[25]
+Cell user_analog_project_wrapper disconnected node: io_oeb[24]
+Cell user_analog_project_wrapper disconnected node: io_oeb[23]
+Cell user_analog_project_wrapper disconnected node: io_oeb[22]
+Cell user_analog_project_wrapper disconnected node: io_oeb[21]
+Cell user_analog_project_wrapper disconnected node: io_oeb[20]
+Cell user_analog_project_wrapper disconnected node: io_oeb[19]
+Cell user_analog_project_wrapper disconnected node: io_oeb[18]
+Cell user_analog_project_wrapper disconnected node: io_oeb[17]
+Cell user_analog_project_wrapper disconnected node: io_oeb[16]
+Cell user_analog_project_wrapper disconnected node: io_oeb[15]
+Cell user_analog_project_wrapper disconnected node: io_oeb[14]
+Cell user_analog_project_wrapper disconnected node: io_oeb[13]
+Cell user_analog_project_wrapper disconnected node: io_oeb[12]
+Cell user_analog_project_wrapper disconnected node: io_oeb[11]
+Cell user_analog_project_wrapper disconnected node: io_oeb[10]
+Cell user_analog_project_wrapper disconnected node: io_oeb[9]
+Cell user_analog_project_wrapper disconnected node: io_oeb[8]
+Cell user_analog_project_wrapper disconnected node: io_oeb[7]
+Cell user_analog_project_wrapper disconnected node: io_oeb[6]
+Cell user_analog_project_wrapper disconnected node: io_oeb[5]
+Cell user_analog_project_wrapper disconnected node: io_oeb[4]
+Cell user_analog_project_wrapper disconnected node: io_oeb[3]
+Cell user_analog_project_wrapper disconnected node: io_oeb[2]
+Cell user_analog_project_wrapper disconnected node: io_oeb[1]
+Cell user_analog_project_wrapper disconnected node: io_oeb[0]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[17]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[16]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[15]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[14]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[13]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[12]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[11]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[10]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[9]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[8]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[7]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[6]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[5]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[4]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[3]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[2]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[1]
+Cell user_analog_project_wrapper disconnected node: gpio_analog[0]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[17]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[16]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[15]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[14]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[13]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[12]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[11]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[10]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[9]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[8]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[7]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[6]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[5]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[4]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[3]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[2]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[1]
+Cell user_analog_project_wrapper disconnected node: gpio_noesd[0]
+Cell user_analog_project_wrapper disconnected node: io_analog[6]
+Cell user_analog_project_wrapper disconnected node: io_analog[5]
+Cell user_analog_project_wrapper disconnected node: io_analog[4]
+Cell user_analog_project_wrapper disconnected node: io_analog[0]
+Cell user_analog_project_wrapper disconnected node: io_clamp_high[2]
+Cell user_analog_project_wrapper disconnected node: io_clamp_high[1]
+Cell user_analog_project_wrapper disconnected node: io_clamp_high[0]
+Cell user_analog_project_wrapper disconnected node: io_clamp_low[2]
+Cell user_analog_project_wrapper disconnected node: io_clamp_low[1]
+Cell user_analog_project_wrapper disconnected node: io_clamp_low[0]
+Cell user_analog_project_wrapper disconnected node: user_irq[2]
+Cell user_analog_project_wrapper disconnected node: user_irq[1]
+Cell user_analog_project_wrapper disconnected node: user_irq[0]
+Cell user_analog_project_wrapper disconnected node: la_oenb[127]
+Cell user_analog_project_wrapper disconnected node: la_oenb[126]
+Cell user_analog_project_wrapper disconnected node: la_oenb[125]
+Cell user_analog_project_wrapper disconnected node: la_oenb[124]
+Cell user_analog_project_wrapper disconnected node: la_oenb[123]
+Cell user_analog_project_wrapper disconnected node: la_oenb[122]
+Cell user_analog_project_wrapper disconnected node: la_oenb[121]
+Cell user_analog_project_wrapper disconnected node: la_oenb[120]
+Cell user_analog_project_wrapper disconnected node: la_oenb[119]
+Cell user_analog_project_wrapper disconnected node: la_oenb[118]
+Cell user_analog_project_wrapper disconnected node: la_oenb[117]
+Cell user_analog_project_wrapper disconnected node: la_oenb[116]
+Cell user_analog_project_wrapper disconnected node: la_oenb[115]
+Cell user_analog_project_wrapper disconnected node: la_oenb[114]
+Cell user_analog_project_wrapper disconnected node: la_oenb[113]
+Cell user_analog_project_wrapper disconnected node: la_oenb[112]
+Cell user_analog_project_wrapper disconnected node: la_oenb[111]
+Cell user_analog_project_wrapper disconnected node: la_oenb[110]
+Cell user_analog_project_wrapper disconnected node: la_oenb[109]
+Cell user_analog_project_wrapper disconnected node: la_oenb[108]
+Cell user_analog_project_wrapper disconnected node: la_oenb[107]
+Cell user_analog_project_wrapper disconnected node: la_oenb[106]
+Cell user_analog_project_wrapper disconnected node: la_oenb[105]
+Cell user_analog_project_wrapper disconnected node: la_oenb[104]
+Cell user_analog_project_wrapper disconnected node: la_oenb[103]
+Cell user_analog_project_wrapper disconnected node: la_oenb[102]
+Cell user_analog_project_wrapper disconnected node: la_oenb[101]
+Cell user_analog_project_wrapper disconnected node: la_oenb[100]
+Cell user_analog_project_wrapper disconnected node: la_oenb[99]
+Cell user_analog_project_wrapper disconnected node: la_oenb[98]
+Cell user_analog_project_wrapper disconnected node: la_oenb[97]
+Cell user_analog_project_wrapper disconnected node: la_oenb[96]
+Cell user_analog_project_wrapper disconnected node: la_oenb[95]
+Cell user_analog_project_wrapper disconnected node: la_oenb[94]
+Cell user_analog_project_wrapper disconnected node: la_oenb[93]
+Cell user_analog_project_wrapper disconnected node: la_oenb[92]
+Cell user_analog_project_wrapper disconnected node: la_oenb[91]
+Cell user_analog_project_wrapper disconnected node: la_oenb[90]
+Cell user_analog_project_wrapper disconnected node: la_oenb[89]
+Cell user_analog_project_wrapper disconnected node: la_oenb[88]
+Cell user_analog_project_wrapper disconnected node: la_oenb[87]
+Cell user_analog_project_wrapper disconnected node: la_oenb[86]
+Cell user_analog_project_wrapper disconnected node: la_oenb[85]
+Cell user_analog_project_wrapper disconnected node: la_oenb[84]
+Cell user_analog_project_wrapper disconnected node: la_oenb[83]
+Cell user_analog_project_wrapper disconnected node: la_oenb[82]
+Cell user_analog_project_wrapper disconnected node: la_oenb[81]
+Cell user_analog_project_wrapper disconnected node: la_oenb[80]
+Cell user_analog_project_wrapper disconnected node: la_oenb[79]
+Cell user_analog_project_wrapper disconnected node: la_oenb[78]
+Cell user_analog_project_wrapper disconnected node: la_oenb[77]
+Cell user_analog_project_wrapper disconnected node: la_oenb[76]
+Cell user_analog_project_wrapper disconnected node: la_oenb[75]
+Cell user_analog_project_wrapper disconnected node: la_oenb[74]
+Cell user_analog_project_wrapper disconnected node: la_oenb[73]
+Cell user_analog_project_wrapper disconnected node: la_oenb[72]
+Cell user_analog_project_wrapper disconnected node: la_oenb[71]
+Cell user_analog_project_wrapper disconnected node: la_oenb[70]
+Cell user_analog_project_wrapper disconnected node: la_oenb[69]
+Cell user_analog_project_wrapper disconnected node: la_oenb[68]
+Cell user_analog_project_wrapper disconnected node: la_oenb[67]
+Cell user_analog_project_wrapper disconnected node: la_oenb[66]
+Cell user_analog_project_wrapper disconnected node: la_oenb[65]
+Cell user_analog_project_wrapper disconnected node: la_oenb[64]
+Cell user_analog_project_wrapper disconnected node: la_oenb[63]
+Cell user_analog_project_wrapper disconnected node: la_oenb[62]
+Cell user_analog_project_wrapper disconnected node: la_oenb[61]
+Cell user_analog_project_wrapper disconnected node: la_oenb[60]
+Cell user_analog_project_wrapper disconnected node: la_oenb[59]
+Cell user_analog_project_wrapper disconnected node: la_oenb[58]
+Cell user_analog_project_wrapper disconnected node: la_oenb[57]
+Cell user_analog_project_wrapper disconnected node: la_oenb[56]
+Cell user_analog_project_wrapper disconnected node: la_oenb[55]
+Cell user_analog_project_wrapper disconnected node: la_oenb[54]
+Cell user_analog_project_wrapper disconnected node: la_oenb[53]
+Cell user_analog_project_wrapper disconnected node: la_oenb[52]
+Cell user_analog_project_wrapper disconnected node: la_oenb[51]
+Cell user_analog_project_wrapper disconnected node: la_oenb[50]
+Cell user_analog_project_wrapper disconnected node: la_oenb[49]
+Cell user_analog_project_wrapper disconnected node: la_oenb[48]
+Cell user_analog_project_wrapper disconnected node: la_oenb[47]
+Cell user_analog_project_wrapper disconnected node: la_oenb[46]
+Cell user_analog_project_wrapper disconnected node: la_oenb[45]
+Cell user_analog_project_wrapper disconnected node: la_oenb[44]
+Cell user_analog_project_wrapper disconnected node: la_oenb[43]
+Cell user_analog_project_wrapper disconnected node: la_oenb[42]
+Cell user_analog_project_wrapper disconnected node: la_oenb[41]
+Cell user_analog_project_wrapper disconnected node: la_oenb[40]
+Cell user_analog_project_wrapper disconnected node: la_oenb[39]
+Cell user_analog_project_wrapper disconnected node: la_oenb[38]
+Cell user_analog_project_wrapper disconnected node: la_oenb[37]
+Cell user_analog_project_wrapper disconnected node: la_oenb[36]
+Cell user_analog_project_wrapper disconnected node: la_oenb[35]
+Cell user_analog_project_wrapper disconnected node: la_oenb[34]
+Cell user_analog_project_wrapper disconnected node: la_oenb[33]
+Cell user_analog_project_wrapper disconnected node: la_oenb[32]
+Cell user_analog_project_wrapper disconnected node: la_oenb[31]
+Cell user_analog_project_wrapper disconnected node: la_oenb[30]
+Cell user_analog_project_wrapper disconnected node: la_oenb[29]
+Cell user_analog_project_wrapper disconnected node: la_oenb[28]
+Cell user_analog_project_wrapper disconnected node: la_oenb[27]
+Cell user_analog_project_wrapper disconnected node: la_oenb[26]
+Cell user_analog_project_wrapper disconnected node: la_oenb[25]
+Cell user_analog_project_wrapper disconnected node: la_oenb[24]
+Cell user_analog_project_wrapper disconnected node: la_oenb[23]
+Cell user_analog_project_wrapper disconnected node: la_oenb[22]
+Cell user_analog_project_wrapper disconnected node: la_oenb[21]
+Cell user_analog_project_wrapper disconnected node: la_oenb[20]
+Cell user_analog_project_wrapper disconnected node: la_oenb[19]
+Cell user_analog_project_wrapper disconnected node: la_oenb[18]
+Cell user_analog_project_wrapper disconnected node: la_oenb[17]
+Cell user_analog_project_wrapper disconnected node: la_oenb[16]
+Cell user_analog_project_wrapper disconnected node: la_oenb[15]
+Cell user_analog_project_wrapper disconnected node: la_oenb[14]
+Cell user_analog_project_wrapper disconnected node: la_oenb[13]
+Cell user_analog_project_wrapper disconnected node: la_oenb[12]
+Cell user_analog_project_wrapper disconnected node: la_oenb[11]
+Cell user_analog_project_wrapper disconnected node: la_oenb[10]
+Cell user_analog_project_wrapper disconnected node: la_oenb[9]
+Cell user_analog_project_wrapper disconnected node: la_oenb[8]
+Cell user_analog_project_wrapper disconnected node: la_oenb[7]
+Cell user_analog_project_wrapper disconnected node: la_oenb[6]
+Cell user_analog_project_wrapper disconnected node: la_oenb[5]
+Cell user_analog_project_wrapper disconnected node: la_oenb[4]
+Cell user_analog_project_wrapper disconnected node: la_oenb[3]
+Cell user_analog_project_wrapper disconnected node: la_oenb[2]
+Cell user_analog_project_wrapper disconnected node: la_oenb[1]
+Cell user_analog_project_wrapper disconnected node: la_oenb[0]
+
 Subcircuit summary:
-Circuit 1: detector                        |Circuit 2: detector                        
+Circuit 1: user_analog_project_wrapper     |Circuit 2: user_analog_project_wrapper     
 -------------------------------------------|-------------------------------------------
-sky130_fd_pr__nfet_01v8 (1)                |sky130_fd_pr__nfet_01v8 (1)                
-sky130_fd_pr__cap_mim_m3_1 (2)             |sky130_fd_pr__cap_mim_m3_1 (2)             
 sky130_fd_pr__res_xhigh_po (1)             |sky130_fd_pr__res_xhigh_po (1)             
-Number of devices: 4                       |Number of devices: 4                       
-Number of nets: 5                          |Number of nets: 5                          
----------------------------------------------------------------------------------------
-Circuits match uniquely.
-Netlists match uniquely.
-
-Subcircuit pins:
-Circuit 1: detector                        |Circuit 2: detector                        
--------------------------------------------|-------------------------------------------
-do                                         |do                                         
-gnd                                        |gnd                                        
-dB                                         |dB                                         
-din                                        |din                                        
----------------------------------------------------------------------------------------
-Cell pin lists are equivalent.
-Device classes detector and detector are equivalent.
-
-Subcircuit summary:
-Circuit 1: OTA                             |Circuit 2: OTA                             
--------------------------------------------|-------------------------------------------
+sky130_fd_pr__cap_mim_m3_1 (3)             |sky130_fd_pr__cap_mim_m3_1 (3)             
+sky130_fd_pr__nfet_01v8 (5)                |sky130_fd_pr__nfet_01v8 (5)                
 sky130_fd_pr__pfet_01v8 (6)                |sky130_fd_pr__pfet_01v8 (6)                
-sky130_fd_pr__nfet_01v8 (4)                |sky130_fd_pr__nfet_01v8 (4)                
-sky130_fd_pr__cap_mim_m3_1 (1)             |sky130_fd_pr__cap_mim_m3_1 (1)             
-Number of devices: 11                      |Number of devices: 11                      
-Number of nets: 11                         |Number of nets: 11                         
----------------------------------------------------------------------------------------
-Circuits match uniquely.
-Netlists match uniquely.
-
-Subcircuit pins:
-Circuit 1: OTA                             |Circuit 2: OTA                             
--------------------------------------------|-------------------------------------------
-Vn                                         |Vn                                         
-inn                                        |inn                                        
-inp                                        |inp                                        
-cltop                                      |cltop                                      
-vout                                       |vout                                       
-ib                                         |ib                                         
-Vp                                         |Vp                                         
----------------------------------------------------------------------------------------
-Cell pin lists are equivalent.
-Device classes OTA and OTA are equivalent.
-
-Cell user_analog_project_wrapper disconnected node: vdda1
-Cell user_analog_project_wrapper disconnected node: vdda2
-Cell user_analog_project_wrapper disconnected node: vssd1
-Cell user_analog_project_wrapper disconnected node: vssd2
-Cell user_analog_project_wrapper disconnected node: wb_clk_i
-Cell user_analog_project_wrapper disconnected node: wb_rst_i
-Cell user_analog_project_wrapper disconnected node: wbs_stb_i
-Cell user_analog_project_wrapper disconnected node: wbs_cyc_i
-Cell user_analog_project_wrapper disconnected node: wbs_we_i
-Cell user_analog_project_wrapper disconnected node: wbs_sel_i[3]
-Cell user_analog_project_wrapper disconnected node: wbs_sel_i[2]
-Cell user_analog_project_wrapper disconnected node: wbs_sel_i[1]
-Cell user_analog_project_wrapper disconnected node: wbs_sel_i[0]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[31]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[30]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[29]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[28]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[27]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[26]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[25]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[24]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[23]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[22]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[21]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[20]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[19]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[18]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[17]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[16]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[15]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[14]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[13]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[12]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[11]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[10]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[9]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[8]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[7]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[6]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[5]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[4]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[3]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[2]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[1]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[0]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[31]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[30]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[29]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[28]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[27]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[26]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[25]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[24]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[23]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[22]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[21]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[20]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[19]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[18]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[17]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[16]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[15]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[14]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[13]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[12]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[11]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[10]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[9]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[8]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[7]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[6]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[5]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[4]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[3]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[2]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[1]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[0]
-Cell user_analog_project_wrapper disconnected node: wbs_ack_o
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[31]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[30]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[29]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[28]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[27]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[26]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[25]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[24]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[23]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[22]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[21]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[20]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[19]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[18]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[17]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[16]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[15]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[14]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[13]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[12]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[11]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[10]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[9]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[8]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[7]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[6]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[5]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[4]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[3]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[2]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[1]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[0]
-Cell user_analog_project_wrapper disconnected node: la_data_in[127]
-Cell user_analog_project_wrapper disconnected node: la_data_in[126]
-Cell user_analog_project_wrapper disconnected node: la_data_in[125]
-Cell user_analog_project_wrapper disconnected node: la_data_in[124]
-Cell user_analog_project_wrapper disconnected node: la_data_in[123]
-Cell user_analog_project_wrapper disconnected node: la_data_in[122]
-Cell user_analog_project_wrapper disconnected node: la_data_in[121]
-Cell user_analog_project_wrapper disconnected node: la_data_in[120]
-Cell user_analog_project_wrapper disconnected node: la_data_in[119]
-Cell user_analog_project_wrapper disconnected node: la_data_in[118]
-Cell user_analog_project_wrapper disconnected node: la_data_in[117]
-Cell user_analog_project_wrapper disconnected node: la_data_in[116]
-Cell user_analog_project_wrapper disconnected node: la_data_in[115]
-Cell user_analog_project_wrapper disconnected node: la_data_in[114]
-Cell user_analog_project_wrapper disconnected node: la_data_in[113]
-Cell user_analog_project_wrapper disconnected node: la_data_in[112]
-Cell user_analog_project_wrapper disconnected node: la_data_in[111]
-Cell user_analog_project_wrapper disconnected node: la_data_in[110]
-Cell user_analog_project_wrapper disconnected node: la_data_in[109]
-Cell user_analog_project_wrapper disconnected node: la_data_in[108]
-Cell user_analog_project_wrapper disconnected node: la_data_in[107]
-Cell user_analog_project_wrapper disconnected node: la_data_in[106]
-Cell user_analog_project_wrapper disconnected node: la_data_in[105]
-Cell user_analog_project_wrapper disconnected node: la_data_in[104]
-Cell user_analog_project_wrapper disconnected node: la_data_in[103]
-Cell user_analog_project_wrapper disconnected node: la_data_in[102]
-Cell user_analog_project_wrapper disconnected node: la_data_in[101]
-Cell user_analog_project_wrapper disconnected node: la_data_in[100]
-Cell user_analog_project_wrapper disconnected node: la_data_in[99]
-Cell user_analog_project_wrapper disconnected node: la_data_in[98]
-Cell user_analog_project_wrapper disconnected node: la_data_in[97]
-Cell user_analog_project_wrapper disconnected node: la_data_in[96]
-Cell user_analog_project_wrapper disconnected node: la_data_in[95]
-Cell user_analog_project_wrapper disconnected node: la_data_in[94]
-Cell user_analog_project_wrapper disconnected node: la_data_in[93]
-Cell user_analog_project_wrapper disconnected node: la_data_in[92]
-Cell user_analog_project_wrapper disconnected node: la_data_in[91]
-Cell user_analog_project_wrapper disconnected node: la_data_in[90]
-Cell user_analog_project_wrapper disconnected node: la_data_in[89]
-Cell user_analog_project_wrapper disconnected node: la_data_in[88]
-Cell user_analog_project_wrapper disconnected node: la_data_in[87]
-Cell user_analog_project_wrapper disconnected node: la_data_in[86]
-Cell user_analog_project_wrapper disconnected node: la_data_in[85]
-Cell user_analog_project_wrapper disconnected node: la_data_in[84]
-Cell user_analog_project_wrapper disconnected node: la_data_in[83]
-Cell user_analog_project_wrapper disconnected node: la_data_in[82]
-Cell user_analog_project_wrapper disconnected node: la_data_in[81]
-Cell user_analog_project_wrapper disconnected node: la_data_in[80]
-Cell user_analog_project_wrapper disconnected node: la_data_in[79]
-Cell user_analog_project_wrapper disconnected node: la_data_in[78]
-Cell user_analog_project_wrapper disconnected node: la_data_in[77]
-Cell user_analog_project_wrapper disconnected node: la_data_in[76]
-Cell user_analog_project_wrapper disconnected node: la_data_in[75]
-Cell user_analog_project_wrapper disconnected node: la_data_in[74]
-Cell user_analog_project_wrapper disconnected node: la_data_in[73]
-Cell user_analog_project_wrapper disconnected node: la_data_in[72]
-Cell user_analog_project_wrapper disconnected node: la_data_in[71]
-Cell user_analog_project_wrapper disconnected node: la_data_in[70]
-Cell user_analog_project_wrapper disconnected node: la_data_in[69]
-Cell user_analog_project_wrapper disconnected node: la_data_in[68]
-Cell user_analog_project_wrapper disconnected node: la_data_in[67]
-Cell user_analog_project_wrapper disconnected node: la_data_in[66]
-Cell user_analog_project_wrapper disconnected node: la_data_in[65]
-Cell user_analog_project_wrapper disconnected node: la_data_in[64]
-Cell user_analog_project_wrapper disconnected node: la_data_in[63]
-Cell user_analog_project_wrapper disconnected node: la_data_in[62]
-Cell user_analog_project_wrapper disconnected node: la_data_in[61]
-Cell user_analog_project_wrapper disconnected node: la_data_in[60]
-Cell user_analog_project_wrapper disconnected node: la_data_in[59]
-Cell user_analog_project_wrapper disconnected node: la_data_in[58]
-Cell user_analog_project_wrapper disconnected node: la_data_in[57]
-Cell user_analog_project_wrapper disconnected node: la_data_in[56]
-Cell user_analog_project_wrapper disconnected node: la_data_in[55]
-Cell user_analog_project_wrapper disconnected node: la_data_in[54]
-Cell user_analog_project_wrapper disconnected node: la_data_in[53]
-Cell user_analog_project_wrapper disconnected node: la_data_in[52]
-Cell user_analog_project_wrapper disconnected node: la_data_in[51]
-Cell user_analog_project_wrapper disconnected node: la_data_in[50]
-Cell user_analog_project_wrapper disconnected node: la_data_in[49]
-Cell user_analog_project_wrapper disconnected node: la_data_in[48]
-Cell user_analog_project_wrapper disconnected node: la_data_in[47]
-Cell user_analog_project_wrapper disconnected node: la_data_in[46]
-Cell user_analog_project_wrapper disconnected node: la_data_in[45]
-Cell user_analog_project_wrapper disconnected node: la_data_in[44]
-Cell user_analog_project_wrapper disconnected node: la_data_in[43]
-Cell user_analog_project_wrapper disconnected node: la_data_in[42]
-Cell user_analog_project_wrapper disconnected node: la_data_in[41]
-Cell user_analog_project_wrapper disconnected node: la_data_in[40]
-Cell user_analog_project_wrapper disconnected node: la_data_in[39]
-Cell user_analog_project_wrapper disconnected node: la_data_in[38]
-Cell user_analog_project_wrapper disconnected node: la_data_in[37]
-Cell user_analog_project_wrapper disconnected node: la_data_in[36]
-Cell user_analog_project_wrapper disconnected node: la_data_in[35]
-Cell user_analog_project_wrapper disconnected node: la_data_in[34]
-Cell user_analog_project_wrapper disconnected node: la_data_in[33]
-Cell user_analog_project_wrapper disconnected node: la_data_in[32]
-Cell user_analog_project_wrapper disconnected node: la_data_in[31]
-Cell user_analog_project_wrapper disconnected node: la_data_in[30]
-Cell user_analog_project_wrapper disconnected node: la_data_in[29]
-Cell user_analog_project_wrapper disconnected node: la_data_in[28]
-Cell user_analog_project_wrapper disconnected node: la_data_in[27]
-Cell user_analog_project_wrapper disconnected node: la_data_in[26]
-Cell user_analog_project_wrapper disconnected node: la_data_in[25]
-Cell user_analog_project_wrapper disconnected node: la_data_in[24]
-Cell user_analog_project_wrapper disconnected node: la_data_in[23]
-Cell user_analog_project_wrapper disconnected node: la_data_in[22]
-Cell user_analog_project_wrapper disconnected node: la_data_in[21]
-Cell user_analog_project_wrapper disconnected node: la_data_in[20]
-Cell user_analog_project_wrapper disconnected node: la_data_in[19]
-Cell user_analog_project_wrapper disconnected node: la_data_in[18]
-Cell user_analog_project_wrapper disconnected node: la_data_in[17]
-Cell user_analog_project_wrapper disconnected node: la_data_in[16]
-Cell user_analog_project_wrapper disconnected node: la_data_in[15]
-Cell user_analog_project_wrapper disconnected node: la_data_in[14]
-Cell user_analog_project_wrapper disconnected node: la_data_in[13]
-Cell user_analog_project_wrapper disconnected node: la_data_in[12]
-Cell user_analog_project_wrapper disconnected node: la_data_in[11]
-Cell user_analog_project_wrapper disconnected node: la_data_in[10]
-Cell user_analog_project_wrapper disconnected node: la_data_in[9]
-Cell user_analog_project_wrapper disconnected node: la_data_in[8]
-Cell user_analog_project_wrapper disconnected node: la_data_in[7]
-Cell user_analog_project_wrapper disconnected node: la_data_in[6]
-Cell user_analog_project_wrapper disconnected node: la_data_in[5]
-Cell user_analog_project_wrapper disconnected node: la_data_in[4]
-Cell user_analog_project_wrapper disconnected node: la_data_in[3]
-Cell user_analog_project_wrapper disconnected node: la_data_in[2]
-Cell user_analog_project_wrapper disconnected node: la_data_in[1]
-Cell user_analog_project_wrapper disconnected node: la_data_in[0]
-Cell user_analog_project_wrapper disconnected node: la_data_out[127]
-Cell user_analog_project_wrapper disconnected node: la_data_out[126]
-Cell user_analog_project_wrapper disconnected node: la_data_out[125]
-Cell user_analog_project_wrapper disconnected node: la_data_out[124]
-Cell user_analog_project_wrapper disconnected node: la_data_out[123]
-Cell user_analog_project_wrapper disconnected node: la_data_out[122]
-Cell user_analog_project_wrapper disconnected node: la_data_out[121]
-Cell user_analog_project_wrapper disconnected node: la_data_out[120]
-Cell user_analog_project_wrapper disconnected node: la_data_out[119]
-Cell user_analog_project_wrapper disconnected node: la_data_out[118]
-Cell user_analog_project_wrapper disconnected node: la_data_out[117]
-Cell user_analog_project_wrapper disconnected node: la_data_out[116]
-Cell user_analog_project_wrapper disconnected node: la_data_out[115]
-Cell user_analog_project_wrapper disconnected node: la_data_out[114]
-Cell user_analog_project_wrapper disconnected node: la_data_out[113]
-Cell user_analog_project_wrapper disconnected node: la_data_out[112]
-Cell user_analog_project_wrapper disconnected node: la_data_out[111]
-Cell user_analog_project_wrapper disconnected node: la_data_out[110]
-Cell user_analog_project_wrapper disconnected node: la_data_out[109]
-Cell user_analog_project_wrapper disconnected node: la_data_out[108]
-Cell user_analog_project_wrapper disconnected node: la_data_out[107]
-Cell user_analog_project_wrapper disconnected node: la_data_out[106]
-Cell user_analog_project_wrapper disconnected node: la_data_out[105]
-Cell user_analog_project_wrapper disconnected node: la_data_out[104]
-Cell user_analog_project_wrapper disconnected node: la_data_out[103]
-Cell user_analog_project_wrapper disconnected node: la_data_out[102]
-Cell user_analog_project_wrapper disconnected node: la_data_out[101]
-Cell user_analog_project_wrapper disconnected node: la_data_out[100]
-Cell user_analog_project_wrapper disconnected node: la_data_out[99]
-Cell user_analog_project_wrapper disconnected node: la_data_out[98]
-Cell user_analog_project_wrapper disconnected node: la_data_out[97]
-Cell user_analog_project_wrapper disconnected node: la_data_out[96]
-Cell user_analog_project_wrapper disconnected node: la_data_out[95]
-Cell user_analog_project_wrapper disconnected node: la_data_out[94]
-Cell user_analog_project_wrapper disconnected node: la_data_out[93]
-Cell user_analog_project_wrapper disconnected node: la_data_out[92]
-Cell user_analog_project_wrapper disconnected node: la_data_out[91]
-Cell user_analog_project_wrapper disconnected node: la_data_out[90]
-Cell user_analog_project_wrapper disconnected node: la_data_out[89]
-Cell user_analog_project_wrapper disconnected node: la_data_out[88]
-Cell user_analog_project_wrapper disconnected node: la_data_out[87]
-Cell user_analog_project_wrapper disconnected node: la_data_out[86]
-Cell user_analog_project_wrapper disconnected node: la_data_out[85]
-Cell user_analog_project_wrapper disconnected node: la_data_out[84]
-Cell user_analog_project_wrapper disconnected node: la_data_out[83]
-Cell user_analog_project_wrapper disconnected node: la_data_out[82]
-Cell user_analog_project_wrapper disconnected node: la_data_out[81]
-Cell user_analog_project_wrapper disconnected node: la_data_out[80]
-Cell user_analog_project_wrapper disconnected node: la_data_out[79]
-Cell user_analog_project_wrapper disconnected node: la_data_out[78]
-Cell user_analog_project_wrapper disconnected node: la_data_out[77]
-Cell user_analog_project_wrapper disconnected node: la_data_out[76]
-Cell user_analog_project_wrapper disconnected node: la_data_out[75]
-Cell user_analog_project_wrapper disconnected node: la_data_out[74]
-Cell user_analog_project_wrapper disconnected node: la_data_out[73]
-Cell user_analog_project_wrapper disconnected node: la_data_out[72]
-Cell user_analog_project_wrapper disconnected node: la_data_out[71]
-Cell user_analog_project_wrapper disconnected node: la_data_out[70]
-Cell user_analog_project_wrapper disconnected node: la_data_out[69]
-Cell user_analog_project_wrapper disconnected node: la_data_out[68]
-Cell user_analog_project_wrapper disconnected node: la_data_out[67]
-Cell user_analog_project_wrapper disconnected node: la_data_out[66]
-Cell user_analog_project_wrapper disconnected node: la_data_out[65]
-Cell user_analog_project_wrapper disconnected node: la_data_out[64]
-Cell user_analog_project_wrapper disconnected node: la_data_out[63]
-Cell user_analog_project_wrapper disconnected node: la_data_out[62]
-Cell user_analog_project_wrapper disconnected node: la_data_out[61]
-Cell user_analog_project_wrapper disconnected node: la_data_out[60]
-Cell user_analog_project_wrapper disconnected node: la_data_out[59]
-Cell user_analog_project_wrapper disconnected node: la_data_out[58]
-Cell user_analog_project_wrapper disconnected node: la_data_out[57]
-Cell user_analog_project_wrapper disconnected node: la_data_out[56]
-Cell user_analog_project_wrapper disconnected node: la_data_out[55]
-Cell user_analog_project_wrapper disconnected node: la_data_out[54]
-Cell user_analog_project_wrapper disconnected node: la_data_out[53]
-Cell user_analog_project_wrapper disconnected node: la_data_out[52]
-Cell user_analog_project_wrapper disconnected node: la_data_out[51]
-Cell user_analog_project_wrapper disconnected node: la_data_out[50]
-Cell user_analog_project_wrapper disconnected node: la_data_out[49]
-Cell user_analog_project_wrapper disconnected node: la_data_out[48]
-Cell user_analog_project_wrapper disconnected node: la_data_out[47]
-Cell user_analog_project_wrapper disconnected node: la_data_out[46]
-Cell user_analog_project_wrapper disconnected node: la_data_out[45]
-Cell user_analog_project_wrapper disconnected node: la_data_out[44]
-Cell user_analog_project_wrapper disconnected node: la_data_out[43]
-Cell user_analog_project_wrapper disconnected node: la_data_out[42]
-Cell user_analog_project_wrapper disconnected node: la_data_out[41]
-Cell user_analog_project_wrapper disconnected node: la_data_out[40]
-Cell user_analog_project_wrapper disconnected node: la_data_out[39]
-Cell user_analog_project_wrapper disconnected node: la_data_out[38]
-Cell user_analog_project_wrapper disconnected node: la_data_out[37]
-Cell user_analog_project_wrapper disconnected node: la_data_out[36]
-Cell user_analog_project_wrapper disconnected node: la_data_out[35]
-Cell user_analog_project_wrapper disconnected node: la_data_out[34]
-Cell user_analog_project_wrapper disconnected node: la_data_out[33]
-Cell user_analog_project_wrapper disconnected node: la_data_out[32]
-Cell user_analog_project_wrapper disconnected node: la_data_out[31]
-Cell user_analog_project_wrapper disconnected node: la_data_out[30]
-Cell user_analog_project_wrapper disconnected node: la_data_out[29]
-Cell user_analog_project_wrapper disconnected node: la_data_out[28]
-Cell user_analog_project_wrapper disconnected node: la_data_out[27]
-Cell user_analog_project_wrapper disconnected node: la_data_out[26]
-Cell user_analog_project_wrapper disconnected node: la_data_out[25]
-Cell user_analog_project_wrapper disconnected node: la_data_out[24]
-Cell user_analog_project_wrapper disconnected node: la_data_out[23]
-Cell user_analog_project_wrapper disconnected node: la_data_out[22]
-Cell user_analog_project_wrapper disconnected node: la_data_out[21]
-Cell user_analog_project_wrapper disconnected node: la_data_out[20]
-Cell user_analog_project_wrapper disconnected node: la_data_out[19]
-Cell user_analog_project_wrapper disconnected node: la_data_out[18]
-Cell user_analog_project_wrapper disconnected node: la_data_out[17]
-Cell user_analog_project_wrapper disconnected node: la_data_out[16]
-Cell user_analog_project_wrapper disconnected node: la_data_out[15]
-Cell user_analog_project_wrapper disconnected node: la_data_out[14]
-Cell user_analog_project_wrapper disconnected node: la_data_out[13]
-Cell user_analog_project_wrapper disconnected node: la_data_out[12]
-Cell user_analog_project_wrapper disconnected node: la_data_out[11]
-Cell user_analog_project_wrapper disconnected node: la_data_out[10]
-Cell user_analog_project_wrapper disconnected node: la_data_out[9]
-Cell user_analog_project_wrapper disconnected node: la_data_out[8]
-Cell user_analog_project_wrapper disconnected node: la_data_out[7]
-Cell user_analog_project_wrapper disconnected node: la_data_out[6]
-Cell user_analog_project_wrapper disconnected node: la_data_out[5]
-Cell user_analog_project_wrapper disconnected node: la_data_out[4]
-Cell user_analog_project_wrapper disconnected node: la_data_out[3]
-Cell user_analog_project_wrapper disconnected node: la_data_out[2]
-Cell user_analog_project_wrapper disconnected node: la_data_out[1]
-Cell user_analog_project_wrapper disconnected node: la_data_out[0]
-Cell user_analog_project_wrapper disconnected node: io_in[26]
-Cell user_analog_project_wrapper disconnected node: io_in[25]
-Cell user_analog_project_wrapper disconnected node: io_in[24]
-Cell user_analog_project_wrapper disconnected node: io_in[23]
-Cell user_analog_project_wrapper disconnected node: io_in[22]
-Cell user_analog_project_wrapper disconnected node: io_in[21]
-Cell user_analog_project_wrapper disconnected node: io_in[20]
-Cell user_analog_project_wrapper disconnected node: io_in[19]
-Cell user_analog_project_wrapper disconnected node: io_in[18]
-Cell user_analog_project_wrapper disconnected node: io_in[17]
-Cell user_analog_project_wrapper disconnected node: io_in[16]
-Cell user_analog_project_wrapper disconnected node: io_in[15]
-Cell user_analog_project_wrapper disconnected node: io_in[14]
-Cell user_analog_project_wrapper disconnected node: io_in[13]
-Cell user_analog_project_wrapper disconnected node: io_in[12]
-Cell user_analog_project_wrapper disconnected node: io_in[11]
-Cell user_analog_project_wrapper disconnected node: io_in[10]
-Cell user_analog_project_wrapper disconnected node: io_in[9]
-Cell user_analog_project_wrapper disconnected node: io_in[8]
-Cell user_analog_project_wrapper disconnected node: io_in[7]
-Cell user_analog_project_wrapper disconnected node: io_in[6]
-Cell user_analog_project_wrapper disconnected node: io_in[5]
-Cell user_analog_project_wrapper disconnected node: io_in[4]
-Cell user_analog_project_wrapper disconnected node: io_in[3]
-Cell user_analog_project_wrapper disconnected node: io_in[2]
-Cell user_analog_project_wrapper disconnected node: io_in[1]
-Cell user_analog_project_wrapper disconnected node: io_in[0]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[26]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[25]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[24]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[23]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[22]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[21]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[20]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[19]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[18]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[17]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[16]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[15]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[14]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[13]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[12]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[11]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[10]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[9]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[8]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[7]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[6]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[5]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[4]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[3]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[2]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[1]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[0]
-Cell user_analog_project_wrapper disconnected node: user_clock2
-Cell user_analog_project_wrapper disconnected node: io_out[26]
-Cell user_analog_project_wrapper disconnected node: io_out[25]
-Cell user_analog_project_wrapper disconnected node: io_out[24]
-Cell user_analog_project_wrapper disconnected node: io_out[23]
-Cell user_analog_project_wrapper disconnected node: io_out[22]
-Cell user_analog_project_wrapper disconnected node: io_out[21]
-Cell user_analog_project_wrapper disconnected node: io_out[20]
-Cell user_analog_project_wrapper disconnected node: io_out[19]
-Cell user_analog_project_wrapper disconnected node: io_out[18]
-Cell user_analog_project_wrapper disconnected node: io_out[17]
-Cell user_analog_project_wrapper disconnected node: io_out[16]
-Cell user_analog_project_wrapper disconnected node: io_out[15]
-Cell user_analog_project_wrapper disconnected node: io_out[14]
-Cell user_analog_project_wrapper disconnected node: io_out[13]
-Cell user_analog_project_wrapper disconnected node: io_out[12]
-Cell user_analog_project_wrapper disconnected node: io_out[11]
-Cell user_analog_project_wrapper disconnected node: io_out[10]
-Cell user_analog_project_wrapper disconnected node: io_out[9]
-Cell user_analog_project_wrapper disconnected node: io_out[8]
-Cell user_analog_project_wrapper disconnected node: io_out[7]
-Cell user_analog_project_wrapper disconnected node: io_out[6]
-Cell user_analog_project_wrapper disconnected node: io_out[5]
-Cell user_analog_project_wrapper disconnected node: io_out[4]
-Cell user_analog_project_wrapper disconnected node: io_out[3]
-Cell user_analog_project_wrapper disconnected node: io_out[2]
-Cell user_analog_project_wrapper disconnected node: io_out[1]
-Cell user_analog_project_wrapper disconnected node: io_out[0]
-Cell user_analog_project_wrapper disconnected node: io_oeb[26]
-Cell user_analog_project_wrapper disconnected node: io_oeb[25]
-Cell user_analog_project_wrapper disconnected node: io_oeb[24]
-Cell user_analog_project_wrapper disconnected node: io_oeb[23]
-Cell user_analog_project_wrapper disconnected node: io_oeb[22]
-Cell user_analog_project_wrapper disconnected node: io_oeb[21]
-Cell user_analog_project_wrapper disconnected node: io_oeb[20]
-Cell user_analog_project_wrapper disconnected node: io_oeb[19]
-Cell user_analog_project_wrapper disconnected node: io_oeb[18]
-Cell user_analog_project_wrapper disconnected node: io_oeb[17]
-Cell user_analog_project_wrapper disconnected node: io_oeb[16]
-Cell user_analog_project_wrapper disconnected node: io_oeb[15]
-Cell user_analog_project_wrapper disconnected node: io_oeb[14]
-Cell user_analog_project_wrapper disconnected node: io_oeb[13]
-Cell user_analog_project_wrapper disconnected node: io_oeb[12]
-Cell user_analog_project_wrapper disconnected node: io_oeb[11]
-Cell user_analog_project_wrapper disconnected node: io_oeb[10]
-Cell user_analog_project_wrapper disconnected node: io_oeb[9]
-Cell user_analog_project_wrapper disconnected node: io_oeb[8]
-Cell user_analog_project_wrapper disconnected node: io_oeb[7]
-Cell user_analog_project_wrapper disconnected node: io_oeb[6]
-Cell user_analog_project_wrapper disconnected node: io_oeb[5]
-Cell user_analog_project_wrapper disconnected node: io_oeb[4]
-Cell user_analog_project_wrapper disconnected node: io_oeb[3]
-Cell user_analog_project_wrapper disconnected node: io_oeb[2]
-Cell user_analog_project_wrapper disconnected node: io_oeb[1]
-Cell user_analog_project_wrapper disconnected node: io_oeb[0]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[17]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[16]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[15]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[14]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[13]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[12]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[11]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[10]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[9]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[8]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[7]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[6]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[5]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[4]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[3]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[2]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[1]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[0]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[17]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[16]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[15]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[14]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[13]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[12]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[11]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[10]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[9]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[8]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[7]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[6]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[5]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[4]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[3]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[2]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[1]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[0]
-Cell user_analog_project_wrapper disconnected node: io_analog[6]
-Cell user_analog_project_wrapper disconnected node: io_analog[5]
-Cell user_analog_project_wrapper disconnected node: io_analog[4]
-Cell user_analog_project_wrapper disconnected node: io_analog[0]
-Cell user_analog_project_wrapper disconnected node: io_clamp_high[2]
-Cell user_analog_project_wrapper disconnected node: io_clamp_high[1]
-Cell user_analog_project_wrapper disconnected node: io_clamp_high[0]
-Cell user_analog_project_wrapper disconnected node: io_clamp_low[2]
-Cell user_analog_project_wrapper disconnected node: io_clamp_low[1]
-Cell user_analog_project_wrapper disconnected node: io_clamp_low[0]
-Cell user_analog_project_wrapper disconnected node: user_irq[2]
-Cell user_analog_project_wrapper disconnected node: user_irq[1]
-Cell user_analog_project_wrapper disconnected node: user_irq[0]
-Cell user_analog_project_wrapper disconnected node: la_oenb[127]
-Cell user_analog_project_wrapper disconnected node: la_oenb[126]
-Cell user_analog_project_wrapper disconnected node: la_oenb[125]
-Cell user_analog_project_wrapper disconnected node: la_oenb[124]
-Cell user_analog_project_wrapper disconnected node: la_oenb[123]
-Cell user_analog_project_wrapper disconnected node: la_oenb[122]
-Cell user_analog_project_wrapper disconnected node: la_oenb[121]
-Cell user_analog_project_wrapper disconnected node: la_oenb[120]
-Cell user_analog_project_wrapper disconnected node: la_oenb[119]
-Cell user_analog_project_wrapper disconnected node: la_oenb[118]
-Cell user_analog_project_wrapper disconnected node: la_oenb[117]
-Cell user_analog_project_wrapper disconnected node: la_oenb[116]
-Cell user_analog_project_wrapper disconnected node: la_oenb[115]
-Cell user_analog_project_wrapper disconnected node: la_oenb[114]
-Cell user_analog_project_wrapper disconnected node: la_oenb[113]
-Cell user_analog_project_wrapper disconnected node: la_oenb[112]
-Cell user_analog_project_wrapper disconnected node: la_oenb[111]
-Cell user_analog_project_wrapper disconnected node: la_oenb[110]
-Cell user_analog_project_wrapper disconnected node: la_oenb[109]
-Cell user_analog_project_wrapper disconnected node: la_oenb[108]
-Cell user_analog_project_wrapper disconnected node: la_oenb[107]
-Cell user_analog_project_wrapper disconnected node: la_oenb[106]
-Cell user_analog_project_wrapper disconnected node: la_oenb[105]
-Cell user_analog_project_wrapper disconnected node: la_oenb[104]
-Cell user_analog_project_wrapper disconnected node: la_oenb[103]
-Cell user_analog_project_wrapper disconnected node: la_oenb[102]
-Cell user_analog_project_wrapper disconnected node: la_oenb[101]
-Cell user_analog_project_wrapper disconnected node: la_oenb[100]
-Cell user_analog_project_wrapper disconnected node: la_oenb[99]
-Cell user_analog_project_wrapper disconnected node: la_oenb[98]
-Cell user_analog_project_wrapper disconnected node: la_oenb[97]
-Cell user_analog_project_wrapper disconnected node: la_oenb[96]
-Cell user_analog_project_wrapper disconnected node: la_oenb[95]
-Cell user_analog_project_wrapper disconnected node: la_oenb[94]
-Cell user_analog_project_wrapper disconnected node: la_oenb[93]
-Cell user_analog_project_wrapper disconnected node: la_oenb[92]
-Cell user_analog_project_wrapper disconnected node: la_oenb[91]
-Cell user_analog_project_wrapper disconnected node: la_oenb[90]
-Cell user_analog_project_wrapper disconnected node: la_oenb[89]
-Cell user_analog_project_wrapper disconnected node: la_oenb[88]
-Cell user_analog_project_wrapper disconnected node: la_oenb[87]
-Cell user_analog_project_wrapper disconnected node: la_oenb[86]
-Cell user_analog_project_wrapper disconnected node: la_oenb[85]
-Cell user_analog_project_wrapper disconnected node: la_oenb[84]
-Cell user_analog_project_wrapper disconnected node: la_oenb[83]
-Cell user_analog_project_wrapper disconnected node: la_oenb[82]
-Cell user_analog_project_wrapper disconnected node: la_oenb[81]
-Cell user_analog_project_wrapper disconnected node: la_oenb[80]
-Cell user_analog_project_wrapper disconnected node: la_oenb[79]
-Cell user_analog_project_wrapper disconnected node: la_oenb[78]
-Cell user_analog_project_wrapper disconnected node: la_oenb[77]
-Cell user_analog_project_wrapper disconnected node: la_oenb[76]
-Cell user_analog_project_wrapper disconnected node: la_oenb[75]
-Cell user_analog_project_wrapper disconnected node: la_oenb[74]
-Cell user_analog_project_wrapper disconnected node: la_oenb[73]
-Cell user_analog_project_wrapper disconnected node: la_oenb[72]
-Cell user_analog_project_wrapper disconnected node: la_oenb[71]
-Cell user_analog_project_wrapper disconnected node: la_oenb[70]
-Cell user_analog_project_wrapper disconnected node: la_oenb[69]
-Cell user_analog_project_wrapper disconnected node: la_oenb[68]
-Cell user_analog_project_wrapper disconnected node: la_oenb[67]
-Cell user_analog_project_wrapper disconnected node: la_oenb[66]
-Cell user_analog_project_wrapper disconnected node: la_oenb[65]
-Cell user_analog_project_wrapper disconnected node: la_oenb[64]
-Cell user_analog_project_wrapper disconnected node: la_oenb[63]
-Cell user_analog_project_wrapper disconnected node: la_oenb[62]
-Cell user_analog_project_wrapper disconnected node: la_oenb[61]
-Cell user_analog_project_wrapper disconnected node: la_oenb[60]
-Cell user_analog_project_wrapper disconnected node: la_oenb[59]
-Cell user_analog_project_wrapper disconnected node: la_oenb[58]
-Cell user_analog_project_wrapper disconnected node: la_oenb[57]
-Cell user_analog_project_wrapper disconnected node: la_oenb[56]
-Cell user_analog_project_wrapper disconnected node: la_oenb[55]
-Cell user_analog_project_wrapper disconnected node: la_oenb[54]
-Cell user_analog_project_wrapper disconnected node: la_oenb[53]
-Cell user_analog_project_wrapper disconnected node: la_oenb[52]
-Cell user_analog_project_wrapper disconnected node: la_oenb[51]
-Cell user_analog_project_wrapper disconnected node: la_oenb[50]
-Cell user_analog_project_wrapper disconnected node: la_oenb[49]
-Cell user_analog_project_wrapper disconnected node: la_oenb[48]
-Cell user_analog_project_wrapper disconnected node: la_oenb[47]
-Cell user_analog_project_wrapper disconnected node: la_oenb[46]
-Cell user_analog_project_wrapper disconnected node: la_oenb[45]
-Cell user_analog_project_wrapper disconnected node: la_oenb[44]
-Cell user_analog_project_wrapper disconnected node: la_oenb[43]
-Cell user_analog_project_wrapper disconnected node: la_oenb[42]
-Cell user_analog_project_wrapper disconnected node: la_oenb[41]
-Cell user_analog_project_wrapper disconnected node: la_oenb[40]
-Cell user_analog_project_wrapper disconnected node: la_oenb[39]
-Cell user_analog_project_wrapper disconnected node: la_oenb[38]
-Cell user_analog_project_wrapper disconnected node: la_oenb[37]
-Cell user_analog_project_wrapper disconnected node: la_oenb[36]
-Cell user_analog_project_wrapper disconnected node: la_oenb[35]
-Cell user_analog_project_wrapper disconnected node: la_oenb[34]
-Cell user_analog_project_wrapper disconnected node: la_oenb[33]
-Cell user_analog_project_wrapper disconnected node: la_oenb[32]
-Cell user_analog_project_wrapper disconnected node: la_oenb[31]
-Cell user_analog_project_wrapper disconnected node: la_oenb[30]
-Cell user_analog_project_wrapper disconnected node: la_oenb[29]
-Cell user_analog_project_wrapper disconnected node: la_oenb[28]
-Cell user_analog_project_wrapper disconnected node: la_oenb[27]
-Cell user_analog_project_wrapper disconnected node: la_oenb[26]
-Cell user_analog_project_wrapper disconnected node: la_oenb[25]
-Cell user_analog_project_wrapper disconnected node: la_oenb[24]
-Cell user_analog_project_wrapper disconnected node: la_oenb[23]
-Cell user_analog_project_wrapper disconnected node: la_oenb[22]
-Cell user_analog_project_wrapper disconnected node: la_oenb[21]
-Cell user_analog_project_wrapper disconnected node: la_oenb[20]
-Cell user_analog_project_wrapper disconnected node: la_oenb[19]
-Cell user_analog_project_wrapper disconnected node: la_oenb[18]
-Cell user_analog_project_wrapper disconnected node: la_oenb[17]
-Cell user_analog_project_wrapper disconnected node: la_oenb[16]
-Cell user_analog_project_wrapper disconnected node: la_oenb[15]
-Cell user_analog_project_wrapper disconnected node: la_oenb[14]
-Cell user_analog_project_wrapper disconnected node: la_oenb[13]
-Cell user_analog_project_wrapper disconnected node: la_oenb[12]
-Cell user_analog_project_wrapper disconnected node: la_oenb[11]
-Cell user_analog_project_wrapper disconnected node: la_oenb[10]
-Cell user_analog_project_wrapper disconnected node: la_oenb[9]
-Cell user_analog_project_wrapper disconnected node: la_oenb[8]
-Cell user_analog_project_wrapper disconnected node: la_oenb[7]
-Cell user_analog_project_wrapper disconnected node: la_oenb[6]
-Cell user_analog_project_wrapper disconnected node: la_oenb[5]
-Cell user_analog_project_wrapper disconnected node: la_oenb[4]
-Cell user_analog_project_wrapper disconnected node: la_oenb[3]
-Cell user_analog_project_wrapper disconnected node: la_oenb[2]
-Cell user_analog_project_wrapper disconnected node: la_oenb[1]
-Cell user_analog_project_wrapper disconnected node: la_oenb[0]
-
-Cell user_analog_project_wrapper disconnected node: vdda1
-Cell user_analog_project_wrapper disconnected node: vdda2
-Cell user_analog_project_wrapper disconnected node: vssd1
-Cell user_analog_project_wrapper disconnected node: vssd2
-Cell user_analog_project_wrapper disconnected node: wb_clk_i
-Cell user_analog_project_wrapper disconnected node: wb_rst_i
-Cell user_analog_project_wrapper disconnected node: wbs_stb_i
-Cell user_analog_project_wrapper disconnected node: wbs_cyc_i
-Cell user_analog_project_wrapper disconnected node: wbs_we_i
-Cell user_analog_project_wrapper disconnected node: wbs_sel_i[3]
-Cell user_analog_project_wrapper disconnected node: wbs_sel_i[2]
-Cell user_analog_project_wrapper disconnected node: wbs_sel_i[1]
-Cell user_analog_project_wrapper disconnected node: wbs_sel_i[0]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[31]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[30]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[29]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[28]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[27]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[26]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[25]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[24]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[23]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[22]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[21]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[20]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[19]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[18]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[17]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[16]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[15]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[14]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[13]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[12]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[11]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[10]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[9]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[8]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[7]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[6]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[5]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[4]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[3]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[2]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[1]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_i[0]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[31]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[30]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[29]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[28]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[27]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[26]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[25]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[24]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[23]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[22]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[21]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[20]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[19]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[18]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[17]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[16]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[15]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[14]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[13]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[12]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[11]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[10]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[9]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[8]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[7]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[6]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[5]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[4]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[3]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[2]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[1]
-Cell user_analog_project_wrapper disconnected node: wbs_adr_i[0]
-Cell user_analog_project_wrapper disconnected node: wbs_ack_o
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[31]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[30]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[29]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[28]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[27]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[26]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[25]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[24]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[23]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[22]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[21]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[20]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[19]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[18]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[17]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[16]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[15]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[14]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[13]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[12]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[11]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[10]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[9]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[8]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[7]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[6]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[5]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[4]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[3]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[2]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[1]
-Cell user_analog_project_wrapper disconnected node: wbs_dat_o[0]
-Cell user_analog_project_wrapper disconnected node: la_data_in[127]
-Cell user_analog_project_wrapper disconnected node: la_data_in[126]
-Cell user_analog_project_wrapper disconnected node: la_data_in[125]
-Cell user_analog_project_wrapper disconnected node: la_data_in[124]
-Cell user_analog_project_wrapper disconnected node: la_data_in[123]
-Cell user_analog_project_wrapper disconnected node: la_data_in[122]
-Cell user_analog_project_wrapper disconnected node: la_data_in[121]
-Cell user_analog_project_wrapper disconnected node: la_data_in[120]
-Cell user_analog_project_wrapper disconnected node: la_data_in[119]
-Cell user_analog_project_wrapper disconnected node: la_data_in[118]
-Cell user_analog_project_wrapper disconnected node: la_data_in[117]
-Cell user_analog_project_wrapper disconnected node: la_data_in[116]
-Cell user_analog_project_wrapper disconnected node: la_data_in[115]
-Cell user_analog_project_wrapper disconnected node: la_data_in[114]
-Cell user_analog_project_wrapper disconnected node: la_data_in[113]
-Cell user_analog_project_wrapper disconnected node: la_data_in[112]
-Cell user_analog_project_wrapper disconnected node: la_data_in[111]
-Cell user_analog_project_wrapper disconnected node: la_data_in[110]
-Cell user_analog_project_wrapper disconnected node: la_data_in[109]
-Cell user_analog_project_wrapper disconnected node: la_data_in[108]
-Cell user_analog_project_wrapper disconnected node: la_data_in[107]
-Cell user_analog_project_wrapper disconnected node: la_data_in[106]
-Cell user_analog_project_wrapper disconnected node: la_data_in[105]
-Cell user_analog_project_wrapper disconnected node: la_data_in[104]
-Cell user_analog_project_wrapper disconnected node: la_data_in[103]
-Cell user_analog_project_wrapper disconnected node: la_data_in[102]
-Cell user_analog_project_wrapper disconnected node: la_data_in[101]
-Cell user_analog_project_wrapper disconnected node: la_data_in[100]
-Cell user_analog_project_wrapper disconnected node: la_data_in[99]
-Cell user_analog_project_wrapper disconnected node: la_data_in[98]
-Cell user_analog_project_wrapper disconnected node: la_data_in[97]
-Cell user_analog_project_wrapper disconnected node: la_data_in[96]
-Cell user_analog_project_wrapper disconnected node: la_data_in[95]
-Cell user_analog_project_wrapper disconnected node: la_data_in[94]
-Cell user_analog_project_wrapper disconnected node: la_data_in[93]
-Cell user_analog_project_wrapper disconnected node: la_data_in[92]
-Cell user_analog_project_wrapper disconnected node: la_data_in[91]
-Cell user_analog_project_wrapper disconnected node: la_data_in[90]
-Cell user_analog_project_wrapper disconnected node: la_data_in[89]
-Cell user_analog_project_wrapper disconnected node: la_data_in[88]
-Cell user_analog_project_wrapper disconnected node: la_data_in[87]
-Cell user_analog_project_wrapper disconnected node: la_data_in[86]
-Cell user_analog_project_wrapper disconnected node: la_data_in[85]
-Cell user_analog_project_wrapper disconnected node: la_data_in[84]
-Cell user_analog_project_wrapper disconnected node: la_data_in[83]
-Cell user_analog_project_wrapper disconnected node: la_data_in[82]
-Cell user_analog_project_wrapper disconnected node: la_data_in[81]
-Cell user_analog_project_wrapper disconnected node: la_data_in[80]
-Cell user_analog_project_wrapper disconnected node: la_data_in[79]
-Cell user_analog_project_wrapper disconnected node: la_data_in[78]
-Cell user_analog_project_wrapper disconnected node: la_data_in[77]
-Cell user_analog_project_wrapper disconnected node: la_data_in[76]
-Cell user_analog_project_wrapper disconnected node: la_data_in[75]
-Cell user_analog_project_wrapper disconnected node: la_data_in[74]
-Cell user_analog_project_wrapper disconnected node: la_data_in[73]
-Cell user_analog_project_wrapper disconnected node: la_data_in[72]
-Cell user_analog_project_wrapper disconnected node: la_data_in[71]
-Cell user_analog_project_wrapper disconnected node: la_data_in[70]
-Cell user_analog_project_wrapper disconnected node: la_data_in[69]
-Cell user_analog_project_wrapper disconnected node: la_data_in[68]
-Cell user_analog_project_wrapper disconnected node: la_data_in[67]
-Cell user_analog_project_wrapper disconnected node: la_data_in[66]
-Cell user_analog_project_wrapper disconnected node: la_data_in[65]
-Cell user_analog_project_wrapper disconnected node: la_data_in[64]
-Cell user_analog_project_wrapper disconnected node: la_data_in[63]
-Cell user_analog_project_wrapper disconnected node: la_data_in[62]
-Cell user_analog_project_wrapper disconnected node: la_data_in[61]
-Cell user_analog_project_wrapper disconnected node: la_data_in[60]
-Cell user_analog_project_wrapper disconnected node: la_data_in[59]
-Cell user_analog_project_wrapper disconnected node: la_data_in[58]
-Cell user_analog_project_wrapper disconnected node: la_data_in[57]
-Cell user_analog_project_wrapper disconnected node: la_data_in[56]
-Cell user_analog_project_wrapper disconnected node: la_data_in[55]
-Cell user_analog_project_wrapper disconnected node: la_data_in[54]
-Cell user_analog_project_wrapper disconnected node: la_data_in[53]
-Cell user_analog_project_wrapper disconnected node: la_data_in[52]
-Cell user_analog_project_wrapper disconnected node: la_data_in[51]
-Cell user_analog_project_wrapper disconnected node: la_data_in[50]
-Cell user_analog_project_wrapper disconnected node: la_data_in[49]
-Cell user_analog_project_wrapper disconnected node: la_data_in[48]
-Cell user_analog_project_wrapper disconnected node: la_data_in[47]
-Cell user_analog_project_wrapper disconnected node: la_data_in[46]
-Cell user_analog_project_wrapper disconnected node: la_data_in[45]
-Cell user_analog_project_wrapper disconnected node: la_data_in[44]
-Cell user_analog_project_wrapper disconnected node: la_data_in[43]
-Cell user_analog_project_wrapper disconnected node: la_data_in[42]
-Cell user_analog_project_wrapper disconnected node: la_data_in[41]
-Cell user_analog_project_wrapper disconnected node: la_data_in[40]
-Cell user_analog_project_wrapper disconnected node: la_data_in[39]
-Cell user_analog_project_wrapper disconnected node: la_data_in[38]
-Cell user_analog_project_wrapper disconnected node: la_data_in[37]
-Cell user_analog_project_wrapper disconnected node: la_data_in[36]
-Cell user_analog_project_wrapper disconnected node: la_data_in[35]
-Cell user_analog_project_wrapper disconnected node: la_data_in[34]
-Cell user_analog_project_wrapper disconnected node: la_data_in[33]
-Cell user_analog_project_wrapper disconnected node: la_data_in[32]
-Cell user_analog_project_wrapper disconnected node: la_data_in[31]
-Cell user_analog_project_wrapper disconnected node: la_data_in[30]
-Cell user_analog_project_wrapper disconnected node: la_data_in[29]
-Cell user_analog_project_wrapper disconnected node: la_data_in[28]
-Cell user_analog_project_wrapper disconnected node: la_data_in[27]
-Cell user_analog_project_wrapper disconnected node: la_data_in[26]
-Cell user_analog_project_wrapper disconnected node: la_data_in[25]
-Cell user_analog_project_wrapper disconnected node: la_data_in[24]
-Cell user_analog_project_wrapper disconnected node: la_data_in[23]
-Cell user_analog_project_wrapper disconnected node: la_data_in[22]
-Cell user_analog_project_wrapper disconnected node: la_data_in[21]
-Cell user_analog_project_wrapper disconnected node: la_data_in[20]
-Cell user_analog_project_wrapper disconnected node: la_data_in[19]
-Cell user_analog_project_wrapper disconnected node: la_data_in[18]
-Cell user_analog_project_wrapper disconnected node: la_data_in[17]
-Cell user_analog_project_wrapper disconnected node: la_data_in[16]
-Cell user_analog_project_wrapper disconnected node: la_data_in[15]
-Cell user_analog_project_wrapper disconnected node: la_data_in[14]
-Cell user_analog_project_wrapper disconnected node: la_data_in[13]
-Cell user_analog_project_wrapper disconnected node: la_data_in[12]
-Cell user_analog_project_wrapper disconnected node: la_data_in[11]
-Cell user_analog_project_wrapper disconnected node: la_data_in[10]
-Cell user_analog_project_wrapper disconnected node: la_data_in[9]
-Cell user_analog_project_wrapper disconnected node: la_data_in[8]
-Cell user_analog_project_wrapper disconnected node: la_data_in[7]
-Cell user_analog_project_wrapper disconnected node: la_data_in[6]
-Cell user_analog_project_wrapper disconnected node: la_data_in[5]
-Cell user_analog_project_wrapper disconnected node: la_data_in[4]
-Cell user_analog_project_wrapper disconnected node: la_data_in[3]
-Cell user_analog_project_wrapper disconnected node: la_data_in[2]
-Cell user_analog_project_wrapper disconnected node: la_data_in[1]
-Cell user_analog_project_wrapper disconnected node: la_data_in[0]
-Cell user_analog_project_wrapper disconnected node: la_data_out[127]
-Cell user_analog_project_wrapper disconnected node: la_data_out[126]
-Cell user_analog_project_wrapper disconnected node: la_data_out[125]
-Cell user_analog_project_wrapper disconnected node: la_data_out[124]
-Cell user_analog_project_wrapper disconnected node: la_data_out[123]
-Cell user_analog_project_wrapper disconnected node: la_data_out[122]
-Cell user_analog_project_wrapper disconnected node: la_data_out[121]
-Cell user_analog_project_wrapper disconnected node: la_data_out[120]
-Cell user_analog_project_wrapper disconnected node: la_data_out[119]
-Cell user_analog_project_wrapper disconnected node: la_data_out[118]
-Cell user_analog_project_wrapper disconnected node: la_data_out[117]
-Cell user_analog_project_wrapper disconnected node: la_data_out[116]
-Cell user_analog_project_wrapper disconnected node: la_data_out[115]
-Cell user_analog_project_wrapper disconnected node: la_data_out[114]
-Cell user_analog_project_wrapper disconnected node: la_data_out[113]
-Cell user_analog_project_wrapper disconnected node: la_data_out[112]
-Cell user_analog_project_wrapper disconnected node: la_data_out[111]
-Cell user_analog_project_wrapper disconnected node: la_data_out[110]
-Cell user_analog_project_wrapper disconnected node: la_data_out[109]
-Cell user_analog_project_wrapper disconnected node: la_data_out[108]
-Cell user_analog_project_wrapper disconnected node: la_data_out[107]
-Cell user_analog_project_wrapper disconnected node: la_data_out[106]
-Cell user_analog_project_wrapper disconnected node: la_data_out[105]
-Cell user_analog_project_wrapper disconnected node: la_data_out[104]
-Cell user_analog_project_wrapper disconnected node: la_data_out[103]
-Cell user_analog_project_wrapper disconnected node: la_data_out[102]
-Cell user_analog_project_wrapper disconnected node: la_data_out[101]
-Cell user_analog_project_wrapper disconnected node: la_data_out[100]
-Cell user_analog_project_wrapper disconnected node: la_data_out[99]
-Cell user_analog_project_wrapper disconnected node: la_data_out[98]
-Cell user_analog_project_wrapper disconnected node: la_data_out[97]
-Cell user_analog_project_wrapper disconnected node: la_data_out[96]
-Cell user_analog_project_wrapper disconnected node: la_data_out[95]
-Cell user_analog_project_wrapper disconnected node: la_data_out[94]
-Cell user_analog_project_wrapper disconnected node: la_data_out[93]
-Cell user_analog_project_wrapper disconnected node: la_data_out[92]
-Cell user_analog_project_wrapper disconnected node: la_data_out[91]
-Cell user_analog_project_wrapper disconnected node: la_data_out[90]
-Cell user_analog_project_wrapper disconnected node: la_data_out[89]
-Cell user_analog_project_wrapper disconnected node: la_data_out[88]
-Cell user_analog_project_wrapper disconnected node: la_data_out[87]
-Cell user_analog_project_wrapper disconnected node: la_data_out[86]
-Cell user_analog_project_wrapper disconnected node: la_data_out[85]
-Cell user_analog_project_wrapper disconnected node: la_data_out[84]
-Cell user_analog_project_wrapper disconnected node: la_data_out[83]
-Cell user_analog_project_wrapper disconnected node: la_data_out[82]
-Cell user_analog_project_wrapper disconnected node: la_data_out[81]
-Cell user_analog_project_wrapper disconnected node: la_data_out[80]
-Cell user_analog_project_wrapper disconnected node: la_data_out[79]
-Cell user_analog_project_wrapper disconnected node: la_data_out[78]
-Cell user_analog_project_wrapper disconnected node: la_data_out[77]
-Cell user_analog_project_wrapper disconnected node: la_data_out[76]
-Cell user_analog_project_wrapper disconnected node: la_data_out[75]
-Cell user_analog_project_wrapper disconnected node: la_data_out[74]
-Cell user_analog_project_wrapper disconnected node: la_data_out[73]
-Cell user_analog_project_wrapper disconnected node: la_data_out[72]
-Cell user_analog_project_wrapper disconnected node: la_data_out[71]
-Cell user_analog_project_wrapper disconnected node: la_data_out[70]
-Cell user_analog_project_wrapper disconnected node: la_data_out[69]
-Cell user_analog_project_wrapper disconnected node: la_data_out[68]
-Cell user_analog_project_wrapper disconnected node: la_data_out[67]
-Cell user_analog_project_wrapper disconnected node: la_data_out[66]
-Cell user_analog_project_wrapper disconnected node: la_data_out[65]
-Cell user_analog_project_wrapper disconnected node: la_data_out[64]
-Cell user_analog_project_wrapper disconnected node: la_data_out[63]
-Cell user_analog_project_wrapper disconnected node: la_data_out[62]
-Cell user_analog_project_wrapper disconnected node: la_data_out[61]
-Cell user_analog_project_wrapper disconnected node: la_data_out[60]
-Cell user_analog_project_wrapper disconnected node: la_data_out[59]
-Cell user_analog_project_wrapper disconnected node: la_data_out[58]
-Cell user_analog_project_wrapper disconnected node: la_data_out[57]
-Cell user_analog_project_wrapper disconnected node: la_data_out[56]
-Cell user_analog_project_wrapper disconnected node: la_data_out[55]
-Cell user_analog_project_wrapper disconnected node: la_data_out[54]
-Cell user_analog_project_wrapper disconnected node: la_data_out[53]
-Cell user_analog_project_wrapper disconnected node: la_data_out[52]
-Cell user_analog_project_wrapper disconnected node: la_data_out[51]
-Cell user_analog_project_wrapper disconnected node: la_data_out[50]
-Cell user_analog_project_wrapper disconnected node: la_data_out[49]
-Cell user_analog_project_wrapper disconnected node: la_data_out[48]
-Cell user_analog_project_wrapper disconnected node: la_data_out[47]
-Cell user_analog_project_wrapper disconnected node: la_data_out[46]
-Cell user_analog_project_wrapper disconnected node: la_data_out[45]
-Cell user_analog_project_wrapper disconnected node: la_data_out[44]
-Cell user_analog_project_wrapper disconnected node: la_data_out[43]
-Cell user_analog_project_wrapper disconnected node: la_data_out[42]
-Cell user_analog_project_wrapper disconnected node: la_data_out[41]
-Cell user_analog_project_wrapper disconnected node: la_data_out[40]
-Cell user_analog_project_wrapper disconnected node: la_data_out[39]
-Cell user_analog_project_wrapper disconnected node: la_data_out[38]
-Cell user_analog_project_wrapper disconnected node: la_data_out[37]
-Cell user_analog_project_wrapper disconnected node: la_data_out[36]
-Cell user_analog_project_wrapper disconnected node: la_data_out[35]
-Cell user_analog_project_wrapper disconnected node: la_data_out[34]
-Cell user_analog_project_wrapper disconnected node: la_data_out[33]
-Cell user_analog_project_wrapper disconnected node: la_data_out[32]
-Cell user_analog_project_wrapper disconnected node: la_data_out[31]
-Cell user_analog_project_wrapper disconnected node: la_data_out[30]
-Cell user_analog_project_wrapper disconnected node: la_data_out[29]
-Cell user_analog_project_wrapper disconnected node: la_data_out[28]
-Cell user_analog_project_wrapper disconnected node: la_data_out[27]
-Cell user_analog_project_wrapper disconnected node: la_data_out[26]
-Cell user_analog_project_wrapper disconnected node: la_data_out[25]
-Cell user_analog_project_wrapper disconnected node: la_data_out[24]
-Cell user_analog_project_wrapper disconnected node: la_data_out[23]
-Cell user_analog_project_wrapper disconnected node: la_data_out[22]
-Cell user_analog_project_wrapper disconnected node: la_data_out[21]
-Cell user_analog_project_wrapper disconnected node: la_data_out[20]
-Cell user_analog_project_wrapper disconnected node: la_data_out[19]
-Cell user_analog_project_wrapper disconnected node: la_data_out[18]
-Cell user_analog_project_wrapper disconnected node: la_data_out[17]
-Cell user_analog_project_wrapper disconnected node: la_data_out[16]
-Cell user_analog_project_wrapper disconnected node: la_data_out[15]
-Cell user_analog_project_wrapper disconnected node: la_data_out[14]
-Cell user_analog_project_wrapper disconnected node: la_data_out[13]
-Cell user_analog_project_wrapper disconnected node: la_data_out[12]
-Cell user_analog_project_wrapper disconnected node: la_data_out[11]
-Cell user_analog_project_wrapper disconnected node: la_data_out[10]
-Cell user_analog_project_wrapper disconnected node: la_data_out[9]
-Cell user_analog_project_wrapper disconnected node: la_data_out[8]
-Cell user_analog_project_wrapper disconnected node: la_data_out[7]
-Cell user_analog_project_wrapper disconnected node: la_data_out[6]
-Cell user_analog_project_wrapper disconnected node: la_data_out[5]
-Cell user_analog_project_wrapper disconnected node: la_data_out[4]
-Cell user_analog_project_wrapper disconnected node: la_data_out[3]
-Cell user_analog_project_wrapper disconnected node: la_data_out[2]
-Cell user_analog_project_wrapper disconnected node: la_data_out[1]
-Cell user_analog_project_wrapper disconnected node: la_data_out[0]
-Cell user_analog_project_wrapper disconnected node: io_in[26]
-Cell user_analog_project_wrapper disconnected node: io_in[25]
-Cell user_analog_project_wrapper disconnected node: io_in[24]
-Cell user_analog_project_wrapper disconnected node: io_in[23]
-Cell user_analog_project_wrapper disconnected node: io_in[22]
-Cell user_analog_project_wrapper disconnected node: io_in[21]
-Cell user_analog_project_wrapper disconnected node: io_in[20]
-Cell user_analog_project_wrapper disconnected node: io_in[19]
-Cell user_analog_project_wrapper disconnected node: io_in[18]
-Cell user_analog_project_wrapper disconnected node: io_in[17]
-Cell user_analog_project_wrapper disconnected node: io_in[16]
-Cell user_analog_project_wrapper disconnected node: io_in[15]
-Cell user_analog_project_wrapper disconnected node: io_in[14]
-Cell user_analog_project_wrapper disconnected node: io_in[13]
-Cell user_analog_project_wrapper disconnected node: io_in[12]
-Cell user_analog_project_wrapper disconnected node: io_in[11]
-Cell user_analog_project_wrapper disconnected node: io_in[10]
-Cell user_analog_project_wrapper disconnected node: io_in[9]
-Cell user_analog_project_wrapper disconnected node: io_in[8]
-Cell user_analog_project_wrapper disconnected node: io_in[7]
-Cell user_analog_project_wrapper disconnected node: io_in[6]
-Cell user_analog_project_wrapper disconnected node: io_in[5]
-Cell user_analog_project_wrapper disconnected node: io_in[4]
-Cell user_analog_project_wrapper disconnected node: io_in[3]
-Cell user_analog_project_wrapper disconnected node: io_in[2]
-Cell user_analog_project_wrapper disconnected node: io_in[1]
-Cell user_analog_project_wrapper disconnected node: io_in[0]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[26]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[25]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[24]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[23]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[22]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[21]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[20]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[19]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[18]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[17]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[16]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[15]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[14]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[13]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[12]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[11]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[10]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[9]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[8]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[7]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[6]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[5]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[4]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[3]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[2]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[1]
-Cell user_analog_project_wrapper disconnected node: io_in_3v3[0]
-Cell user_analog_project_wrapper disconnected node: user_clock2
-Cell user_analog_project_wrapper disconnected node: io_out[26]
-Cell user_analog_project_wrapper disconnected node: io_out[25]
-Cell user_analog_project_wrapper disconnected node: io_out[24]
-Cell user_analog_project_wrapper disconnected node: io_out[23]
-Cell user_analog_project_wrapper disconnected node: io_out[22]
-Cell user_analog_project_wrapper disconnected node: io_out[21]
-Cell user_analog_project_wrapper disconnected node: io_out[20]
-Cell user_analog_project_wrapper disconnected node: io_out[19]
-Cell user_analog_project_wrapper disconnected node: io_out[18]
-Cell user_analog_project_wrapper disconnected node: io_out[17]
-Cell user_analog_project_wrapper disconnected node: io_out[16]
-Cell user_analog_project_wrapper disconnected node: io_out[15]
-Cell user_analog_project_wrapper disconnected node: io_out[14]
-Cell user_analog_project_wrapper disconnected node: io_out[13]
-Cell user_analog_project_wrapper disconnected node: io_out[12]
-Cell user_analog_project_wrapper disconnected node: io_out[11]
-Cell user_analog_project_wrapper disconnected node: io_out[10]
-Cell user_analog_project_wrapper disconnected node: io_out[9]
-Cell user_analog_project_wrapper disconnected node: io_out[8]
-Cell user_analog_project_wrapper disconnected node: io_out[7]
-Cell user_analog_project_wrapper disconnected node: io_out[6]
-Cell user_analog_project_wrapper disconnected node: io_out[5]
-Cell user_analog_project_wrapper disconnected node: io_out[4]
-Cell user_analog_project_wrapper disconnected node: io_out[3]
-Cell user_analog_project_wrapper disconnected node: io_out[2]
-Cell user_analog_project_wrapper disconnected node: io_out[1]
-Cell user_analog_project_wrapper disconnected node: io_out[0]
-Cell user_analog_project_wrapper disconnected node: io_oeb[26]
-Cell user_analog_project_wrapper disconnected node: io_oeb[25]
-Cell user_analog_project_wrapper disconnected node: io_oeb[24]
-Cell user_analog_project_wrapper disconnected node: io_oeb[23]
-Cell user_analog_project_wrapper disconnected node: io_oeb[22]
-Cell user_analog_project_wrapper disconnected node: io_oeb[21]
-Cell user_analog_project_wrapper disconnected node: io_oeb[20]
-Cell user_analog_project_wrapper disconnected node: io_oeb[19]
-Cell user_analog_project_wrapper disconnected node: io_oeb[18]
-Cell user_analog_project_wrapper disconnected node: io_oeb[17]
-Cell user_analog_project_wrapper disconnected node: io_oeb[16]
-Cell user_analog_project_wrapper disconnected node: io_oeb[15]
-Cell user_analog_project_wrapper disconnected node: io_oeb[14]
-Cell user_analog_project_wrapper disconnected node: io_oeb[13]
-Cell user_analog_project_wrapper disconnected node: io_oeb[12]
-Cell user_analog_project_wrapper disconnected node: io_oeb[11]
-Cell user_analog_project_wrapper disconnected node: io_oeb[10]
-Cell user_analog_project_wrapper disconnected node: io_oeb[9]
-Cell user_analog_project_wrapper disconnected node: io_oeb[8]
-Cell user_analog_project_wrapper disconnected node: io_oeb[7]
-Cell user_analog_project_wrapper disconnected node: io_oeb[6]
-Cell user_analog_project_wrapper disconnected node: io_oeb[5]
-Cell user_analog_project_wrapper disconnected node: io_oeb[4]
-Cell user_analog_project_wrapper disconnected node: io_oeb[3]
-Cell user_analog_project_wrapper disconnected node: io_oeb[2]
-Cell user_analog_project_wrapper disconnected node: io_oeb[1]
-Cell user_analog_project_wrapper disconnected node: io_oeb[0]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[17]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[16]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[15]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[14]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[13]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[12]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[11]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[10]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[9]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[8]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[7]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[6]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[5]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[4]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[3]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[2]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[1]
-Cell user_analog_project_wrapper disconnected node: gpio_analog[0]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[17]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[16]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[15]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[14]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[13]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[12]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[11]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[10]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[9]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[8]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[7]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[6]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[5]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[4]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[3]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[2]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[1]
-Cell user_analog_project_wrapper disconnected node: gpio_noesd[0]
-Cell user_analog_project_wrapper disconnected node: io_analog[6]
-Cell user_analog_project_wrapper disconnected node: io_analog[5]
-Cell user_analog_project_wrapper disconnected node: io_analog[4]
-Cell user_analog_project_wrapper disconnected node: io_analog[0]
-Cell user_analog_project_wrapper disconnected node: io_clamp_high[2]
-Cell user_analog_project_wrapper disconnected node: io_clamp_high[1]
-Cell user_analog_project_wrapper disconnected node: io_clamp_high[0]
-Cell user_analog_project_wrapper disconnected node: io_clamp_low[2]
-Cell user_analog_project_wrapper disconnected node: io_clamp_low[1]
-Cell user_analog_project_wrapper disconnected node: io_clamp_low[0]
-Cell user_analog_project_wrapper disconnected node: user_irq[2]
-Cell user_analog_project_wrapper disconnected node: user_irq[1]
-Cell user_analog_project_wrapper disconnected node: user_irq[0]
-Cell user_analog_project_wrapper disconnected node: la_oenb[127]
-Cell user_analog_project_wrapper disconnected node: la_oenb[126]
-Cell user_analog_project_wrapper disconnected node: la_oenb[125]
-Cell user_analog_project_wrapper disconnected node: la_oenb[124]
-Cell user_analog_project_wrapper disconnected node: la_oenb[123]
-Cell user_analog_project_wrapper disconnected node: la_oenb[122]
-Cell user_analog_project_wrapper disconnected node: la_oenb[121]
-Cell user_analog_project_wrapper disconnected node: la_oenb[120]
-Cell user_analog_project_wrapper disconnected node: la_oenb[119]
-Cell user_analog_project_wrapper disconnected node: la_oenb[118]
-Cell user_analog_project_wrapper disconnected node: la_oenb[117]
-Cell user_analog_project_wrapper disconnected node: la_oenb[116]
-Cell user_analog_project_wrapper disconnected node: la_oenb[115]
-Cell user_analog_project_wrapper disconnected node: la_oenb[114]
-Cell user_analog_project_wrapper disconnected node: la_oenb[113]
-Cell user_analog_project_wrapper disconnected node: la_oenb[112]
-Cell user_analog_project_wrapper disconnected node: la_oenb[111]
-Cell user_analog_project_wrapper disconnected node: la_oenb[110]
-Cell user_analog_project_wrapper disconnected node: la_oenb[109]
-Cell user_analog_project_wrapper disconnected node: la_oenb[108]
-Cell user_analog_project_wrapper disconnected node: la_oenb[107]
-Cell user_analog_project_wrapper disconnected node: la_oenb[106]
-Cell user_analog_project_wrapper disconnected node: la_oenb[105]
-Cell user_analog_project_wrapper disconnected node: la_oenb[104]
-Cell user_analog_project_wrapper disconnected node: la_oenb[103]
-Cell user_analog_project_wrapper disconnected node: la_oenb[102]
-Cell user_analog_project_wrapper disconnected node: la_oenb[101]
-Cell user_analog_project_wrapper disconnected node: la_oenb[100]
-Cell user_analog_project_wrapper disconnected node: la_oenb[99]
-Cell user_analog_project_wrapper disconnected node: la_oenb[98]
-Cell user_analog_project_wrapper disconnected node: la_oenb[97]
-Cell user_analog_project_wrapper disconnected node: la_oenb[96]
-Cell user_analog_project_wrapper disconnected node: la_oenb[95]
-Cell user_analog_project_wrapper disconnected node: la_oenb[94]
-Cell user_analog_project_wrapper disconnected node: la_oenb[93]
-Cell user_analog_project_wrapper disconnected node: la_oenb[92]
-Cell user_analog_project_wrapper disconnected node: la_oenb[91]
-Cell user_analog_project_wrapper disconnected node: la_oenb[90]
-Cell user_analog_project_wrapper disconnected node: la_oenb[89]
-Cell user_analog_project_wrapper disconnected node: la_oenb[88]
-Cell user_analog_project_wrapper disconnected node: la_oenb[87]
-Cell user_analog_project_wrapper disconnected node: la_oenb[86]
-Cell user_analog_project_wrapper disconnected node: la_oenb[85]
-Cell user_analog_project_wrapper disconnected node: la_oenb[84]
-Cell user_analog_project_wrapper disconnected node: la_oenb[83]
-Cell user_analog_project_wrapper disconnected node: la_oenb[82]
-Cell user_analog_project_wrapper disconnected node: la_oenb[81]
-Cell user_analog_project_wrapper disconnected node: la_oenb[80]
-Cell user_analog_project_wrapper disconnected node: la_oenb[79]
-Cell user_analog_project_wrapper disconnected node: la_oenb[78]
-Cell user_analog_project_wrapper disconnected node: la_oenb[77]
-Cell user_analog_project_wrapper disconnected node: la_oenb[76]
-Cell user_analog_project_wrapper disconnected node: la_oenb[75]
-Cell user_analog_project_wrapper disconnected node: la_oenb[74]
-Cell user_analog_project_wrapper disconnected node: la_oenb[73]
-Cell user_analog_project_wrapper disconnected node: la_oenb[72]
-Cell user_analog_project_wrapper disconnected node: la_oenb[71]
-Cell user_analog_project_wrapper disconnected node: la_oenb[70]
-Cell user_analog_project_wrapper disconnected node: la_oenb[69]
-Cell user_analog_project_wrapper disconnected node: la_oenb[68]
-Cell user_analog_project_wrapper disconnected node: la_oenb[67]
-Cell user_analog_project_wrapper disconnected node: la_oenb[66]
-Cell user_analog_project_wrapper disconnected node: la_oenb[65]
-Cell user_analog_project_wrapper disconnected node: la_oenb[64]
-Cell user_analog_project_wrapper disconnected node: la_oenb[63]
-Cell user_analog_project_wrapper disconnected node: la_oenb[62]
-Cell user_analog_project_wrapper disconnected node: la_oenb[61]
-Cell user_analog_project_wrapper disconnected node: la_oenb[60]
-Cell user_analog_project_wrapper disconnected node: la_oenb[59]
-Cell user_analog_project_wrapper disconnected node: la_oenb[58]
-Cell user_analog_project_wrapper disconnected node: la_oenb[57]
-Cell user_analog_project_wrapper disconnected node: la_oenb[56]
-Cell user_analog_project_wrapper disconnected node: la_oenb[55]
-Cell user_analog_project_wrapper disconnected node: la_oenb[54]
-Cell user_analog_project_wrapper disconnected node: la_oenb[53]
-Cell user_analog_project_wrapper disconnected node: la_oenb[52]
-Cell user_analog_project_wrapper disconnected node: la_oenb[51]
-Cell user_analog_project_wrapper disconnected node: la_oenb[50]
-Cell user_analog_project_wrapper disconnected node: la_oenb[49]
-Cell user_analog_project_wrapper disconnected node: la_oenb[48]
-Cell user_analog_project_wrapper disconnected node: la_oenb[47]
-Cell user_analog_project_wrapper disconnected node: la_oenb[46]
-Cell user_analog_project_wrapper disconnected node: la_oenb[45]
-Cell user_analog_project_wrapper disconnected node: la_oenb[44]
-Cell user_analog_project_wrapper disconnected node: la_oenb[43]
-Cell user_analog_project_wrapper disconnected node: la_oenb[42]
-Cell user_analog_project_wrapper disconnected node: la_oenb[41]
-Cell user_analog_project_wrapper disconnected node: la_oenb[40]
-Cell user_analog_project_wrapper disconnected node: la_oenb[39]
-Cell user_analog_project_wrapper disconnected node: la_oenb[38]
-Cell user_analog_project_wrapper disconnected node: la_oenb[37]
-Cell user_analog_project_wrapper disconnected node: la_oenb[36]
-Cell user_analog_project_wrapper disconnected node: la_oenb[35]
-Cell user_analog_project_wrapper disconnected node: la_oenb[34]
-Cell user_analog_project_wrapper disconnected node: la_oenb[33]
-Cell user_analog_project_wrapper disconnected node: la_oenb[32]
-Cell user_analog_project_wrapper disconnected node: la_oenb[31]
-Cell user_analog_project_wrapper disconnected node: la_oenb[30]
-Cell user_analog_project_wrapper disconnected node: la_oenb[29]
-Cell user_analog_project_wrapper disconnected node: la_oenb[28]
-Cell user_analog_project_wrapper disconnected node: la_oenb[27]
-Cell user_analog_project_wrapper disconnected node: la_oenb[26]
-Cell user_analog_project_wrapper disconnected node: la_oenb[25]
-Cell user_analog_project_wrapper disconnected node: la_oenb[24]
-Cell user_analog_project_wrapper disconnected node: la_oenb[23]
-Cell user_analog_project_wrapper disconnected node: la_oenb[22]
-Cell user_analog_project_wrapper disconnected node: la_oenb[21]
-Cell user_analog_project_wrapper disconnected node: la_oenb[20]
-Cell user_analog_project_wrapper disconnected node: la_oenb[19]
-Cell user_analog_project_wrapper disconnected node: la_oenb[18]
-Cell user_analog_project_wrapper disconnected node: la_oenb[17]
-Cell user_analog_project_wrapper disconnected node: la_oenb[16]
-Cell user_analog_project_wrapper disconnected node: la_oenb[15]
-Cell user_analog_project_wrapper disconnected node: la_oenb[14]
-Cell user_analog_project_wrapper disconnected node: la_oenb[13]
-Cell user_analog_project_wrapper disconnected node: la_oenb[12]
-Cell user_analog_project_wrapper disconnected node: la_oenb[11]
-Cell user_analog_project_wrapper disconnected node: la_oenb[10]
-Cell user_analog_project_wrapper disconnected node: la_oenb[9]
-Cell user_analog_project_wrapper disconnected node: la_oenb[8]
-Cell user_analog_project_wrapper disconnected node: la_oenb[7]
-Cell user_analog_project_wrapper disconnected node: la_oenb[6]
-Cell user_analog_project_wrapper disconnected node: la_oenb[5]
-Cell user_analog_project_wrapper disconnected node: la_oenb[4]
-Cell user_analog_project_wrapper disconnected node: la_oenb[3]
-Cell user_analog_project_wrapper disconnected node: la_oenb[2]
-Cell user_analog_project_wrapper disconnected node: la_oenb[1]
-Cell user_analog_project_wrapper disconnected node: la_oenb[0]
-
-Subcircuit summary:
-Circuit 1: user_analog_project_wrapper     |Circuit 2: user_analog_project_wrapper     
--------------------------------------------|-------------------------------------------
-detector (1)                               |detector (1)                               
-OTA (1)                                    |OTA (1)                                    
-Number of devices: 2                       |Number of devices: 2                       
-Number of nets: 11                         |Number of nets: 11                         
+Number of devices: 15                      |Number of devices: 15                      
+Number of nets: 16                         |Number of nets: 16                         
 ---------------------------------------------------------------------------------------
 Circuits match uniquely.
 Netlists match uniquely.
@@ -1417,669 +1375,669 @@
 Subcircuit pins:
 Circuit 1: user_analog_project_wrapper     |Circuit 2: user_analog_project_wrapper     
 -------------------------------------------|-------------------------------------------
-io_analog[3]                               |io_analog[3]                               
-io_analog[2]                               |io_analog[2]                               
-io_analog[1]                               |io_analog[1]                               
-vssa1                                      |vssa1                                      
-vccd1                                      |vccd1                                      
 io_analog[7]                               |io_analog[7]                               
 io_analog[10]                              |io_analog[10]                              
+vccd2                                      |vccd2                                      
+vccd1                                      |vccd1                                      
+io_analog[2]                               |io_analog[2]                               
+vssa1                                      |vssa1                                      
+io_analog[1]                               |io_analog[1]                               
 vssa2                                      |vssa2                                      
+io_analog[3]                               |io_analog[3]                               
 io_analog[8]                               |io_analog[8]                               
 io_analog[9]                               |io_analog[9]                               
-vccd2                                      |vccd2                                      
+gpio_analog[0]                             |gpio_analog[0]                             
+gpio_analog[10]                            |gpio_analog[10]                            
+gpio_analog[11]                            |gpio_analog[11]                            
+gpio_analog[12]                            |gpio_analog[12]                            
+gpio_analog[13]                            |gpio_analog[13]                            
+gpio_analog[14]                            |gpio_analog[14]                            
+gpio_analog[15]                            |gpio_analog[15]                            
+gpio_analog[16]                            |gpio_analog[16]                            
+gpio_analog[17]                            |gpio_analog[17]                            
+gpio_analog[1]                             |gpio_analog[1]                             
+gpio_analog[2]                             |gpio_analog[2]                             
+gpio_analog[3]                             |gpio_analog[3]                             
+gpio_analog[4]                             |gpio_analog[4]                             
+gpio_analog[5]                             |gpio_analog[5]                             
+gpio_analog[6]                             |gpio_analog[6]                             
+gpio_analog[7]                             |gpio_analog[7]                             
+gpio_analog[8]                             |gpio_analog[8]                             
+gpio_analog[9]                             |gpio_analog[9]                             
+gpio_noesd[0]                              |gpio_noesd[0]                              
+gpio_noesd[10]                             |gpio_noesd[10]                             
+gpio_noesd[11]                             |gpio_noesd[11]                             
+gpio_noesd[12]                             |gpio_noesd[12]                             
+gpio_noesd[13]                             |gpio_noesd[13]                             
+gpio_noesd[14]                             |gpio_noesd[14]                             
+gpio_noesd[15]                             |gpio_noesd[15]                             
+gpio_noesd[16]                             |gpio_noesd[16]                             
+gpio_noesd[17]                             |gpio_noesd[17]                             
+gpio_noesd[1]                              |gpio_noesd[1]                              
+gpio_noesd[2]                              |gpio_noesd[2]                              
+gpio_noesd[3]                              |gpio_noesd[3]                              
+gpio_noesd[4]                              |gpio_noesd[4]                              
+gpio_noesd[5]                              |gpio_noesd[5]                              
+gpio_noesd[6]                              |gpio_noesd[6]                              
+gpio_noesd[7]                              |gpio_noesd[7]                              
+gpio_noesd[8]                              |gpio_noesd[8]                              
+gpio_noesd[9]                              |gpio_noesd[9]                              
+io_analog[0]                               |io_analog[0]                               
+io_analog[4]                               |io_analog[4]                               
+io_analog[5]                               |io_analog[5]                               
+io_analog[6]                               |io_analog[6]                               
+io_clamp_high[0]                           |io_clamp_high[0]                           
+io_clamp_high[1]                           |io_clamp_high[1]                           
+io_clamp_high[2]                           |io_clamp_high[2]                           
+io_clamp_low[0]                            |io_clamp_low[0]                            
+io_clamp_low[1]                            |io_clamp_low[1]                            
+io_clamp_low[2]                            |io_clamp_low[2]                            
+io_in[0]                                   |io_in[0]                                   
+io_in[10]                                  |io_in[10]                                  
+io_in[11]                                  |io_in[11]                                  
+io_in[12]                                  |io_in[12]                                  
+io_in[13]                                  |io_in[13]                                  
+io_in[14]                                  |io_in[14]                                  
+io_in[15]                                  |io_in[15]                                  
+io_in[16]                                  |io_in[16]                                  
+io_in[17]                                  |io_in[17]                                  
+io_in[18]                                  |io_in[18]                                  
+io_in[19]                                  |io_in[19]                                  
+io_in[1]                                   |io_in[1]                                   
+io_in[20]                                  |io_in[20]                                  
+io_in[21]                                  |io_in[21]                                  
+io_in[22]                                  |io_in[22]                                  
+io_in[23]                                  |io_in[23]                                  
+io_in[24]                                  |io_in[24]                                  
+io_in[25]                                  |io_in[25]                                  
+io_in[26]                                  |io_in[26]                                  
+io_in[2]                                   |io_in[2]                                   
+io_in[3]                                   |io_in[3]                                   
+io_in[4]                                   |io_in[4]                                   
+io_in[5]                                   |io_in[5]                                   
+io_in[6]                                   |io_in[6]                                   
+io_in[7]                                   |io_in[7]                                   
+io_in[8]                                   |io_in[8]                                   
+io_in[9]                                   |io_in[9]                                   
+io_in_3v3[0]                               |io_in_3v3[0]                               
+io_in_3v3[10]                              |io_in_3v3[10]                              
+io_in_3v3[11]                              |io_in_3v3[11]                              
+io_in_3v3[12]                              |io_in_3v3[12]                              
+io_in_3v3[13]                              |io_in_3v3[13]                              
+io_in_3v3[14]                              |io_in_3v3[14]                              
+io_in_3v3[15]                              |io_in_3v3[15]                              
+io_in_3v3[16]                              |io_in_3v3[16]                              
+io_in_3v3[17]                              |io_in_3v3[17]                              
+io_in_3v3[18]                              |io_in_3v3[18]                              
+io_in_3v3[19]                              |io_in_3v3[19]                              
+io_in_3v3[1]                               |io_in_3v3[1]                               
+io_in_3v3[20]                              |io_in_3v3[20]                              
+io_in_3v3[21]                              |io_in_3v3[21]                              
+io_in_3v3[22]                              |io_in_3v3[22]                              
+io_in_3v3[23]                              |io_in_3v3[23]                              
+io_in_3v3[24]                              |io_in_3v3[24]                              
+io_in_3v3[25]                              |io_in_3v3[25]                              
+io_in_3v3[26]                              |io_in_3v3[26]                              
+io_in_3v3[2]                               |io_in_3v3[2]                               
+io_in_3v3[3]                               |io_in_3v3[3]                               
+io_in_3v3[4]                               |io_in_3v3[4]                               
+io_in_3v3[5]                               |io_in_3v3[5]                               
+io_in_3v3[6]                               |io_in_3v3[6]                               
+io_in_3v3[7]                               |io_in_3v3[7]                               
+io_in_3v3[8]                               |io_in_3v3[8]                               
+io_in_3v3[9]                               |io_in_3v3[9]                               
+io_oeb[0]                                  |io_oeb[0]                                  
+io_oeb[10]                                 |io_oeb[10]                                 
+io_oeb[11]                                 |io_oeb[11]                                 
+io_oeb[12]                                 |io_oeb[12]                                 
+io_oeb[13]                                 |io_oeb[13]                                 
+io_oeb[14]                                 |io_oeb[14]                                 
+io_oeb[15]                                 |io_oeb[15]                                 
+io_oeb[16]                                 |io_oeb[16]                                 
+io_oeb[17]                                 |io_oeb[17]                                 
+io_oeb[18]                                 |io_oeb[18]                                 
+io_oeb[19]                                 |io_oeb[19]                                 
+io_oeb[1]                                  |io_oeb[1]                                  
+io_oeb[20]                                 |io_oeb[20]                                 
+io_oeb[21]                                 |io_oeb[21]                                 
+io_oeb[22]                                 |io_oeb[22]                                 
+io_oeb[23]                                 |io_oeb[23]                                 
+io_oeb[24]                                 |io_oeb[24]                                 
+io_oeb[25]                                 |io_oeb[25]                                 
+io_oeb[26]                                 |io_oeb[26]                                 
+io_oeb[2]                                  |io_oeb[2]                                  
+io_oeb[3]                                  |io_oeb[3]                                  
+io_oeb[4]                                  |io_oeb[4]                                  
+io_oeb[5]                                  |io_oeb[5]                                  
+io_oeb[6]                                  |io_oeb[6]                                  
+io_oeb[7]                                  |io_oeb[7]                                  
+io_oeb[8]                                  |io_oeb[8]                                  
+io_oeb[9]                                  |io_oeb[9]                                  
+io_out[0]                                  |io_out[0]                                  
+io_out[10]                                 |io_out[10]                                 
+io_out[11]                                 |io_out[11]                                 
+io_out[12]                                 |io_out[12]                                 
+io_out[13]                                 |io_out[13]                                 
+io_out[14]                                 |io_out[14]                                 
+io_out[15]                                 |io_out[15]                                 
+io_out[16]                                 |io_out[16]                                 
+io_out[17]                                 |io_out[17]                                 
+io_out[18]                                 |io_out[18]                                 
+io_out[19]                                 |io_out[19]                                 
+io_out[1]                                  |io_out[1]                                  
+io_out[20]                                 |io_out[20]                                 
+io_out[21]                                 |io_out[21]                                 
+io_out[22]                                 |io_out[22]                                 
+io_out[23]                                 |io_out[23]                                 
+io_out[24]                                 |io_out[24]                                 
+io_out[25]                                 |io_out[25]                                 
+io_out[26]                                 |io_out[26]                                 
+io_out[2]                                  |io_out[2]                                  
+io_out[3]                                  |io_out[3]                                  
+io_out[4]                                  |io_out[4]                                  
+io_out[5]                                  |io_out[5]                                  
+io_out[6]                                  |io_out[6]                                  
+io_out[7]                                  |io_out[7]                                  
+io_out[8]                                  |io_out[8]                                  
+io_out[9]                                  |io_out[9]                                  
+la_data_in[0]                              |la_data_in[0]                              
+la_data_in[100]                            |la_data_in[100]                            
+la_data_in[101]                            |la_data_in[101]                            
+la_data_in[102]                            |la_data_in[102]                            
+la_data_in[103]                            |la_data_in[103]                            
+la_data_in[104]                            |la_data_in[104]                            
+la_data_in[105]                            |la_data_in[105]                            
+la_data_in[106]                            |la_data_in[106]                            
+la_data_in[107]                            |la_data_in[107]                            
+la_data_in[108]                            |la_data_in[108]                            
+la_data_in[109]                            |la_data_in[109]                            
+la_data_in[10]                             |la_data_in[10]                             
+la_data_in[110]                            |la_data_in[110]                            
+la_data_in[111]                            |la_data_in[111]                            
+la_data_in[112]                            |la_data_in[112]                            
+la_data_in[113]                            |la_data_in[113]                            
+la_data_in[114]                            |la_data_in[114]                            
+la_data_in[115]                            |la_data_in[115]                            
+la_data_in[116]                            |la_data_in[116]                            
+la_data_in[117]                            |la_data_in[117]                            
+la_data_in[118]                            |la_data_in[118]                            
+la_data_in[119]                            |la_data_in[119]                            
+la_data_in[11]                             |la_data_in[11]                             
+la_data_in[120]                            |la_data_in[120]                            
+la_data_in[121]                            |la_data_in[121]                            
+la_data_in[122]                            |la_data_in[122]                            
+la_data_in[123]                            |la_data_in[123]                            
+la_data_in[124]                            |la_data_in[124]                            
+la_data_in[125]                            |la_data_in[125]                            
+la_data_in[126]                            |la_data_in[126]                            
+la_data_in[127]                            |la_data_in[127]                            
+la_data_in[12]                             |la_data_in[12]                             
+la_data_in[13]                             |la_data_in[13]                             
+la_data_in[14]                             |la_data_in[14]                             
+la_data_in[15]                             |la_data_in[15]                             
+la_data_in[16]                             |la_data_in[16]                             
+la_data_in[17]                             |la_data_in[17]                             
+la_data_in[18]                             |la_data_in[18]                             
+la_data_in[19]                             |la_data_in[19]                             
+la_data_in[1]                              |la_data_in[1]                              
+la_data_in[20]                             |la_data_in[20]                             
+la_data_in[21]                             |la_data_in[21]                             
+la_data_in[22]                             |la_data_in[22]                             
+la_data_in[23]                             |la_data_in[23]                             
+la_data_in[24]                             |la_data_in[24]                             
+la_data_in[25]                             |la_data_in[25]                             
+la_data_in[26]                             |la_data_in[26]                             
+la_data_in[27]                             |la_data_in[27]                             
+la_data_in[28]                             |la_data_in[28]                             
+la_data_in[29]                             |la_data_in[29]                             
+la_data_in[2]                              |la_data_in[2]                              
+la_data_in[30]                             |la_data_in[30]                             
+la_data_in[31]                             |la_data_in[31]                             
+la_data_in[32]                             |la_data_in[32]                             
+la_data_in[33]                             |la_data_in[33]                             
+la_data_in[34]                             |la_data_in[34]                             
+la_data_in[35]                             |la_data_in[35]                             
+la_data_in[36]                             |la_data_in[36]                             
+la_data_in[37]                             |la_data_in[37]                             
+la_data_in[38]                             |la_data_in[38]                             
+la_data_in[39]                             |la_data_in[39]                             
+la_data_in[3]                              |la_data_in[3]                              
+la_data_in[40]                             |la_data_in[40]                             
+la_data_in[41]                             |la_data_in[41]                             
+la_data_in[42]                             |la_data_in[42]                             
+la_data_in[43]                             |la_data_in[43]                             
+la_data_in[44]                             |la_data_in[44]                             
+la_data_in[45]                             |la_data_in[45]                             
+la_data_in[46]                             |la_data_in[46]                             
+la_data_in[47]                             |la_data_in[47]                             
+la_data_in[48]                             |la_data_in[48]                             
+la_data_in[49]                             |la_data_in[49]                             
+la_data_in[4]                              |la_data_in[4]                              
+la_data_in[50]                             |la_data_in[50]                             
+la_data_in[51]                             |la_data_in[51]                             
+la_data_in[52]                             |la_data_in[52]                             
+la_data_in[53]                             |la_data_in[53]                             
+la_data_in[54]                             |la_data_in[54]                             
+la_data_in[55]                             |la_data_in[55]                             
+la_data_in[56]                             |la_data_in[56]                             
+la_data_in[57]                             |la_data_in[57]                             
+la_data_in[58]                             |la_data_in[58]                             
+la_data_in[59]                             |la_data_in[59]                             
+la_data_in[5]                              |la_data_in[5]                              
+la_data_in[60]                             |la_data_in[60]                             
+la_data_in[61]                             |la_data_in[61]                             
+la_data_in[62]                             |la_data_in[62]                             
+la_data_in[63]                             |la_data_in[63]                             
+la_data_in[64]                             |la_data_in[64]                             
+la_data_in[65]                             |la_data_in[65]                             
+la_data_in[66]                             |la_data_in[66]                             
+la_data_in[67]                             |la_data_in[67]                             
+la_data_in[68]                             |la_data_in[68]                             
+la_data_in[69]                             |la_data_in[69]                             
+la_data_in[6]                              |la_data_in[6]                              
+la_data_in[70]                             |la_data_in[70]                             
+la_data_in[71]                             |la_data_in[71]                             
+la_data_in[72]                             |la_data_in[72]                             
+la_data_in[73]                             |la_data_in[73]                             
+la_data_in[74]                             |la_data_in[74]                             
+la_data_in[75]                             |la_data_in[75]                             
+la_data_in[76]                             |la_data_in[76]                             
+la_data_in[77]                             |la_data_in[77]                             
+la_data_in[78]                             |la_data_in[78]                             
+la_data_in[79]                             |la_data_in[79]                             
+la_data_in[7]                              |la_data_in[7]                              
+la_data_in[80]                             |la_data_in[80]                             
+la_data_in[81]                             |la_data_in[81]                             
+la_data_in[82]                             |la_data_in[82]                             
+la_data_in[83]                             |la_data_in[83]                             
+la_data_in[84]                             |la_data_in[84]                             
+la_data_in[85]                             |la_data_in[85]                             
+la_data_in[86]                             |la_data_in[86]                             
+la_data_in[87]                             |la_data_in[87]                             
+la_data_in[88]                             |la_data_in[88]                             
+la_data_in[89]                             |la_data_in[89]                             
+la_data_in[8]                              |la_data_in[8]                              
+la_data_in[90]                             |la_data_in[90]                             
+la_data_in[91]                             |la_data_in[91]                             
+la_data_in[92]                             |la_data_in[92]                             
+la_data_in[93]                             |la_data_in[93]                             
+la_data_in[94]                             |la_data_in[94]                             
+la_data_in[95]                             |la_data_in[95]                             
+la_data_in[96]                             |la_data_in[96]                             
+la_data_in[97]                             |la_data_in[97]                             
+la_data_in[98]                             |la_data_in[98]                             
+la_data_in[99]                             |la_data_in[99]                             
+la_data_in[9]                              |la_data_in[9]                              
+la_data_out[0]                             |la_data_out[0]                             
+la_data_out[100]                           |la_data_out[100]                           
+la_data_out[101]                           |la_data_out[101]                           
+la_data_out[102]                           |la_data_out[102]                           
+la_data_out[103]                           |la_data_out[103]                           
+la_data_out[104]                           |la_data_out[104]                           
+la_data_out[105]                           |la_data_out[105]                           
+la_data_out[106]                           |la_data_out[106]                           
+la_data_out[107]                           |la_data_out[107]                           
+la_data_out[108]                           |la_data_out[108]                           
+la_data_out[109]                           |la_data_out[109]                           
+la_data_out[10]                            |la_data_out[10]                            
+la_data_out[110]                           |la_data_out[110]                           
+la_data_out[111]                           |la_data_out[111]                           
+la_data_out[112]                           |la_data_out[112]                           
+la_data_out[113]                           |la_data_out[113]                           
+la_data_out[114]                           |la_data_out[114]                           
+la_data_out[115]                           |la_data_out[115]                           
+la_data_out[116]                           |la_data_out[116]                           
+la_data_out[117]                           |la_data_out[117]                           
+la_data_out[118]                           |la_data_out[118]                           
+la_data_out[119]                           |la_data_out[119]                           
+la_data_out[11]                            |la_data_out[11]                            
+la_data_out[120]                           |la_data_out[120]                           
+la_data_out[121]                           |la_data_out[121]                           
+la_data_out[122]                           |la_data_out[122]                           
+la_data_out[123]                           |la_data_out[123]                           
+la_data_out[124]                           |la_data_out[124]                           
+la_data_out[125]                           |la_data_out[125]                           
+la_data_out[126]                           |la_data_out[126]                           
+la_data_out[127]                           |la_data_out[127]                           
+la_data_out[12]                            |la_data_out[12]                            
+la_data_out[13]                            |la_data_out[13]                            
+la_data_out[14]                            |la_data_out[14]                            
+la_data_out[15]                            |la_data_out[15]                            
+la_data_out[16]                            |la_data_out[16]                            
+la_data_out[17]                            |la_data_out[17]                            
+la_data_out[18]                            |la_data_out[18]                            
+la_data_out[19]                            |la_data_out[19]                            
+la_data_out[1]                             |la_data_out[1]                             
+la_data_out[20]                            |la_data_out[20]                            
+la_data_out[21]                            |la_data_out[21]                            
+la_data_out[22]                            |la_data_out[22]                            
+la_data_out[23]                            |la_data_out[23]                            
+la_data_out[24]                            |la_data_out[24]                            
+la_data_out[25]                            |la_data_out[25]                            
+la_data_out[26]                            |la_data_out[26]                            
+la_data_out[27]                            |la_data_out[27]                            
+la_data_out[28]                            |la_data_out[28]                            
+la_data_out[29]                            |la_data_out[29]                            
+la_data_out[2]                             |la_data_out[2]                             
+la_data_out[30]                            |la_data_out[30]                            
+la_data_out[31]                            |la_data_out[31]                            
+la_data_out[32]                            |la_data_out[32]                            
+la_data_out[33]                            |la_data_out[33]                            
+la_data_out[34]                            |la_data_out[34]                            
+la_data_out[35]                            |la_data_out[35]                            
+la_data_out[36]                            |la_data_out[36]                            
+la_data_out[37]                            |la_data_out[37]                            
+la_data_out[38]                            |la_data_out[38]                            
+la_data_out[39]                            |la_data_out[39]                            
+la_data_out[3]                             |la_data_out[3]                             
+la_data_out[40]                            |la_data_out[40]                            
+la_data_out[41]                            |la_data_out[41]                            
+la_data_out[42]                            |la_data_out[42]                            
+la_data_out[43]                            |la_data_out[43]                            
+la_data_out[44]                            |la_data_out[44]                            
+la_data_out[45]                            |la_data_out[45]                            
+la_data_out[46]                            |la_data_out[46]                            
+la_data_out[47]                            |la_data_out[47]                            
+la_data_out[48]                            |la_data_out[48]                            
+la_data_out[49]                            |la_data_out[49]                            
+la_data_out[4]                             |la_data_out[4]                             
+la_data_out[50]                            |la_data_out[50]                            
+la_data_out[51]                            |la_data_out[51]                            
+la_data_out[52]                            |la_data_out[52]                            
+la_data_out[53]                            |la_data_out[53]                            
+la_data_out[54]                            |la_data_out[54]                            
+la_data_out[55]                            |la_data_out[55]                            
+la_data_out[56]                            |la_data_out[56]                            
+la_data_out[57]                            |la_data_out[57]                            
+la_data_out[58]                            |la_data_out[58]                            
+la_data_out[59]                            |la_data_out[59]                            
+la_data_out[5]                             |la_data_out[5]                             
+la_data_out[60]                            |la_data_out[60]                            
+la_data_out[61]                            |la_data_out[61]                            
+la_data_out[62]                            |la_data_out[62]                            
+la_data_out[63]                            |la_data_out[63]                            
+la_data_out[64]                            |la_data_out[64]                            
+la_data_out[65]                            |la_data_out[65]                            
+la_data_out[66]                            |la_data_out[66]                            
+la_data_out[67]                            |la_data_out[67]                            
+la_data_out[68]                            |la_data_out[68]                            
+la_data_out[69]                            |la_data_out[69]                            
+la_data_out[6]                             |la_data_out[6]                             
+la_data_out[70]                            |la_data_out[70]                            
+la_data_out[71]                            |la_data_out[71]                            
+la_data_out[72]                            |la_data_out[72]                            
+la_data_out[73]                            |la_data_out[73]                            
+la_data_out[74]                            |la_data_out[74]                            
+la_data_out[75]                            |la_data_out[75]                            
+la_data_out[76]                            |la_data_out[76]                            
+la_data_out[77]                            |la_data_out[77]                            
+la_data_out[78]                            |la_data_out[78]                            
+la_data_out[79]                            |la_data_out[79]                            
+la_data_out[7]                             |la_data_out[7]                             
+la_data_out[80]                            |la_data_out[80]                            
+la_data_out[81]                            |la_data_out[81]                            
+la_data_out[82]                            |la_data_out[82]                            
+la_data_out[83]                            |la_data_out[83]                            
+la_data_out[84]                            |la_data_out[84]                            
+la_data_out[85]                            |la_data_out[85]                            
+la_data_out[86]                            |la_data_out[86]                            
+la_data_out[87]                            |la_data_out[87]                            
+la_data_out[88]                            |la_data_out[88]                            
+la_data_out[89]                            |la_data_out[89]                            
+la_data_out[8]                             |la_data_out[8]                             
+la_data_out[90]                            |la_data_out[90]                            
+la_data_out[91]                            |la_data_out[91]                            
+la_data_out[92]                            |la_data_out[92]                            
+la_data_out[93]                            |la_data_out[93]                            
+la_data_out[94]                            |la_data_out[94]                            
+la_data_out[95]                            |la_data_out[95]                            
+la_data_out[96]                            |la_data_out[96]                            
+la_data_out[97]                            |la_data_out[97]                            
+la_data_out[98]                            |la_data_out[98]                            
+la_data_out[99]                            |la_data_out[99]                            
+la_data_out[9]                             |la_data_out[9]                             
+la_oenb[0]                                 |la_oenb[0]                                 
+la_oenb[100]                               |la_oenb[100]                               
+la_oenb[101]                               |la_oenb[101]                               
+la_oenb[102]                               |la_oenb[102]                               
+la_oenb[103]                               |la_oenb[103]                               
+la_oenb[104]                               |la_oenb[104]                               
+la_oenb[105]                               |la_oenb[105]                               
+la_oenb[106]                               |la_oenb[106]                               
+la_oenb[107]                               |la_oenb[107]                               
+la_oenb[108]                               |la_oenb[108]                               
+la_oenb[109]                               |la_oenb[109]                               
+la_oenb[10]                                |la_oenb[10]                                
+la_oenb[110]                               |la_oenb[110]                               
+la_oenb[111]                               |la_oenb[111]                               
+la_oenb[112]                               |la_oenb[112]                               
+la_oenb[113]                               |la_oenb[113]                               
+la_oenb[114]                               |la_oenb[114]                               
+la_oenb[115]                               |la_oenb[115]                               
+la_oenb[116]                               |la_oenb[116]                               
+la_oenb[117]                               |la_oenb[117]                               
+la_oenb[118]                               |la_oenb[118]                               
+la_oenb[119]                               |la_oenb[119]                               
+la_oenb[11]                                |la_oenb[11]                                
+la_oenb[120]                               |la_oenb[120]                               
+la_oenb[121]                               |la_oenb[121]                               
+la_oenb[122]                               |la_oenb[122]                               
+la_oenb[123]                               |la_oenb[123]                               
+la_oenb[124]                               |la_oenb[124]                               
+la_oenb[125]                               |la_oenb[125]                               
+la_oenb[126]                               |la_oenb[126]                               
+la_oenb[127]                               |la_oenb[127]                               
+la_oenb[12]                                |la_oenb[12]                                
+la_oenb[13]                                |la_oenb[13]                                
+la_oenb[14]                                |la_oenb[14]                                
+la_oenb[15]                                |la_oenb[15]                                
+la_oenb[16]                                |la_oenb[16]                                
+la_oenb[17]                                |la_oenb[17]                                
+la_oenb[18]                                |la_oenb[18]                                
+la_oenb[19]                                |la_oenb[19]                                
+la_oenb[1]                                 |la_oenb[1]                                 
+la_oenb[20]                                |la_oenb[20]                                
+la_oenb[21]                                |la_oenb[21]                                
+la_oenb[22]                                |la_oenb[22]                                
+la_oenb[23]                                |la_oenb[23]                                
+la_oenb[24]                                |la_oenb[24]                                
+la_oenb[25]                                |la_oenb[25]                                
+la_oenb[26]                                |la_oenb[26]                                
+la_oenb[27]                                |la_oenb[27]                                
+la_oenb[28]                                |la_oenb[28]                                
+la_oenb[29]                                |la_oenb[29]                                
+la_oenb[2]                                 |la_oenb[2]                                 
+la_oenb[30]                                |la_oenb[30]                                
+la_oenb[31]                                |la_oenb[31]                                
+la_oenb[32]                                |la_oenb[32]                                
+la_oenb[33]                                |la_oenb[33]                                
+la_oenb[34]                                |la_oenb[34]                                
+la_oenb[35]                                |la_oenb[35]                                
+la_oenb[36]                                |la_oenb[36]                                
+la_oenb[37]                                |la_oenb[37]                                
+la_oenb[38]                                |la_oenb[38]                                
+la_oenb[39]                                |la_oenb[39]                                
+la_oenb[3]                                 |la_oenb[3]                                 
+la_oenb[40]                                |la_oenb[40]                                
+la_oenb[41]                                |la_oenb[41]                                
+la_oenb[42]                                |la_oenb[42]                                
+la_oenb[43]                                |la_oenb[43]                                
+la_oenb[44]                                |la_oenb[44]                                
+la_oenb[45]                                |la_oenb[45]                                
+la_oenb[46]                                |la_oenb[46]                                
+la_oenb[47]                                |la_oenb[47]                                
+la_oenb[48]                                |la_oenb[48]                                
+la_oenb[49]                                |la_oenb[49]                                
+la_oenb[4]                                 |la_oenb[4]                                 
+la_oenb[50]                                |la_oenb[50]                                
+la_oenb[51]                                |la_oenb[51]                                
+la_oenb[52]                                |la_oenb[52]                                
+la_oenb[53]                                |la_oenb[53]                                
+la_oenb[54]                                |la_oenb[54]                                
+la_oenb[55]                                |la_oenb[55]                                
+la_oenb[56]                                |la_oenb[56]                                
+la_oenb[57]                                |la_oenb[57]                                
+la_oenb[58]                                |la_oenb[58]                                
+la_oenb[59]                                |la_oenb[59]                                
+la_oenb[5]                                 |la_oenb[5]                                 
+la_oenb[60]                                |la_oenb[60]                                
+la_oenb[61]                                |la_oenb[61]                                
+la_oenb[62]                                |la_oenb[62]                                
+la_oenb[63]                                |la_oenb[63]                                
+la_oenb[64]                                |la_oenb[64]                                
+la_oenb[65]                                |la_oenb[65]                                
+la_oenb[66]                                |la_oenb[66]                                
+la_oenb[67]                                |la_oenb[67]                                
+la_oenb[68]                                |la_oenb[68]                                
+la_oenb[69]                                |la_oenb[69]                                
+la_oenb[6]                                 |la_oenb[6]                                 
+la_oenb[70]                                |la_oenb[70]                                
+la_oenb[71]                                |la_oenb[71]                                
+la_oenb[72]                                |la_oenb[72]                                
+la_oenb[73]                                |la_oenb[73]                                
+la_oenb[74]                                |la_oenb[74]                                
+la_oenb[75]                                |la_oenb[75]                                
+la_oenb[76]                                |la_oenb[76]                                
+la_oenb[77]                                |la_oenb[77]                                
+la_oenb[78]                                |la_oenb[78]                                
+la_oenb[79]                                |la_oenb[79]                                
+la_oenb[7]                                 |la_oenb[7]                                 
+la_oenb[80]                                |la_oenb[80]                                
+la_oenb[81]                                |la_oenb[81]                                
+la_oenb[82]                                |la_oenb[82]                                
+la_oenb[83]                                |la_oenb[83]                                
+la_oenb[84]                                |la_oenb[84]                                
+la_oenb[85]                                |la_oenb[85]                                
+la_oenb[86]                                |la_oenb[86]                                
+la_oenb[87]                                |la_oenb[87]                                
+la_oenb[88]                                |la_oenb[88]                                
+la_oenb[89]                                |la_oenb[89]                                
+la_oenb[8]                                 |la_oenb[8]                                 
+la_oenb[90]                                |la_oenb[90]                                
+la_oenb[91]                                |la_oenb[91]                                
+la_oenb[92]                                |la_oenb[92]                                
+la_oenb[93]                                |la_oenb[93]                                
+la_oenb[94]                                |la_oenb[94]                                
+la_oenb[95]                                |la_oenb[95]                                
+la_oenb[96]                                |la_oenb[96]                                
+la_oenb[97]                                |la_oenb[97]                                
+la_oenb[98]                                |la_oenb[98]                                
+la_oenb[99]                                |la_oenb[99]                                
+la_oenb[9]                                 |la_oenb[9]                                 
+user_clock2                                |user_clock2                                
+user_irq[0]                                |user_irq[0]                                
+user_irq[1]                                |user_irq[1]                                
+user_irq[2]                                |user_irq[2]                                
 vdda1                                      |vdda1                                      
 vdda2                                      |vdda2                                      
 vssd1                                      |vssd1                                      
 vssd2                                      |vssd2                                      
 wb_clk_i                                   |wb_clk_i                                   
 wb_rst_i                                   |wb_rst_i                                   
-wbs_stb_i                                  |wbs_stb_i                                  
-wbs_cyc_i                                  |wbs_cyc_i                                  
-wbs_we_i                                   |wbs_we_i                                   
-wbs_sel_i[3]                               |wbs_sel_i[3]                               
-wbs_sel_i[2]                               |wbs_sel_i[2]                               
-wbs_sel_i[1]                               |wbs_sel_i[1]                               
-wbs_sel_i[0]                               |wbs_sel_i[0]                               
-wbs_dat_i[31]                              |wbs_dat_i[31]                              
-wbs_dat_i[30]                              |wbs_dat_i[30]                              
-wbs_dat_i[29]                              |wbs_dat_i[29]                              
-wbs_dat_i[28]                              |wbs_dat_i[28]                              
-wbs_dat_i[27]                              |wbs_dat_i[27]                              
-wbs_dat_i[26]                              |wbs_dat_i[26]                              
-wbs_dat_i[25]                              |wbs_dat_i[25]                              
-wbs_dat_i[24]                              |wbs_dat_i[24]                              
-wbs_dat_i[23]                              |wbs_dat_i[23]                              
-wbs_dat_i[22]                              |wbs_dat_i[22]                              
-wbs_dat_i[21]                              |wbs_dat_i[21]                              
-wbs_dat_i[20]                              |wbs_dat_i[20]                              
-wbs_dat_i[19]                              |wbs_dat_i[19]                              
-wbs_dat_i[18]                              |wbs_dat_i[18]                              
-wbs_dat_i[17]                              |wbs_dat_i[17]                              
-wbs_dat_i[16]                              |wbs_dat_i[16]                              
-wbs_dat_i[15]                              |wbs_dat_i[15]                              
-wbs_dat_i[14]                              |wbs_dat_i[14]                              
-wbs_dat_i[13]                              |wbs_dat_i[13]                              
-wbs_dat_i[12]                              |wbs_dat_i[12]                              
-wbs_dat_i[11]                              |wbs_dat_i[11]                              
-wbs_dat_i[10]                              |wbs_dat_i[10]                              
-wbs_dat_i[9]                               |wbs_dat_i[9]                               
-wbs_dat_i[8]                               |wbs_dat_i[8]                               
-wbs_dat_i[7]                               |wbs_dat_i[7]                               
-wbs_dat_i[6]                               |wbs_dat_i[6]                               
-wbs_dat_i[5]                               |wbs_dat_i[5]                               
-wbs_dat_i[4]                               |wbs_dat_i[4]                               
-wbs_dat_i[3]                               |wbs_dat_i[3]                               
-wbs_dat_i[2]                               |wbs_dat_i[2]                               
-wbs_dat_i[1]                               |wbs_dat_i[1]                               
-wbs_dat_i[0]                               |wbs_dat_i[0]                               
-wbs_adr_i[31]                              |wbs_adr_i[31]                              
-wbs_adr_i[30]                              |wbs_adr_i[30]                              
-wbs_adr_i[29]                              |wbs_adr_i[29]                              
-wbs_adr_i[28]                              |wbs_adr_i[28]                              
-wbs_adr_i[27]                              |wbs_adr_i[27]                              
-wbs_adr_i[26]                              |wbs_adr_i[26]                              
-wbs_adr_i[25]                              |wbs_adr_i[25]                              
-wbs_adr_i[24]                              |wbs_adr_i[24]                              
-wbs_adr_i[23]                              |wbs_adr_i[23]                              
-wbs_adr_i[22]                              |wbs_adr_i[22]                              
-wbs_adr_i[21]                              |wbs_adr_i[21]                              
-wbs_adr_i[20]                              |wbs_adr_i[20]                              
-wbs_adr_i[19]                              |wbs_adr_i[19]                              
-wbs_adr_i[18]                              |wbs_adr_i[18]                              
-wbs_adr_i[17]                              |wbs_adr_i[17]                              
-wbs_adr_i[16]                              |wbs_adr_i[16]                              
-wbs_adr_i[15]                              |wbs_adr_i[15]                              
-wbs_adr_i[14]                              |wbs_adr_i[14]                              
-wbs_adr_i[13]                              |wbs_adr_i[13]                              
-wbs_adr_i[12]                              |wbs_adr_i[12]                              
-wbs_adr_i[11]                              |wbs_adr_i[11]                              
-wbs_adr_i[10]                              |wbs_adr_i[10]                              
-wbs_adr_i[9]                               |wbs_adr_i[9]                               
-wbs_adr_i[8]                               |wbs_adr_i[8]                               
-wbs_adr_i[7]                               |wbs_adr_i[7]                               
-wbs_adr_i[6]                               |wbs_adr_i[6]                               
-wbs_adr_i[5]                               |wbs_adr_i[5]                               
-wbs_adr_i[4]                               |wbs_adr_i[4]                               
-wbs_adr_i[3]                               |wbs_adr_i[3]                               
-wbs_adr_i[2]                               |wbs_adr_i[2]                               
-wbs_adr_i[1]                               |wbs_adr_i[1]                               
-wbs_adr_i[0]                               |wbs_adr_i[0]                               
 wbs_ack_o                                  |wbs_ack_o                                  
-wbs_dat_o[31]                              |wbs_dat_o[31]                              
-wbs_dat_o[30]                              |wbs_dat_o[30]                              
-wbs_dat_o[29]                              |wbs_dat_o[29]                              
-wbs_dat_o[28]                              |wbs_dat_o[28]                              
-wbs_dat_o[27]                              |wbs_dat_o[27]                              
-wbs_dat_o[26]                              |wbs_dat_o[26]                              
-wbs_dat_o[25]                              |wbs_dat_o[25]                              
-wbs_dat_o[24]                              |wbs_dat_o[24]                              
-wbs_dat_o[23]                              |wbs_dat_o[23]                              
-wbs_dat_o[22]                              |wbs_dat_o[22]                              
-wbs_dat_o[21]                              |wbs_dat_o[21]                              
-wbs_dat_o[20]                              |wbs_dat_o[20]                              
-wbs_dat_o[19]                              |wbs_dat_o[19]                              
-wbs_dat_o[18]                              |wbs_dat_o[18]                              
-wbs_dat_o[17]                              |wbs_dat_o[17]                              
-wbs_dat_o[16]                              |wbs_dat_o[16]                              
-wbs_dat_o[15]                              |wbs_dat_o[15]                              
-wbs_dat_o[14]                              |wbs_dat_o[14]                              
-wbs_dat_o[13]                              |wbs_dat_o[13]                              
-wbs_dat_o[12]                              |wbs_dat_o[12]                              
-wbs_dat_o[11]                              |wbs_dat_o[11]                              
-wbs_dat_o[10]                              |wbs_dat_o[10]                              
-wbs_dat_o[9]                               |wbs_dat_o[9]                               
-wbs_dat_o[8]                               |wbs_dat_o[8]                               
-wbs_dat_o[7]                               |wbs_dat_o[7]                               
-wbs_dat_o[6]                               |wbs_dat_o[6]                               
-wbs_dat_o[5]                               |wbs_dat_o[5]                               
-wbs_dat_o[4]                               |wbs_dat_o[4]                               
-wbs_dat_o[3]                               |wbs_dat_o[3]                               
-wbs_dat_o[2]                               |wbs_dat_o[2]                               
-wbs_dat_o[1]                               |wbs_dat_o[1]                               
+wbs_adr_i[0]                               |wbs_adr_i[0]                               
+wbs_adr_i[10]                              |wbs_adr_i[10]                              
+wbs_adr_i[11]                              |wbs_adr_i[11]                              
+wbs_adr_i[12]                              |wbs_adr_i[12]                              
+wbs_adr_i[13]                              |wbs_adr_i[13]                              
+wbs_adr_i[14]                              |wbs_adr_i[14]                              
+wbs_adr_i[15]                              |wbs_adr_i[15]                              
+wbs_adr_i[16]                              |wbs_adr_i[16]                              
+wbs_adr_i[17]                              |wbs_adr_i[17]                              
+wbs_adr_i[18]                              |wbs_adr_i[18]                              
+wbs_adr_i[19]                              |wbs_adr_i[19]                              
+wbs_adr_i[1]                               |wbs_adr_i[1]                               
+wbs_adr_i[20]                              |wbs_adr_i[20]                              
+wbs_adr_i[21]                              |wbs_adr_i[21]                              
+wbs_adr_i[22]                              |wbs_adr_i[22]                              
+wbs_adr_i[23]                              |wbs_adr_i[23]                              
+wbs_adr_i[24]                              |wbs_adr_i[24]                              
+wbs_adr_i[25]                              |wbs_adr_i[25]                              
+wbs_adr_i[26]                              |wbs_adr_i[26]                              
+wbs_adr_i[27]                              |wbs_adr_i[27]                              
+wbs_adr_i[28]                              |wbs_adr_i[28]                              
+wbs_adr_i[29]                              |wbs_adr_i[29]                              
+wbs_adr_i[2]                               |wbs_adr_i[2]                               
+wbs_adr_i[30]                              |wbs_adr_i[30]                              
+wbs_adr_i[31]                              |wbs_adr_i[31]                              
+wbs_adr_i[3]                               |wbs_adr_i[3]                               
+wbs_adr_i[4]                               |wbs_adr_i[4]                               
+wbs_adr_i[5]                               |wbs_adr_i[5]                               
+wbs_adr_i[6]                               |wbs_adr_i[6]                               
+wbs_adr_i[7]                               |wbs_adr_i[7]                               
+wbs_adr_i[8]                               |wbs_adr_i[8]                               
+wbs_adr_i[9]                               |wbs_adr_i[9]                               
+wbs_cyc_i                                  |wbs_cyc_i                                  
+wbs_dat_i[0]                               |wbs_dat_i[0]                               
+wbs_dat_i[10]                              |wbs_dat_i[10]                              
+wbs_dat_i[11]                              |wbs_dat_i[11]                              
+wbs_dat_i[12]                              |wbs_dat_i[12]                              
+wbs_dat_i[13]                              |wbs_dat_i[13]                              
+wbs_dat_i[14]                              |wbs_dat_i[14]                              
+wbs_dat_i[15]                              |wbs_dat_i[15]                              
+wbs_dat_i[16]                              |wbs_dat_i[16]                              
+wbs_dat_i[17]                              |wbs_dat_i[17]                              
+wbs_dat_i[18]                              |wbs_dat_i[18]                              
+wbs_dat_i[19]                              |wbs_dat_i[19]                              
+wbs_dat_i[1]                               |wbs_dat_i[1]                               
+wbs_dat_i[20]                              |wbs_dat_i[20]                              
+wbs_dat_i[21]                              |wbs_dat_i[21]                              
+wbs_dat_i[22]                              |wbs_dat_i[22]                              
+wbs_dat_i[23]                              |wbs_dat_i[23]                              
+wbs_dat_i[24]                              |wbs_dat_i[24]                              
+wbs_dat_i[25]                              |wbs_dat_i[25]                              
+wbs_dat_i[26]                              |wbs_dat_i[26]                              
+wbs_dat_i[27]                              |wbs_dat_i[27]                              
+wbs_dat_i[28]                              |wbs_dat_i[28]                              
+wbs_dat_i[29]                              |wbs_dat_i[29]                              
+wbs_dat_i[2]                               |wbs_dat_i[2]                               
+wbs_dat_i[30]                              |wbs_dat_i[30]                              
+wbs_dat_i[31]                              |wbs_dat_i[31]                              
+wbs_dat_i[3]                               |wbs_dat_i[3]                               
+wbs_dat_i[4]                               |wbs_dat_i[4]                               
+wbs_dat_i[5]                               |wbs_dat_i[5]                               
+wbs_dat_i[6]                               |wbs_dat_i[6]                               
+wbs_dat_i[7]                               |wbs_dat_i[7]                               
+wbs_dat_i[8]                               |wbs_dat_i[8]                               
+wbs_dat_i[9]                               |wbs_dat_i[9]                               
 wbs_dat_o[0]                               |wbs_dat_o[0]                               
-la_data_in[127]                            |la_data_in[127]                            
-la_data_in[126]                            |la_data_in[126]                            
-la_data_in[125]                            |la_data_in[125]                            
-la_data_in[124]                            |la_data_in[124]                            
-la_data_in[123]                            |la_data_in[123]                            
-la_data_in[122]                            |la_data_in[122]                            
-la_data_in[121]                            |la_data_in[121]                            
-la_data_in[120]                            |la_data_in[120]                            
-la_data_in[119]                            |la_data_in[119]                            
-la_data_in[118]                            |la_data_in[118]                            
-la_data_in[117]                            |la_data_in[117]                            
-la_data_in[116]                            |la_data_in[116]                            
-la_data_in[115]                            |la_data_in[115]                            
-la_data_in[114]                            |la_data_in[114]                            
-la_data_in[113]                            |la_data_in[113]                            
-la_data_in[112]                            |la_data_in[112]                            
-la_data_in[111]                            |la_data_in[111]                            
-la_data_in[110]                            |la_data_in[110]                            
-la_data_in[109]                            |la_data_in[109]                            
-la_data_in[108]                            |la_data_in[108]                            
-la_data_in[107]                            |la_data_in[107]                            
-la_data_in[106]                            |la_data_in[106]                            
-la_data_in[105]                            |la_data_in[105]                            
-la_data_in[104]                            |la_data_in[104]                            
-la_data_in[103]                            |la_data_in[103]                            
-la_data_in[102]                            |la_data_in[102]                            
-la_data_in[101]                            |la_data_in[101]                            
-la_data_in[100]                            |la_data_in[100]                            
-la_data_in[99]                             |la_data_in[99]                             
-la_data_in[98]                             |la_data_in[98]                             
-la_data_in[97]                             |la_data_in[97]                             
-la_data_in[96]                             |la_data_in[96]                             
-la_data_in[95]                             |la_data_in[95]                             
-la_data_in[94]                             |la_data_in[94]                             
-la_data_in[93]                             |la_data_in[93]                             
-la_data_in[92]                             |la_data_in[92]                             
-la_data_in[91]                             |la_data_in[91]                             
-la_data_in[90]                             |la_data_in[90]                             
-la_data_in[89]                             |la_data_in[89]                             
-la_data_in[88]                             |la_data_in[88]                             
-la_data_in[87]                             |la_data_in[87]                             
-la_data_in[86]                             |la_data_in[86]                             
-la_data_in[85]                             |la_data_in[85]                             
-la_data_in[84]                             |la_data_in[84]                             
-la_data_in[83]                             |la_data_in[83]                             
-la_data_in[82]                             |la_data_in[82]                             
-la_data_in[81]                             |la_data_in[81]                             
-la_data_in[80]                             |la_data_in[80]                             
-la_data_in[79]                             |la_data_in[79]                             
-la_data_in[78]                             |la_data_in[78]                             
-la_data_in[77]                             |la_data_in[77]                             
-la_data_in[76]                             |la_data_in[76]                             
-la_data_in[75]                             |la_data_in[75]                             
-la_data_in[74]                             |la_data_in[74]                             
-la_data_in[73]                             |la_data_in[73]                             
-la_data_in[72]                             |la_data_in[72]                             
-la_data_in[71]                             |la_data_in[71]                             
-la_data_in[70]                             |la_data_in[70]                             
-la_data_in[69]                             |la_data_in[69]                             
-la_data_in[68]                             |la_data_in[68]                             
-la_data_in[67]                             |la_data_in[67]                             
-la_data_in[66]                             |la_data_in[66]                             
-la_data_in[65]                             |la_data_in[65]                             
-la_data_in[64]                             |la_data_in[64]                             
-la_data_in[63]                             |la_data_in[63]                             
-la_data_in[62]                             |la_data_in[62]                             
-la_data_in[61]                             |la_data_in[61]                             
-la_data_in[60]                             |la_data_in[60]                             
-la_data_in[59]                             |la_data_in[59]                             
-la_data_in[58]                             |la_data_in[58]                             
-la_data_in[57]                             |la_data_in[57]                             
-la_data_in[56]                             |la_data_in[56]                             
-la_data_in[55]                             |la_data_in[55]                             
-la_data_in[54]                             |la_data_in[54]                             
-la_data_in[53]                             |la_data_in[53]                             
-la_data_in[52]                             |la_data_in[52]                             
-la_data_in[51]                             |la_data_in[51]                             
-la_data_in[50]                             |la_data_in[50]                             
-la_data_in[49]                             |la_data_in[49]                             
-la_data_in[48]                             |la_data_in[48]                             
-la_data_in[47]                             |la_data_in[47]                             
-la_data_in[46]                             |la_data_in[46]                             
-la_data_in[45]                             |la_data_in[45]                             
-la_data_in[44]                             |la_data_in[44]                             
-la_data_in[43]                             |la_data_in[43]                             
-la_data_in[42]                             |la_data_in[42]                             
-la_data_in[41]                             |la_data_in[41]                             
-la_data_in[40]                             |la_data_in[40]                             
-la_data_in[39]                             |la_data_in[39]                             
-la_data_in[38]                             |la_data_in[38]                             
-la_data_in[37]                             |la_data_in[37]                             
-la_data_in[36]                             |la_data_in[36]                             
-la_data_in[35]                             |la_data_in[35]                             
-la_data_in[34]                             |la_data_in[34]                             
-la_data_in[33]                             |la_data_in[33]                             
-la_data_in[32]                             |la_data_in[32]                             
-la_data_in[31]                             |la_data_in[31]                             
-la_data_in[30]                             |la_data_in[30]                             
-la_data_in[29]                             |la_data_in[29]                             
-la_data_in[28]                             |la_data_in[28]                             
-la_data_in[27]                             |la_data_in[27]                             
-la_data_in[26]                             |la_data_in[26]                             
-la_data_in[25]                             |la_data_in[25]                             
-la_data_in[24]                             |la_data_in[24]                             
-la_data_in[23]                             |la_data_in[23]                             
-la_data_in[22]                             |la_data_in[22]                             
-la_data_in[21]                             |la_data_in[21]                             
-la_data_in[20]                             |la_data_in[20]                             
-la_data_in[19]                             |la_data_in[19]                             
-la_data_in[18]                             |la_data_in[18]                             
-la_data_in[17]                             |la_data_in[17]                             
-la_data_in[16]                             |la_data_in[16]                             
-la_data_in[15]                             |la_data_in[15]                             
-la_data_in[14]                             |la_data_in[14]                             
-la_data_in[13]                             |la_data_in[13]                             
-la_data_in[12]                             |la_data_in[12]                             
-la_data_in[11]                             |la_data_in[11]                             
-la_data_in[10]                             |la_data_in[10]                             
-la_data_in[9]                              |la_data_in[9]                              
-la_data_in[8]                              |la_data_in[8]                              
-la_data_in[7]                              |la_data_in[7]                              
-la_data_in[6]                              |la_data_in[6]                              
-la_data_in[5]                              |la_data_in[5]                              
-la_data_in[4]                              |la_data_in[4]                              
-la_data_in[3]                              |la_data_in[3]                              
-la_data_in[2]                              |la_data_in[2]                              
-la_data_in[1]                              |la_data_in[1]                              
-la_data_in[0]                              |la_data_in[0]                              
-la_data_out[127]                           |la_data_out[127]                           
-la_data_out[126]                           |la_data_out[126]                           
-la_data_out[125]                           |la_data_out[125]                           
-la_data_out[124]                           |la_data_out[124]                           
-la_data_out[123]                           |la_data_out[123]                           
-la_data_out[122]                           |la_data_out[122]                           
-la_data_out[121]                           |la_data_out[121]                           
-la_data_out[120]                           |la_data_out[120]                           
-la_data_out[119]                           |la_data_out[119]                           
-la_data_out[118]                           |la_data_out[118]                           
-la_data_out[117]                           |la_data_out[117]                           
-la_data_out[116]                           |la_data_out[116]                           
-la_data_out[115]                           |la_data_out[115]                           
-la_data_out[114]                           |la_data_out[114]                           
-la_data_out[113]                           |la_data_out[113]                           
-la_data_out[112]                           |la_data_out[112]                           
-la_data_out[111]                           |la_data_out[111]                           
-la_data_out[110]                           |la_data_out[110]                           
-la_data_out[109]                           |la_data_out[109]                           
-la_data_out[108]                           |la_data_out[108]                           
-la_data_out[107]                           |la_data_out[107]                           
-la_data_out[106]                           |la_data_out[106]                           
-la_data_out[105]                           |la_data_out[105]                           
-la_data_out[104]                           |la_data_out[104]                           
-la_data_out[103]                           |la_data_out[103]                           
-la_data_out[102]                           |la_data_out[102]                           
-la_data_out[101]                           |la_data_out[101]                           
-la_data_out[100]                           |la_data_out[100]                           
-la_data_out[99]                            |la_data_out[99]                            
-la_data_out[98]                            |la_data_out[98]                            
-la_data_out[97]                            |la_data_out[97]                            
-la_data_out[96]                            |la_data_out[96]                            
-la_data_out[95]                            |la_data_out[95]                            
-la_data_out[94]                            |la_data_out[94]                            
-la_data_out[93]                            |la_data_out[93]                            
-la_data_out[92]                            |la_data_out[92]                            
-la_data_out[91]                            |la_data_out[91]                            
-la_data_out[90]                            |la_data_out[90]                            
-la_data_out[89]                            |la_data_out[89]                            
-la_data_out[88]                            |la_data_out[88]                            
-la_data_out[87]                            |la_data_out[87]                            
-la_data_out[86]                            |la_data_out[86]                            
-la_data_out[85]                            |la_data_out[85]                            
-la_data_out[84]                            |la_data_out[84]                            
-la_data_out[83]                            |la_data_out[83]                            
-la_data_out[82]                            |la_data_out[82]                            
-la_data_out[81]                            |la_data_out[81]                            
-la_data_out[80]                            |la_data_out[80]                            
-la_data_out[79]                            |la_data_out[79]                            
-la_data_out[78]                            |la_data_out[78]                            
-la_data_out[77]                            |la_data_out[77]                            
-la_data_out[76]                            |la_data_out[76]                            
-la_data_out[75]                            |la_data_out[75]                            
-la_data_out[74]                            |la_data_out[74]                            
-la_data_out[73]                            |la_data_out[73]                            
-la_data_out[72]                            |la_data_out[72]                            
-la_data_out[71]                            |la_data_out[71]                            
-la_data_out[70]                            |la_data_out[70]                            
-la_data_out[69]                            |la_data_out[69]                            
-la_data_out[68]                            |la_data_out[68]                            
-la_data_out[67]                            |la_data_out[67]                            
-la_data_out[66]                            |la_data_out[66]                            
-la_data_out[65]                            |la_data_out[65]                            
-la_data_out[64]                            |la_data_out[64]                            
-la_data_out[63]                            |la_data_out[63]                            
-la_data_out[62]                            |la_data_out[62]                            
-la_data_out[61]                            |la_data_out[61]                            
-la_data_out[60]                            |la_data_out[60]                            
-la_data_out[59]                            |la_data_out[59]                            
-la_data_out[58]                            |la_data_out[58]                            
-la_data_out[57]                            |la_data_out[57]                            
-la_data_out[56]                            |la_data_out[56]                            
-la_data_out[55]                            |la_data_out[55]                            
-la_data_out[54]                            |la_data_out[54]                            
-la_data_out[53]                            |la_data_out[53]                            
-la_data_out[52]                            |la_data_out[52]                            
-la_data_out[51]                            |la_data_out[51]                            
-la_data_out[50]                            |la_data_out[50]                            
-la_data_out[49]                            |la_data_out[49]                            
-la_data_out[48]                            |la_data_out[48]                            
-la_data_out[47]                            |la_data_out[47]                            
-la_data_out[46]                            |la_data_out[46]                            
-la_data_out[45]                            |la_data_out[45]                            
-la_data_out[44]                            |la_data_out[44]                            
-la_data_out[43]                            |la_data_out[43]                            
-la_data_out[42]                            |la_data_out[42]                            
-la_data_out[41]                            |la_data_out[41]                            
-la_data_out[40]                            |la_data_out[40]                            
-la_data_out[39]                            |la_data_out[39]                            
-la_data_out[38]                            |la_data_out[38]                            
-la_data_out[37]                            |la_data_out[37]                            
-la_data_out[36]                            |la_data_out[36]                            
-la_data_out[35]                            |la_data_out[35]                            
-la_data_out[34]                            |la_data_out[34]                            
-la_data_out[33]                            |la_data_out[33]                            
-la_data_out[32]                            |la_data_out[32]                            
-la_data_out[31]                            |la_data_out[31]                            
-la_data_out[30]                            |la_data_out[30]                            
-la_data_out[29]                            |la_data_out[29]                            
-la_data_out[28]                            |la_data_out[28]                            
-la_data_out[27]                            |la_data_out[27]                            
-la_data_out[26]                            |la_data_out[26]                            
-la_data_out[25]                            |la_data_out[25]                            
-la_data_out[24]                            |la_data_out[24]                            
-la_data_out[23]                            |la_data_out[23]                            
-la_data_out[22]                            |la_data_out[22]                            
-la_data_out[21]                            |la_data_out[21]                            
-la_data_out[20]                            |la_data_out[20]                            
-la_data_out[19]                            |la_data_out[19]                            
-la_data_out[18]                            |la_data_out[18]                            
-la_data_out[17]                            |la_data_out[17]                            
-la_data_out[16]                            |la_data_out[16]                            
-la_data_out[15]                            |la_data_out[15]                            
-la_data_out[14]                            |la_data_out[14]                            
-la_data_out[13]                            |la_data_out[13]                            
-la_data_out[12]                            |la_data_out[12]                            
-la_data_out[11]                            |la_data_out[11]                            
-la_data_out[10]                            |la_data_out[10]                            
-la_data_out[9]                             |la_data_out[9]                             
-la_data_out[8]                             |la_data_out[8]                             
-la_data_out[7]                             |la_data_out[7]                             
-la_data_out[6]                             |la_data_out[6]                             
-la_data_out[5]                             |la_data_out[5]                             
-la_data_out[4]                             |la_data_out[4]                             
-la_data_out[3]                             |la_data_out[3]                             
-la_data_out[2]                             |la_data_out[2]                             
-la_data_out[1]                             |la_data_out[1]                             
-la_data_out[0]                             |la_data_out[0]                             
-io_in[26]                                  |io_in[26]                                  
-io_in[25]                                  |io_in[25]                                  
-io_in[24]                                  |io_in[24]                                  
-io_in[23]                                  |io_in[23]                                  
-io_in[22]                                  |io_in[22]                                  
-io_in[21]                                  |io_in[21]                                  
-io_in[20]                                  |io_in[20]                                  
-io_in[19]                                  |io_in[19]                                  
-io_in[18]                                  |io_in[18]                                  
-io_in[17]                                  |io_in[17]                                  
-io_in[16]                                  |io_in[16]                                  
-io_in[15]                                  |io_in[15]                                  
-io_in[14]                                  |io_in[14]                                  
-io_in[13]                                  |io_in[13]                                  
-io_in[12]                                  |io_in[12]                                  
-io_in[11]                                  |io_in[11]                                  
-io_in[10]                                  |io_in[10]                                  
-io_in[9]                                   |io_in[9]                                   
-io_in[8]                                   |io_in[8]                                   
-io_in[7]                                   |io_in[7]                                   
-io_in[6]                                   |io_in[6]                                   
-io_in[5]                                   |io_in[5]                                   
-io_in[4]                                   |io_in[4]                                   
-io_in[3]                                   |io_in[3]                                   
-io_in[2]                                   |io_in[2]                                   
-io_in[1]                                   |io_in[1]                                   
-io_in[0]                                   |io_in[0]                                   
-io_in_3v3[26]                              |io_in_3v3[26]                              
-io_in_3v3[25]                              |io_in_3v3[25]                              
-io_in_3v3[24]                              |io_in_3v3[24]                              
-io_in_3v3[23]                              |io_in_3v3[23]                              
-io_in_3v3[22]                              |io_in_3v3[22]                              
-io_in_3v3[21]                              |io_in_3v3[21]                              
-io_in_3v3[20]                              |io_in_3v3[20]                              
-io_in_3v3[19]                              |io_in_3v3[19]                              
-io_in_3v3[18]                              |io_in_3v3[18]                              
-io_in_3v3[17]                              |io_in_3v3[17]                              
-io_in_3v3[16]                              |io_in_3v3[16]                              
-io_in_3v3[15]                              |io_in_3v3[15]                              
-io_in_3v3[14]                              |io_in_3v3[14]                              
-io_in_3v3[13]                              |io_in_3v3[13]                              
-io_in_3v3[12]                              |io_in_3v3[12]                              
-io_in_3v3[11]                              |io_in_3v3[11]                              
-io_in_3v3[10]                              |io_in_3v3[10]                              
-io_in_3v3[9]                               |io_in_3v3[9]                               
-io_in_3v3[8]                               |io_in_3v3[8]                               
-io_in_3v3[7]                               |io_in_3v3[7]                               
-io_in_3v3[6]                               |io_in_3v3[6]                               
-io_in_3v3[5]                               |io_in_3v3[5]                               
-io_in_3v3[4]                               |io_in_3v3[4]                               
-io_in_3v3[3]                               |io_in_3v3[3]                               
-io_in_3v3[2]                               |io_in_3v3[2]                               
-io_in_3v3[1]                               |io_in_3v3[1]                               
-io_in_3v3[0]                               |io_in_3v3[0]                               
-user_clock2                                |user_clock2                                
-io_out[26]                                 |io_out[26]                                 
-io_out[25]                                 |io_out[25]                                 
-io_out[24]                                 |io_out[24]                                 
-io_out[23]                                 |io_out[23]                                 
-io_out[22]                                 |io_out[22]                                 
-io_out[21]                                 |io_out[21]                                 
-io_out[20]                                 |io_out[20]                                 
-io_out[19]                                 |io_out[19]                                 
-io_out[18]                                 |io_out[18]                                 
-io_out[17]                                 |io_out[17]                                 
-io_out[16]                                 |io_out[16]                                 
-io_out[15]                                 |io_out[15]                                 
-io_out[14]                                 |io_out[14]                                 
-io_out[13]                                 |io_out[13]                                 
-io_out[12]                                 |io_out[12]                                 
-io_out[11]                                 |io_out[11]                                 
-io_out[10]                                 |io_out[10]                                 
-io_out[9]                                  |io_out[9]                                  
-io_out[8]                                  |io_out[8]                                  
-io_out[7]                                  |io_out[7]                                  
-io_out[6]                                  |io_out[6]                                  
-io_out[5]                                  |io_out[5]                                  
-io_out[4]                                  |io_out[4]                                  
-io_out[3]                                  |io_out[3]                                  
-io_out[2]                                  |io_out[2]                                  
-io_out[1]                                  |io_out[1]                                  
-io_out[0]                                  |io_out[0]                                  
-io_oeb[26]                                 |io_oeb[26]                                 
-io_oeb[25]                                 |io_oeb[25]                                 
-io_oeb[24]                                 |io_oeb[24]                                 
-io_oeb[23]                                 |io_oeb[23]                                 
-io_oeb[22]                                 |io_oeb[22]                                 
-io_oeb[21]                                 |io_oeb[21]                                 
-io_oeb[20]                                 |io_oeb[20]                                 
-io_oeb[19]                                 |io_oeb[19]                                 
-io_oeb[18]                                 |io_oeb[18]                                 
-io_oeb[17]                                 |io_oeb[17]                                 
-io_oeb[16]                                 |io_oeb[16]                                 
-io_oeb[15]                                 |io_oeb[15]                                 
-io_oeb[14]                                 |io_oeb[14]                                 
-io_oeb[13]                                 |io_oeb[13]                                 
-io_oeb[12]                                 |io_oeb[12]                                 
-io_oeb[11]                                 |io_oeb[11]                                 
-io_oeb[10]                                 |io_oeb[10]                                 
-io_oeb[9]                                  |io_oeb[9]                                  
-io_oeb[8]                                  |io_oeb[8]                                  
-io_oeb[7]                                  |io_oeb[7]                                  
-io_oeb[6]                                  |io_oeb[6]                                  
-io_oeb[5]                                  |io_oeb[5]                                  
-io_oeb[4]                                  |io_oeb[4]                                  
-io_oeb[3]                                  |io_oeb[3]                                  
-io_oeb[2]                                  |io_oeb[2]                                  
-io_oeb[1]                                  |io_oeb[1]                                  
-io_oeb[0]                                  |io_oeb[0]                                  
-gpio_analog[17]                            |gpio_analog[17]                            
-gpio_analog[16]                            |gpio_analog[16]                            
-gpio_analog[15]                            |gpio_analog[15]                            
-gpio_analog[14]                            |gpio_analog[14]                            
-gpio_analog[13]                            |gpio_analog[13]                            
-gpio_analog[12]                            |gpio_analog[12]                            
-gpio_analog[11]                            |gpio_analog[11]                            
-gpio_analog[10]                            |gpio_analog[10]                            
-gpio_analog[9]                             |gpio_analog[9]                             
-gpio_analog[8]                             |gpio_analog[8]                             
-gpio_analog[7]                             |gpio_analog[7]                             
-gpio_analog[6]                             |gpio_analog[6]                             
-gpio_analog[5]                             |gpio_analog[5]                             
-gpio_analog[4]                             |gpio_analog[4]                             
-gpio_analog[3]                             |gpio_analog[3]                             
-gpio_analog[2]                             |gpio_analog[2]                             
-gpio_analog[1]                             |gpio_analog[1]                             
-gpio_analog[0]                             |gpio_analog[0]                             
-gpio_noesd[17]                             |gpio_noesd[17]                             
-gpio_noesd[16]                             |gpio_noesd[16]                             
-gpio_noesd[15]                             |gpio_noesd[15]                             
-gpio_noesd[14]                             |gpio_noesd[14]                             
-gpio_noesd[13]                             |gpio_noesd[13]                             
-gpio_noesd[12]                             |gpio_noesd[12]                             
-gpio_noesd[11]                             |gpio_noesd[11]                             
-gpio_noesd[10]                             |gpio_noesd[10]                             
-gpio_noesd[9]                              |gpio_noesd[9]                              
-gpio_noesd[8]                              |gpio_noesd[8]                              
-gpio_noesd[7]                              |gpio_noesd[7]                              
-gpio_noesd[6]                              |gpio_noesd[6]                              
-gpio_noesd[5]                              |gpio_noesd[5]                              
-gpio_noesd[4]                              |gpio_noesd[4]                              
-gpio_noesd[3]                              |gpio_noesd[3]                              
-gpio_noesd[2]                              |gpio_noesd[2]                              
-gpio_noesd[1]                              |gpio_noesd[1]                              
-gpio_noesd[0]                              |gpio_noesd[0]                              
-io_analog[6]                               |io_analog[6]                               
-io_analog[5]                               |io_analog[5]                               
-io_analog[4]                               |io_analog[4]                               
-io_analog[0]                               |io_analog[0]                               
-io_clamp_high[2]                           |io_clamp_high[2]                           
-io_clamp_high[1]                           |io_clamp_high[1]                           
-io_clamp_high[0]                           |io_clamp_high[0]                           
-io_clamp_low[2]                            |io_clamp_low[2]                            
-io_clamp_low[1]                            |io_clamp_low[1]                            
-io_clamp_low[0]                            |io_clamp_low[0]                            
-user_irq[2]                                |user_irq[2]                                
-user_irq[1]                                |user_irq[1]                                
-user_irq[0]                                |user_irq[0]                                
-la_oenb[127]                               |la_oenb[127]                               
-la_oenb[126]                               |la_oenb[126]                               
-la_oenb[125]                               |la_oenb[125]                               
-la_oenb[124]                               |la_oenb[124]                               
-la_oenb[123]                               |la_oenb[123]                               
-la_oenb[122]                               |la_oenb[122]                               
-la_oenb[121]                               |la_oenb[121]                               
-la_oenb[120]                               |la_oenb[120]                               
-la_oenb[119]                               |la_oenb[119]                               
-la_oenb[118]                               |la_oenb[118]                               
-la_oenb[117]                               |la_oenb[117]                               
-la_oenb[116]                               |la_oenb[116]                               
-la_oenb[115]                               |la_oenb[115]                               
-la_oenb[114]                               |la_oenb[114]                               
-la_oenb[113]                               |la_oenb[113]                               
-la_oenb[112]                               |la_oenb[112]                               
-la_oenb[111]                               |la_oenb[111]                               
-la_oenb[110]                               |la_oenb[110]                               
-la_oenb[109]                               |la_oenb[109]                               
-la_oenb[108]                               |la_oenb[108]                               
-la_oenb[107]                               |la_oenb[107]                               
-la_oenb[106]                               |la_oenb[106]                               
-la_oenb[105]                               |la_oenb[105]                               
-la_oenb[104]                               |la_oenb[104]                               
-la_oenb[103]                               |la_oenb[103]                               
-la_oenb[102]                               |la_oenb[102]                               
-la_oenb[101]                               |la_oenb[101]                               
-la_oenb[100]                               |la_oenb[100]                               
-la_oenb[99]                                |la_oenb[99]                                
-la_oenb[98]                                |la_oenb[98]                                
-la_oenb[97]                                |la_oenb[97]                                
-la_oenb[96]                                |la_oenb[96]                                
-la_oenb[95]                                |la_oenb[95]                                
-la_oenb[94]                                |la_oenb[94]                                
-la_oenb[93]                                |la_oenb[93]                                
-la_oenb[92]                                |la_oenb[92]                                
-la_oenb[91]                                |la_oenb[91]                                
-la_oenb[90]                                |la_oenb[90]                                
-la_oenb[89]                                |la_oenb[89]                                
-la_oenb[88]                                |la_oenb[88]                                
-la_oenb[87]                                |la_oenb[87]                                
-la_oenb[86]                                |la_oenb[86]                                
-la_oenb[85]                                |la_oenb[85]                                
-la_oenb[84]                                |la_oenb[84]                                
-la_oenb[83]                                |la_oenb[83]                                
-la_oenb[82]                                |la_oenb[82]                                
-la_oenb[81]                                |la_oenb[81]                                
-la_oenb[80]                                |la_oenb[80]                                
-la_oenb[79]                                |la_oenb[79]                                
-la_oenb[78]                                |la_oenb[78]                                
-la_oenb[77]                                |la_oenb[77]                                
-la_oenb[76]                                |la_oenb[76]                                
-la_oenb[75]                                |la_oenb[75]                                
-la_oenb[74]                                |la_oenb[74]                                
-la_oenb[73]                                |la_oenb[73]                                
-la_oenb[72]                                |la_oenb[72]                                
-la_oenb[71]                                |la_oenb[71]                                
-la_oenb[70]                                |la_oenb[70]                                
-la_oenb[69]                                |la_oenb[69]                                
-la_oenb[68]                                |la_oenb[68]                                
-la_oenb[67]                                |la_oenb[67]                                
-la_oenb[66]                                |la_oenb[66]                                
-la_oenb[65]                                |la_oenb[65]                                
-la_oenb[64]                                |la_oenb[64]                                
-la_oenb[63]                                |la_oenb[63]                                
-la_oenb[62]                                |la_oenb[62]                                
-la_oenb[61]                                |la_oenb[61]                                
-la_oenb[60]                                |la_oenb[60]                                
-la_oenb[59]                                |la_oenb[59]                                
-la_oenb[58]                                |la_oenb[58]                                
-la_oenb[57]                                |la_oenb[57]                                
-la_oenb[56]                                |la_oenb[56]                                
-la_oenb[55]                                |la_oenb[55]                                
-la_oenb[54]                                |la_oenb[54]                                
-la_oenb[53]                                |la_oenb[53]                                
-la_oenb[52]                                |la_oenb[52]                                
-la_oenb[51]                                |la_oenb[51]                                
-la_oenb[50]                                |la_oenb[50]                                
-la_oenb[49]                                |la_oenb[49]                                
-la_oenb[48]                                |la_oenb[48]                                
-la_oenb[47]                                |la_oenb[47]                                
-la_oenb[46]                                |la_oenb[46]                                
-la_oenb[45]                                |la_oenb[45]                                
-la_oenb[44]                                |la_oenb[44]                                
-la_oenb[43]                                |la_oenb[43]                                
-la_oenb[42]                                |la_oenb[42]                                
-la_oenb[41]                                |la_oenb[41]                                
-la_oenb[40]                                |la_oenb[40]                                
-la_oenb[39]                                |la_oenb[39]                                
-la_oenb[38]                                |la_oenb[38]                                
-la_oenb[37]                                |la_oenb[37]                                
-la_oenb[36]                                |la_oenb[36]                                
-la_oenb[35]                                |la_oenb[35]                                
-la_oenb[34]                                |la_oenb[34]                                
-la_oenb[33]                                |la_oenb[33]                                
-la_oenb[32]                                |la_oenb[32]                                
-la_oenb[31]                                |la_oenb[31]                                
-la_oenb[30]                                |la_oenb[30]                                
-la_oenb[29]                                |la_oenb[29]                                
-la_oenb[28]                                |la_oenb[28]                                
-la_oenb[27]                                |la_oenb[27]                                
-la_oenb[26]                                |la_oenb[26]                                
-la_oenb[25]                                |la_oenb[25]                                
-la_oenb[24]                                |la_oenb[24]                                
-la_oenb[23]                                |la_oenb[23]                                
-la_oenb[22]                                |la_oenb[22]                                
-la_oenb[21]                                |la_oenb[21]                                
-la_oenb[20]                                |la_oenb[20]                                
-la_oenb[19]                                |la_oenb[19]                                
-la_oenb[18]                                |la_oenb[18]                                
-la_oenb[17]                                |la_oenb[17]                                
-la_oenb[16]                                |la_oenb[16]                                
-la_oenb[15]                                |la_oenb[15]                                
-la_oenb[14]                                |la_oenb[14]                                
-la_oenb[13]                                |la_oenb[13]                                
-la_oenb[12]                                |la_oenb[12]                                
-la_oenb[11]                                |la_oenb[11]                                
-la_oenb[10]                                |la_oenb[10]                                
-la_oenb[9]                                 |la_oenb[9]                                 
-la_oenb[8]                                 |la_oenb[8]                                 
-la_oenb[7]                                 |la_oenb[7]                                 
-la_oenb[6]                                 |la_oenb[6]                                 
-la_oenb[5]                                 |la_oenb[5]                                 
-la_oenb[4]                                 |la_oenb[4]                                 
-la_oenb[3]                                 |la_oenb[3]                                 
-la_oenb[2]                                 |la_oenb[2]                                 
-la_oenb[1]                                 |la_oenb[1]                                 
-la_oenb[0]                                 |la_oenb[0]                                 
+wbs_dat_o[10]                              |wbs_dat_o[10]                              
+wbs_dat_o[11]                              |wbs_dat_o[11]                              
+wbs_dat_o[12]                              |wbs_dat_o[12]                              
+wbs_dat_o[13]                              |wbs_dat_o[13]                              
+wbs_dat_o[14]                              |wbs_dat_o[14]                              
+wbs_dat_o[15]                              |wbs_dat_o[15]                              
+wbs_dat_o[16]                              |wbs_dat_o[16]                              
+wbs_dat_o[17]                              |wbs_dat_o[17]                              
+wbs_dat_o[18]                              |wbs_dat_o[18]                              
+wbs_dat_o[19]                              |wbs_dat_o[19]                              
+wbs_dat_o[1]                               |wbs_dat_o[1]                               
+wbs_dat_o[20]                              |wbs_dat_o[20]                              
+wbs_dat_o[21]                              |wbs_dat_o[21]                              
+wbs_dat_o[22]                              |wbs_dat_o[22]                              
+wbs_dat_o[23]                              |wbs_dat_o[23]                              
+wbs_dat_o[24]                              |wbs_dat_o[24]                              
+wbs_dat_o[25]                              |wbs_dat_o[25]                              
+wbs_dat_o[26]                              |wbs_dat_o[26]                              
+wbs_dat_o[27]                              |wbs_dat_o[27]                              
+wbs_dat_o[28]                              |wbs_dat_o[28]                              
+wbs_dat_o[29]                              |wbs_dat_o[29]                              
+wbs_dat_o[2]                               |wbs_dat_o[2]                               
+wbs_dat_o[30]                              |wbs_dat_o[30]                              
+wbs_dat_o[31]                              |wbs_dat_o[31]                              
+wbs_dat_o[3]                               |wbs_dat_o[3]                               
+wbs_dat_o[4]                               |wbs_dat_o[4]                               
+wbs_dat_o[5]                               |wbs_dat_o[5]                               
+wbs_dat_o[6]                               |wbs_dat_o[6]                               
+wbs_dat_o[7]                               |wbs_dat_o[7]                               
+wbs_dat_o[8]                               |wbs_dat_o[8]                               
+wbs_dat_o[9]                               |wbs_dat_o[9]                               
+wbs_sel_i[0]                               |wbs_sel_i[0]                               
+wbs_sel_i[1]                               |wbs_sel_i[1]                               
+wbs_sel_i[2]                               |wbs_sel_i[2]                               
+wbs_sel_i[3]                               |wbs_sel_i[3]                               
+wbs_stb_i                                  |wbs_stb_i                                  
+wbs_we_i                                   |wbs_we_i                                   
 ---------------------------------------------------------------------------------------
 Cell pin lists are equivalent.
 Device classes user_analog_project_wrapper and user_analog_project_wrapper are equivalent.
diff --git a/netgen/det.out b/netgen/det.out
index 6cf87e6..1e7a36a 100644
--- a/netgen/det.out
+++ b/netgen/det.out
@@ -43,7 +43,7 @@
 sky130_fd_pr__cap_mim_m3_1 (2)             |sky130_fd_pr__cap_mim_m3_1 (2)             
 sky130_fd_pr__res_xhigh_po (1)             |sky130_fd_pr__res_xhigh_po (1)             
 Number of devices: 4                       |Number of devices: 4                       
-Number of nets: 5                          |Number of nets: 5                          
+Number of nets: 6                          |Number of nets: 6                          
 ---------------------------------------------------------------------------------------
 Circuits match uniquely.
 Netlists match uniquely.
@@ -52,6 +52,7 @@
 Circuit 1: detector                        |Circuit 2: detV2                           
 -------------------------------------------|-------------------------------------------
 do                                         |do                                         
+Vsub                                       |vbody **Mismatch**                         
 gnd                                        |gnd                                        
 din                                        |din                                        
 dB                                         |db                                         
diff --git a/netgen/det2.out b/netgen/det2.out
new file mode 100644
index 0000000..6cf87e6
--- /dev/null
+++ b/netgen/det2.out
@@ -0,0 +1,61 @@
+Flattening unmatched subcell sky130_fd_pr__res_xhigh_po_0p35_HDW2JU in circuit detV2 (1)(1 instance)
+Warning: Equate pins:  cell sky130_fd_pr__res_xhigh_po has no definition, treated as a black box.
+Warning: Equate pins:  cell sky130_fd_pr__res_xhigh_po has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_pr__res_xhigh_po      |Circuit 2: sky130_fd_pr__res_xhigh_po      
+-------------------------------------------|-------------------------------------------
+1                                          |1                                          
+2                                          |2                                          
+3                                          |3                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_pr__res_xhigh_po and sky130_fd_pr__res_xhigh_po are equivalent.
+Warning: Equate pins:  cell sky130_fd_pr__nfet_01v8 has no definition, treated as a black box.
+Warning: Equate pins:  cell sky130_fd_pr__nfet_01v8 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_pr__nfet_01v8         |Circuit 2: sky130_fd_pr__nfet_01v8         
+-------------------------------------------|-------------------------------------------
+1                                          |1                                          
+2                                          |2                                          
+3                                          |3                                          
+4                                          |4                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_pr__nfet_01v8 and sky130_fd_pr__nfet_01v8 are equivalent.
+Warning: Equate pins:  cell sky130_fd_pr__cap_mim_m3_1 has no definition, treated as a black box.
+Warning: Equate pins:  cell sky130_fd_pr__cap_mim_m3_1 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_pr__cap_mim_m3_1      |Circuit 2: sky130_fd_pr__cap_mim_m3_1      
+-------------------------------------------|-------------------------------------------
+1                                          |1                                          
+2                                          |2                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_pr__cap_mim_m3_1 and sky130_fd_pr__cap_mim_m3_1 are equivalent.
+
+Subcircuit summary:
+Circuit 1: detector                        |Circuit 2: detV2                           
+-------------------------------------------|-------------------------------------------
+sky130_fd_pr__nfet_01v8 (1)                |sky130_fd_pr__nfet_01v8 (1)                
+sky130_fd_pr__cap_mim_m3_1 (2)             |sky130_fd_pr__cap_mim_m3_1 (2)             
+sky130_fd_pr__res_xhigh_po (1)             |sky130_fd_pr__res_xhigh_po (1)             
+Number of devices: 4                       |Number of devices: 4                       
+Number of nets: 5                          |Number of nets: 5                          
+---------------------------------------------------------------------------------------
+Circuits match uniquely.
+Netlists match uniquely.
+
+Subcircuit pins:
+Circuit 1: detector                        |Circuit 2: detV2                           
+-------------------------------------------|-------------------------------------------
+do                                         |do                                         
+gnd                                        |gnd                                        
+din                                        |din                                        
+dB                                         |db                                         
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes detector and detV2 are equivalent.
+Circuits match uniquely.
diff --git a/netgen/detV2.spice b/netgen/detV2.spice
index 63aa34d..3929a39 100644
--- a/netgen/detV2.spice
+++ b/netgen/detV2.spice
@@ -4,10 +4,10 @@
 X0 a_n35_n2292# a_n35_1860# VSUBS sky130_fd_pr__res_xhigh_po w=350000u l=1.86e+07u
 .ends
 
-.subckt detV2 din db do gnd
-XR bot_cin do gnd sky130_fd_pr__res_xhigh_po_0p35_HDW2JU
+.subckt detV2 din db do gnd vbody
+XR bot_cin do vbody sky130_fd_pr__res_xhigh_po_0p35_HDW2JU
 X0 din bot_cin sky130_fd_pr__cap_mim_m3_1 l=8.7e+07u w=8.7e+07u
 X1 do gnd sky130_fd_pr__cap_mim_m3_1 l=8.7e+07u w=8.7e+07u
-X2 gnd db bot_cin gnd sky130_fd_pr__nfet_01v8 w=2.25e+07u l=150000u
+X2 gnd db bot_cin vbody sky130_fd_pr__nfet_01v8 w=2.25e+07u l=150000u
 .ends
 
diff --git a/netgen/ota2.out b/netgen/ota2.out
new file mode 100644
index 0000000..5e45c84
--- /dev/null
+++ b/netgen/ota2.out
@@ -0,0 +1,64 @@
+Warning: Equate pins:  cell sky130_fd_pr__pfet_01v8 has no definition, treated as a black box.
+Warning: Equate pins:  cell sky130_fd_pr__pfet_01v8 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_pr__pfet_01v8         |Circuit 2: sky130_fd_pr__pfet_01v8         
+-------------------------------------------|-------------------------------------------
+1                                          |1                                          
+2                                          |2                                          
+3                                          |3                                          
+4                                          |4                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_pr__pfet_01v8 and sky130_fd_pr__pfet_01v8 are equivalent.
+Warning: Equate pins:  cell sky130_fd_pr__nfet_01v8 has no definition, treated as a black box.
+Warning: Equate pins:  cell sky130_fd_pr__nfet_01v8 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_pr__nfet_01v8         |Circuit 2: sky130_fd_pr__nfet_01v8         
+-------------------------------------------|-------------------------------------------
+1                                          |1                                          
+2                                          |2                                          
+3                                          |3                                          
+4                                          |4                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_pr__nfet_01v8 and sky130_fd_pr__nfet_01v8 are equivalent.
+Warning: Equate pins:  cell sky130_fd_pr__cap_mim_m3_1 has no definition, treated as a black box.
+Warning: Equate pins:  cell sky130_fd_pr__cap_mim_m3_1 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_pr__cap_mim_m3_1      |Circuit 2: sky130_fd_pr__cap_mim_m3_1      
+-------------------------------------------|-------------------------------------------
+1                                          |1                                          
+2                                          |2                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_pr__cap_mim_m3_1 and sky130_fd_pr__cap_mim_m3_1 are equivalent.
+
+Subcircuit summary:
+Circuit 1: OTA                             |Circuit 2: otaV5                           
+-------------------------------------------|-------------------------------------------
+sky130_fd_pr__pfet_01v8 (6)                |sky130_fd_pr__pfet_01v8 (6)                
+sky130_fd_pr__nfet_01v8 (4)                |sky130_fd_pr__nfet_01v8 (4)                
+sky130_fd_pr__cap_mim_m3_1 (1)             |sky130_fd_pr__cap_mim_m3_1 (1)             
+Number of devices: 11                      |Number of devices: 11                      
+Number of nets: 11                         |Number of nets: 11                         
+---------------------------------------------------------------------------------------
+Circuits match uniquely.
+Netlists match uniquely.
+
+Subcircuit pins:
+Circuit 1: OTA                             |Circuit 2: otaV5                           
+-------------------------------------------|-------------------------------------------
+Vn                                         |Vn                                         
+cltop                                      |cltop                                      
+inn                                        |Inn                                        
+inp                                        |Inp                                        
+vout                                       |Vout                                       
+ib                                         |Ib                                         
+Vp                                         |Vp                                         
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes OTA and otaV5 are equivalent.
+Circuits match uniquely.
diff --git a/netgen/run_lvs_wrapper_verilog.sh b/netgen/run_lvs_wrapper_verilog.sh
deleted file mode 100755
index e54f6f6..0000000
--- a/netgen/run_lvs_wrapper_verilog.sh
+++ /dev/null
@@ -1,22 +0,0 @@
-#!/bin/sh
-# SPDX-FileCopyrightText: 2020 Efabless Corporation
-#
-# Licensed under the Apache License, Version 2.0 (the "License");
-# you may not use this file except in compliance with the License.
-# You may obtain a copy of the License at
-#
-#      http://www.apache.org/licenses/LICENSE-2.0
-#
-# Unless required by applicable law or agreed to in writing, software
-# distributed under the License is distributed on an "AS IS" BASIS,
-# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-# See the License for the specific language governing permissions and
-# limitations under the License.
-# SPDX-License-Identifier: Apache-2.0
-
-#--------------------------------------------------------------------------------
-# Run LVS on the user_analog_project_wrapper layout, comparing against the
-# top-level verilog module.
-#
-#--------------------------------------------------------------------------------
-netgen -batch lvs "user_analog_project_wrapper.spice user_analog_project_wrapper" "../verilog/rtl/user_analog_project_wrapper.v user_analog_project_wrapper" /usr/share/pdk/sky130A/libs.tech/netgen/sky130A_setup.tcl comp.out
diff --git a/netgen/user_analog_project_wrapper.spice b/netgen/user_analog_project_wrapper.spice
index 9798fc6..070b34c 100644
--- a/netgen/user_analog_project_wrapper.spice
+++ b/netgen/user_analog_project_wrapper.spice
@@ -1,149 +1,137 @@
-** sch_path:
-*+ /home/wisla/sky130_skel/Myschematics/Receptor/my_chip_V0/xschem/user_analog_project_wrapper.sch
-.subckt user_analog_project_wrapper vdda1 vdda2 vssa1 vssa2 vccd1 vccd2 vssd1 vssd2 wb_clk_i
-+ wb_rst_i wbs_stb_i wbs_cyc_i wbs_we_i wbs_sel_i[3] wbs_sel_i[2] wbs_sel_i[1] wbs_sel_i[0] wbs_dat_i[31]
-+ wbs_dat_i[30] wbs_dat_i[29] wbs_dat_i[28] wbs_dat_i[27] wbs_dat_i[26] wbs_dat_i[25] wbs_dat_i[24] wbs_dat_i[23]
-+ wbs_dat_i[22] wbs_dat_i[21] wbs_dat_i[20] wbs_dat_i[19] wbs_dat_i[18] wbs_dat_i[17] wbs_dat_i[16] wbs_dat_i[15]
-+ wbs_dat_i[14] wbs_dat_i[13] wbs_dat_i[12] wbs_dat_i[11] wbs_dat_i[10] wbs_dat_i[9] wbs_dat_i[8] wbs_dat_i[7]
-+ wbs_dat_i[6] wbs_dat_i[5] wbs_dat_i[4] wbs_dat_i[3] wbs_dat_i[2] wbs_dat_i[1] wbs_dat_i[0] wbs_adr_i[31]
-+ wbs_adr_i[30] wbs_adr_i[29] wbs_adr_i[28] wbs_adr_i[27] wbs_adr_i[26] wbs_adr_i[25] wbs_adr_i[24] wbs_adr_i[23]
-+ wbs_adr_i[22] wbs_adr_i[21] wbs_adr_i[20] wbs_adr_i[19] wbs_adr_i[18] wbs_adr_i[17] wbs_adr_i[16] wbs_adr_i[15]
-+ wbs_adr_i[14] wbs_adr_i[13] wbs_adr_i[12] wbs_adr_i[11] wbs_adr_i[10] wbs_adr_i[9] wbs_adr_i[8] wbs_adr_i[7]
-+ wbs_adr_i[6] wbs_adr_i[5] wbs_adr_i[4] wbs_adr_i[3] wbs_adr_i[2] wbs_adr_i[1] wbs_adr_i[0] wbs_ack_o
-+ wbs_dat_o[31] wbs_dat_o[30] wbs_dat_o[29] wbs_dat_o[28] wbs_dat_o[27] wbs_dat_o[26] wbs_dat_o[25] wbs_dat_o[24]
-+ wbs_dat_o[23] wbs_dat_o[22] wbs_dat_o[21] wbs_dat_o[20] wbs_dat_o[19] wbs_dat_o[18] wbs_dat_o[17] wbs_dat_o[16]
-+ wbs_dat_o[15] wbs_dat_o[14] wbs_dat_o[13] wbs_dat_o[12] wbs_dat_o[11] wbs_dat_o[10] wbs_dat_o[9] wbs_dat_o[8]
-+ wbs_dat_o[7] wbs_dat_o[6] wbs_dat_o[5] wbs_dat_o[4] wbs_dat_o[3] wbs_dat_o[2] wbs_dat_o[1] wbs_dat_o[0]
-+ la_data_in[127] la_data_in[126] la_data_in[125] la_data_in[124] la_data_in[123] la_data_in[122] la_data_in[121]
-+ la_data_in[120] la_data_in[119] la_data_in[118] la_data_in[117] la_data_in[116] la_data_in[115] la_data_in[114]
-+ la_data_in[113] la_data_in[112] la_data_in[111] la_data_in[110] la_data_in[109] la_data_in[108] la_data_in[107]
-+ la_data_in[106] la_data_in[105] la_data_in[104] la_data_in[103] la_data_in[102] la_data_in[101] la_data_in[100]
-+ la_data_in[99] la_data_in[98] la_data_in[97] la_data_in[96] la_data_in[95] la_data_in[94] la_data_in[93]
-+ la_data_in[92] la_data_in[91] la_data_in[90] la_data_in[89] la_data_in[88] la_data_in[87] la_data_in[86]
-+ la_data_in[85] la_data_in[84] la_data_in[83] la_data_in[82] la_data_in[81] la_data_in[80] la_data_in[79]
-+ la_data_in[78] la_data_in[77] la_data_in[76] la_data_in[75] la_data_in[74] la_data_in[73] la_data_in[72]
-+ la_data_in[71] la_data_in[70] la_data_in[69] la_data_in[68] la_data_in[67] la_data_in[66] la_data_in[65]
-+ la_data_in[64] la_data_in[63] la_data_in[62] la_data_in[61] la_data_in[60] la_data_in[59] la_data_in[58]
-+ la_data_in[57] la_data_in[56] la_data_in[55] la_data_in[54] la_data_in[53] la_data_in[52] la_data_in[51]
-+ la_data_in[50] la_data_in[49] la_data_in[48] la_data_in[47] la_data_in[46] la_data_in[45] la_data_in[44]
-+ la_data_in[43] la_data_in[42] la_data_in[41] la_data_in[40] la_data_in[39] la_data_in[38] la_data_in[37]
-+ la_data_in[36] la_data_in[35] la_data_in[34] la_data_in[33] la_data_in[32] la_data_in[31] la_data_in[30]
-+ la_data_in[29] la_data_in[28] la_data_in[27] la_data_in[26] la_data_in[25] la_data_in[24] la_data_in[23]
-+ la_data_in[22] la_data_in[21] la_data_in[20] la_data_in[19] la_data_in[18] la_data_in[17] la_data_in[16]
-+ la_data_in[15] la_data_in[14] la_data_in[13] la_data_in[12] la_data_in[11] la_data_in[10] la_data_in[9]
-+ la_data_in[8] la_data_in[7] la_data_in[6] la_data_in[5] la_data_in[4] la_data_in[3] la_data_in[2] la_data_in[1]
-+ la_data_in[0] la_data_out[127] la_data_out[126] la_data_out[125] la_data_out[124] la_data_out[123]
-+ la_data_out[122] la_data_out[121] la_data_out[120] la_data_out[119] la_data_out[118] la_data_out[117]
-+ la_data_out[116] la_data_out[115] la_data_out[114] la_data_out[113] la_data_out[112] la_data_out[111]
-+ la_data_out[110] la_data_out[109] la_data_out[108] la_data_out[107] la_data_out[106] la_data_out[105]
-+ la_data_out[104] la_data_out[103] la_data_out[102] la_data_out[101] la_data_out[100] la_data_out[99] la_data_out[98]
-+ la_data_out[97] la_data_out[96] la_data_out[95] la_data_out[94] la_data_out[93] la_data_out[92] la_data_out[91]
-+ la_data_out[90] la_data_out[89] la_data_out[88] la_data_out[87] la_data_out[86] la_data_out[85] la_data_out[84]
-+ la_data_out[83] la_data_out[82] la_data_out[81] la_data_out[80] la_data_out[79] la_data_out[78] la_data_out[77]
-+ la_data_out[76] la_data_out[75] la_data_out[74] la_data_out[73] la_data_out[72] la_data_out[71] la_data_out[70]
-+ la_data_out[69] la_data_out[68] la_data_out[67] la_data_out[66] la_data_out[65] la_data_out[64] la_data_out[63]
-+ la_data_out[62] la_data_out[61] la_data_out[60] la_data_out[59] la_data_out[58] la_data_out[57] la_data_out[56]
-+ la_data_out[55] la_data_out[54] la_data_out[53] la_data_out[52] la_data_out[51] la_data_out[50] la_data_out[49]
-+ la_data_out[48] la_data_out[47] la_data_out[46] la_data_out[45] la_data_out[44] la_data_out[43] la_data_out[42]
-+ la_data_out[41] la_data_out[40] la_data_out[39] la_data_out[38] la_data_out[37] la_data_out[36] la_data_out[35]
-+ la_data_out[34] la_data_out[33] la_data_out[32] la_data_out[31] la_data_out[30] la_data_out[29] la_data_out[28]
-+ la_data_out[27] la_data_out[26] la_data_out[25] la_data_out[24] la_data_out[23] la_data_out[22] la_data_out[21]
-+ la_data_out[20] la_data_out[19] la_data_out[18] la_data_out[17] la_data_out[16] la_data_out[15] la_data_out[14]
-+ la_data_out[13] la_data_out[12] la_data_out[11] la_data_out[10] la_data_out[9] la_data_out[8] la_data_out[7]
-+ la_data_out[6] la_data_out[5] la_data_out[4] la_data_out[3] la_data_out[2] la_data_out[1] la_data_out[0] io_in[26]
-+ io_in[25] io_in[24] io_in[23] io_in[22] io_in[21] io_in[20] io_in[19] io_in[18] io_in[17] io_in[16] io_in[15]
-+ io_in[14] io_in[13] io_in[12] io_in[11] io_in[10] io_in[9] io_in[8] io_in[7] io_in[6] io_in[5] io_in[4]
-+ io_in[3] io_in[2] io_in[1] io_in[0] io_in_3v3[26] io_in_3v3[25] io_in_3v3[24] io_in_3v3[23] io_in_3v3[22]
-+ io_in_3v3[21] io_in_3v3[20] io_in_3v3[19] io_in_3v3[18] io_in_3v3[17] io_in_3v3[16] io_in_3v3[15] io_in_3v3[14]
-+ io_in_3v3[13] io_in_3v3[12] io_in_3v3[11] io_in_3v3[10] io_in_3v3[9] io_in_3v3[8] io_in_3v3[7] io_in_3v3[6]
-+ io_in_3v3[5] io_in_3v3[4] io_in_3v3[3] io_in_3v3[2] io_in_3v3[1] io_in_3v3[0] user_clock2 io_out[26] io_out[25]
-+ io_out[24] io_out[23] io_out[22] io_out[21] io_out[20] io_out[19] io_out[18] io_out[17] io_out[16] io_out[15]
-+ io_out[14] io_out[13] io_out[12] io_out[11] io_out[10] io_out[9] io_out[8] io_out[7] io_out[6] io_out[5]
-+ io_out[4] io_out[3] io_out[2] io_out[1] io_out[0] io_oeb[26] io_oeb[25] io_oeb[24] io_oeb[23] io_oeb[22]
-+ io_oeb[21] io_oeb[20] io_oeb[19] io_oeb[18] io_oeb[17] io_oeb[16] io_oeb[15] io_oeb[14] io_oeb[13] io_oeb[12]
-+ io_oeb[11] io_oeb[10] io_oeb[9] io_oeb[8] io_oeb[7] io_oeb[6] io_oeb[5] io_oeb[4] io_oeb[3] io_oeb[2]
-+ io_oeb[1] io_oeb[0] gpio_analog[17] gpio_analog[16] gpio_analog[15] gpio_analog[14] gpio_analog[13]
-+ gpio_analog[12] gpio_analog[11] gpio_analog[10] gpio_analog[9] gpio_analog[8] gpio_analog[7] gpio_analog[6]
-+ gpio_analog[5] gpio_analog[4] gpio_analog[3] gpio_analog[2] gpio_analog[1] gpio_analog[0] gpio_noesd[17]
-+ gpio_noesd[16] gpio_noesd[15] gpio_noesd[14] gpio_noesd[13] gpio_noesd[12] gpio_noesd[11] gpio_noesd[10]
-+ gpio_noesd[9] gpio_noesd[8] gpio_noesd[7] gpio_noesd[6] gpio_noesd[5] gpio_noesd[4] gpio_noesd[3] gpio_noesd[2]
-+ gpio_noesd[1] gpio_noesd[0] io_analog[10] io_analog[9] io_analog[8] io_analog[7] io_analog[6] io_analog[5]
-+ io_analog[4] io_analog[3] io_analog[2] io_analog[1] io_analog[0] io_clamp_high[2] io_clamp_high[1]
-+ io_clamp_high[0] io_clamp_low[2] io_clamp_low[1] io_clamp_low[0] user_irq[2] user_irq[1] user_irq[0] la_oenb[127]
-+ la_oenb[126] la_oenb[125] la_oenb[124] la_oenb[123] la_oenb[122] la_oenb[121] la_oenb[120] la_oenb[119]
-+ la_oenb[118] la_oenb[117] la_oenb[116] la_oenb[115] la_oenb[114] la_oenb[113] la_oenb[112] la_oenb[111]
-+ la_oenb[110] la_oenb[109] la_oenb[108] la_oenb[107] la_oenb[106] la_oenb[105] la_oenb[104] la_oenb[103]
-+ la_oenb[102] la_oenb[101] la_oenb[100] la_oenb[99] la_oenb[98] la_oenb[97] la_oenb[96] la_oenb[95] la_oenb[94]
-+ la_oenb[93] la_oenb[92] la_oenb[91] la_oenb[90] la_oenb[89] la_oenb[88] la_oenb[87] la_oenb[86] la_oenb[85]
-+ la_oenb[84] la_oenb[83] la_oenb[82] la_oenb[81] la_oenb[80] la_oenb[79] la_oenb[78] la_oenb[77] la_oenb[76]
-+ la_oenb[75] la_oenb[74] la_oenb[73] la_oenb[72] la_oenb[71] la_oenb[70] la_oenb[69] la_oenb[68] la_oenb[67]
-+ la_oenb[66] la_oenb[65] la_oenb[64] la_oenb[63] la_oenb[62] la_oenb[61] la_oenb[60] la_oenb[59] la_oenb[58]
-+ la_oenb[57] la_oenb[56] la_oenb[55] la_oenb[54] la_oenb[53] la_oenb[52] la_oenb[51] la_oenb[50] la_oenb[49]
-+ la_oenb[48] la_oenb[47] la_oenb[46] la_oenb[45] la_oenb[44] la_oenb[43] la_oenb[42] la_oenb[41] la_oenb[40]
-+ la_oenb[39] la_oenb[38] la_oenb[37] la_oenb[36] la_oenb[35] la_oenb[34] la_oenb[33] la_oenb[32] la_oenb[31]
-+ la_oenb[30] la_oenb[29] la_oenb[28] la_oenb[27] la_oenb[26] la_oenb[25] la_oenb[24] la_oenb[23] la_oenb[22]
-+ la_oenb[21] la_oenb[20] la_oenb[19] la_oenb[18] la_oenb[17] la_oenb[16] la_oenb[15] la_oenb[14] la_oenb[13]
-+ la_oenb[12] la_oenb[11] la_oenb[10] la_oenb[9] la_oenb[8] la_oenb[7] la_oenb[6] la_oenb[5] la_oenb[4]
-+ la_oenb[3] la_oenb[2] la_oenb[1] la_oenb[0]
-*.PININFO vdda1:B vdda2:B vssa1:B vssa2:B vccd1:B vccd2:B vssd1:B vssd2:B wb_clk_i:I wb_rst_i:I
-*+ wbs_stb_i:I wbs_cyc_i:I wbs_we_i:I wbs_sel_i[3:0]:I wbs_dat_i[31:0]:I wbs_adr_i[31:0]:I wbs_ack_o:O
-*+ wbs_dat_o[31:0]:O la_data_in[127:0]:I la_data_out[127:0]:O io_in[26:0]:I io_in_3v3[26:0]:I user_clock2:I
-*+ io_out[26:0]:O io_oeb[26:0]:O gpio_analog[17:0]:B gpio_noesd[17:0]:B io_analog[10:0]:B io_clamp_high[2:0]:B
-*+ io_clamp_low[2:0]:B user_irq[2:0]:O la_oenb[127:0]:I
-Xdet io_analog[3] io_analog[2] io_analog[1] vssa1 detector
-X1 vccd1 io_analog[7] io_analog[10] vssa2 io_analog[8] io_analog[9] vccd2 OTA
+* NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A
+
+.subckt sky130_fd_pr__res_xhigh_po_0p35_HDW2JU a_n35_1860# a_n35_n2292# VSUBS
+X0 a_n35_n2292# a_n35_1860# VSUBS sky130_fd_pr__res_xhigh_po w=350000u l=1.86e+07u
 .ends
 
-* expanding   symbol:  detector.sym # of pins=4
-** sym_path: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip_V0/xschem/detector.sym
-** sch_path: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip_V0/xschem/detector.sch
-.subckt detector  din do dB gnd
-*.PININFO din:I dB:I do:O gnd:I
-XM1 net1 dB gnd gnd sky130_fd_pr__nfet_01v8 L=0.15 W=22.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
-+ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
-+ sa=0 sb=0 sd=0 mult=1 m=1
-XC1 do gnd sky130_fd_pr__cap_mim_m3_1 W=87 L=87 MF=1 m=1
-XC2 din net1 sky130_fd_pr__cap_mim_m3_1 W=87 L=87 MF=1 m=1
-XR2 net1 do gnd sky130_fd_pr__res_xhigh_po W=0.35 L=18.6 mult=1 m=1
+.subckt detV2 din db do gnd vbody
+XR bot_cin do vbody sky130_fd_pr__res_xhigh_po_0p35_HDW2JU
+X0 din bot_cin sky130_fd_pr__cap_mim_m3_1 l=8.7e+07u w=8.7e+07u
+X1 do gnd sky130_fd_pr__cap_mim_m3_1 l=8.7e+07u w=8.7e+07u
+X2 gnd db bot_cin vbody sky130_fd_pr__nfet_01v8 w=2.25e+07u l=150000u
 .ends
 
-
-* expanding   symbol:  OTA.sym # of pins=7
-** sym_path: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip_V0/xschem/OTA.sym
-** sch_path: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip_V0/xschem/OTA.sch
-.subckt OTA  Vp vout ib cltop inn inp Vn
-*.PININFO inp:I inn:I vout:O Vp:B Vn:B ib:I cltop:O
-XM7 net1 net1 Vp Vp sky130_fd_pr__pfet_01v8 L=1 W=1.4 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
-+ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
-+ sa=0 sb=0 sd=0 mult=1 m=1
-XM8 vout net1 Vp Vp sky130_fd_pr__pfet_01v8 L=1 W=1.4 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
-+ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
-+ sa=0 sb=0 sd=0 mult=1 m=1
-XM9 ib ib Vp Vp sky130_fd_pr__pfet_01v8 L=4 W=5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
-+ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
-+ sa=0 sb=0 sd=0 mult=1 m=1
-XM10 net4 ib Vp Vp sky130_fd_pr__pfet_01v8 L=4 W=5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
-+ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
-+ sa=0 sb=0 sd=0 mult=1 m=1
-XM1 net2 inp net4 Vp sky130_fd_pr__pfet_01v8 L=2 W=1.7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
-+ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
-+ sa=0 sb=0 sd=0 mult=1 m=1
-XM2 net3 inn net4 Vp sky130_fd_pr__pfet_01v8 L=2 W=1.7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
-+ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
-+ sa=0 sb=0 sd=0 mult=1 m=1
-XM3 net2 net2 Vn Vn sky130_fd_pr__nfet_01v8 L=4 W=0.8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
-+ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
-+ sa=0 sb=0 sd=0 mult=1 m=1
-XM4 net3 net3 Vn Vn sky130_fd_pr__nfet_01v8 L=4 W=0.8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
-+ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
-+ sa=0 sb=0 sd=0 mult=1 m=1
-XM6 vout net3 Vn Vn sky130_fd_pr__nfet_01v8 L=4 W=0.8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
-+ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
-+ sa=0 sb=0 sd=0 mult=1 m=1
-XM5 net1 net2 Vn Vn sky130_fd_pr__nfet_01v8 L=4 W=0.8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
-+ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
-+ sa=0 sb=0 sd=0 mult=1 m=1
-XC1 cltop vout sky130_fd_pr__cap_mim_m3_1 W=32 L=32 MF=1 m=1
+.subckt otaV5 Inp Inn Vout Ib cltop Vp Vn
+X0 Vn a_6890_1010# a_6890_750# Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
+X1 a_7570_1796# Inp a_6890_1010# Vp sky130_fd_pr__pfet_01v8 w=1.7e+06u l=2e+06u
+X2 Vn a_8070_1014# a_8070_1014# Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
+X3 Vn a_6890_1010# a_6890_1010# Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
+X4 Vp a_6890_750# Vout Vp sky130_fd_pr__pfet_01v8 w=1.4e+06u l=1e+06u
+X5 Vp Ib a_7570_1796# Vp sky130_fd_pr__pfet_01v8 w=5e+06u l=4e+06u
+X6 cltop Vout sky130_fd_pr__cap_mim_m3_1 l=3.2e+07u w=3.2e+07u
+X7 Vn a_8070_1014# Vout Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
+X8 Vp a_6890_750# a_6890_750# Vp sky130_fd_pr__pfet_01v8 w=1.4e+06u l=1e+06u
+X9 a_8070_1014# Inn a_7570_1796# Vp sky130_fd_pr__pfet_01v8 w=1.7e+06u l=2e+06u
+X10 Vp Ib Ib Vp sky130_fd_pr__pfet_01v8 w=5e+06u l=4e+06u
 .ends
 
-.end
+.subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11]
++ gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16]
++ gpio_analog[17] gpio_analog[1] gpio_analog[2] gpio_analog[3] gpio_analog[4] gpio_analog[5]
++ gpio_analog[6] gpio_analog[7] gpio_analog[8] gpio_analog[9] gpio_noesd[0] gpio_noesd[10]
++ gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16]
++ gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5]
++ gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[10]
++ io_analog[1] io_analog[2] io_analog[3] io_analog[7] io_analog[8] io_analog[9] io_analog[4]
++ io_analog[5] io_analog[6] io_clamp_high[0] io_clamp_high[1] io_clamp_high[2] io_clamp_low[0]
++ io_clamp_low[1] io_clamp_low[2] io_in[0] io_in[10] io_in[11] io_in[12] io_in[13]
++ io_in[14] io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21]
++ io_in[22] io_in[23] io_in[24] io_in[25] io_in[26] io_in[2] io_in[3] io_in[4] io_in[5]
++ io_in[6] io_in[7] io_in[8] io_in[9] io_in_3v3[0] io_in_3v3[10] io_in_3v3[11] io_in_3v3[12]
++ io_in_3v3[13] io_in_3v3[14] io_in_3v3[15] io_in_3v3[16] io_in_3v3[17] io_in_3v3[18]
++ io_in_3v3[19] io_in_3v3[1] io_in_3v3[20] io_in_3v3[21] io_in_3v3[22] io_in_3v3[23]
++ io_in_3v3[24] io_in_3v3[25] io_in_3v3[26] io_in_3v3[2] io_in_3v3[3] io_in_3v3[4]
++ io_in_3v3[5] io_in_3v3[6] io_in_3v3[7] io_in_3v3[8] io_in_3v3[9] io_oeb[0] io_oeb[10]
++ io_oeb[11] io_oeb[12] io_oeb[13] io_oeb[14] io_oeb[15] io_oeb[16] io_oeb[17] io_oeb[18]
++ io_oeb[19] io_oeb[1] io_oeb[20] io_oeb[21] io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25]
++ io_oeb[26] io_oeb[2] io_oeb[3] io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7] io_oeb[8]
++ io_oeb[9] io_out[0] io_out[10] io_out[11] io_out[12] io_out[13] io_out[14] io_out[15]
++ io_out[16] io_out[17] io_out[18] io_out[19] io_out[1] io_out[20] io_out[21] io_out[22]
++ io_out[23] io_out[24] io_out[25] io_out[26] io_out[2] io_out[3] io_out[4] io_out[5]
++ io_out[6] io_out[7] io_out[8] io_out[9] la_data_in[0] la_data_in[100] la_data_in[101]
++ la_data_in[102] la_data_in[103] la_data_in[104] la_data_in[105] la_data_in[106]
++ la_data_in[107] la_data_in[108] la_data_in[109] la_data_in[10] la_data_in[110] la_data_in[111]
++ la_data_in[112] la_data_in[113] la_data_in[114] la_data_in[115] la_data_in[116]
++ la_data_in[117] la_data_in[118] la_data_in[119] la_data_in[11] la_data_in[120] la_data_in[121]
++ la_data_in[122] la_data_in[123] la_data_in[124] la_data_in[125] la_data_in[126]
++ la_data_in[127] la_data_in[12] la_data_in[13] la_data_in[14] la_data_in[15] la_data_in[16]
++ la_data_in[17] la_data_in[18] la_data_in[19] la_data_in[1] la_data_in[20] la_data_in[21]
++ la_data_in[22] la_data_in[23] la_data_in[24] la_data_in[25] la_data_in[26] la_data_in[27]
++ la_data_in[28] la_data_in[29] la_data_in[2] la_data_in[30] la_data_in[31] la_data_in[32]
++ la_data_in[33] la_data_in[34] la_data_in[35] la_data_in[36] la_data_in[37] la_data_in[38]
++ la_data_in[39] la_data_in[3] la_data_in[40] la_data_in[41] la_data_in[42] la_data_in[43]
++ la_data_in[44] la_data_in[45] la_data_in[46] la_data_in[47] la_data_in[48] la_data_in[49]
++ la_data_in[4] la_data_in[50] la_data_in[51] la_data_in[52] la_data_in[53] la_data_in[54]
++ la_data_in[55] la_data_in[56] la_data_in[57] la_data_in[58] la_data_in[59] la_data_in[5]
++ la_data_in[60] la_data_in[61] la_data_in[62] la_data_in[63] la_data_in[64] la_data_in[65]
++ la_data_in[66] la_data_in[67] la_data_in[68] la_data_in[69] la_data_in[6] la_data_in[70]
++ la_data_in[71] la_data_in[72] la_data_in[73] la_data_in[74] la_data_in[75] la_data_in[76]
++ la_data_in[77] la_data_in[78] la_data_in[79] la_data_in[7] la_data_in[80] la_data_in[81]
++ la_data_in[82] la_data_in[83] la_data_in[84] la_data_in[85] la_data_in[86] la_data_in[87]
++ la_data_in[88] la_data_in[89] la_data_in[8] la_data_in[90] la_data_in[91] la_data_in[92]
++ la_data_in[93] la_data_in[94] la_data_in[95] la_data_in[96] la_data_in[97] la_data_in[98]
++ la_data_in[99] la_data_in[9] la_data_out[0] la_data_out[100] la_data_out[101] la_data_out[102]
++ la_data_out[103] la_data_out[104] la_data_out[105] la_data_out[106] la_data_out[107]
++ la_data_out[108] la_data_out[109] la_data_out[10] la_data_out[110] la_data_out[111]
++ la_data_out[112] la_data_out[113] la_data_out[114] la_data_out[115] la_data_out[116]
++ la_data_out[117] la_data_out[118] la_data_out[119] la_data_out[11] la_data_out[120]
++ la_data_out[121] la_data_out[122] la_data_out[123] la_data_out[124] la_data_out[125]
++ la_data_out[126] la_data_out[127] la_data_out[12] la_data_out[13] la_data_out[14]
++ la_data_out[15] la_data_out[16] la_data_out[17] la_data_out[18] la_data_out[19]
++ la_data_out[1] la_data_out[20] la_data_out[21] la_data_out[22] la_data_out[23] la_data_out[24]
++ la_data_out[25] la_data_out[26] la_data_out[27] la_data_out[28] la_data_out[29]
++ la_data_out[2] la_data_out[30] la_data_out[31] la_data_out[32] la_data_out[33] la_data_out[34]
++ la_data_out[35] la_data_out[36] la_data_out[37] la_data_out[38] la_data_out[39]
++ la_data_out[3] la_data_out[40] la_data_out[41] la_data_out[42] la_data_out[43] la_data_out[44]
++ la_data_out[45] la_data_out[46] la_data_out[47] la_data_out[48] la_data_out[49]
++ la_data_out[4] la_data_out[50] la_data_out[51] la_data_out[52] la_data_out[53] la_data_out[54]
++ la_data_out[55] la_data_out[56] la_data_out[57] la_data_out[58] la_data_out[59]
++ la_data_out[5] la_data_out[60] la_data_out[61] la_data_out[62] la_data_out[63] la_data_out[64]
++ la_data_out[65] la_data_out[66] la_data_out[67] la_data_out[68] la_data_out[69]
++ la_data_out[6] la_data_out[70] la_data_out[71] la_data_out[72] la_data_out[73] la_data_out[74]
++ la_data_out[75] la_data_out[76] la_data_out[77] la_data_out[78] la_data_out[79]
++ la_data_out[7] la_data_out[80] la_data_out[81] la_data_out[82] la_data_out[83] la_data_out[84]
++ la_data_out[85] la_data_out[86] la_data_out[87] la_data_out[88] la_data_out[89]
++ la_data_out[8] la_data_out[90] la_data_out[91] la_data_out[92] la_data_out[93] la_data_out[94]
++ la_data_out[95] la_data_out[96] la_data_out[97] la_data_out[98] la_data_out[99]
++ la_data_out[9] la_oenb[0] la_oenb[100] la_oenb[101] la_oenb[102] la_oenb[103] la_oenb[104]
++ la_oenb[105] la_oenb[106] la_oenb[107] la_oenb[108] la_oenb[109] la_oenb[10] la_oenb[110]
++ la_oenb[111] la_oenb[112] la_oenb[113] la_oenb[114] la_oenb[115] la_oenb[116] la_oenb[117]
++ la_oenb[118] la_oenb[119] la_oenb[11] la_oenb[120] la_oenb[121] la_oenb[122] la_oenb[123]
++ la_oenb[124] la_oenb[125] la_oenb[126] la_oenb[127] la_oenb[12] la_oenb[13] la_oenb[14]
++ la_oenb[15] la_oenb[16] la_oenb[17] la_oenb[18] la_oenb[19] la_oenb[1] la_oenb[20]
++ la_oenb[21] la_oenb[22] la_oenb[23] la_oenb[24] la_oenb[25] la_oenb[26] la_oenb[27]
++ la_oenb[28] la_oenb[29] la_oenb[2] la_oenb[30] la_oenb[31] la_oenb[32] la_oenb[33]
++ la_oenb[34] la_oenb[35] la_oenb[36] la_oenb[37] la_oenb[38] la_oenb[39] la_oenb[3]
++ la_oenb[40] la_oenb[41] la_oenb[42] la_oenb[43] la_oenb[44] la_oenb[45] la_oenb[46]
++ la_oenb[47] la_oenb[48] la_oenb[49] la_oenb[4] la_oenb[50] la_oenb[51] la_oenb[52]
++ la_oenb[53] la_oenb[54] la_oenb[55] la_oenb[56] la_oenb[57] la_oenb[58] la_oenb[59]
++ la_oenb[5] la_oenb[60] la_oenb[61] la_oenb[62] la_oenb[63] la_oenb[64] la_oenb[65]
++ la_oenb[66] la_oenb[67] la_oenb[68] la_oenb[69] la_oenb[6] la_oenb[70] la_oenb[71]
++ la_oenb[72] la_oenb[73] la_oenb[74] la_oenb[75] la_oenb[76] la_oenb[77] la_oenb[78]
++ la_oenb[79] la_oenb[7] la_oenb[80] la_oenb[81] la_oenb[82] la_oenb[83] la_oenb[84]
++ la_oenb[85] la_oenb[86] la_oenb[87] la_oenb[88] la_oenb[89] la_oenb[8] la_oenb[90]
++ la_oenb[91] la_oenb[92] la_oenb[93] la_oenb[94] la_oenb[95] la_oenb[96] la_oenb[97]
++ la_oenb[98] la_oenb[99] la_oenb[9] user_clock2 user_irq[0] user_irq[1] user_irq[2]
++ vccd1 vccd2 vdda1 vdda2 vssa1 vssa2 vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0]
++ wbs_adr_i[10] wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15]
++ wbs_adr_i[16] wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20]
++ wbs_adr_i[21] wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26]
++ wbs_adr_i[27] wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31]
++ wbs_adr_i[3] wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9]
++ wbs_cyc_i wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14]
++ wbs_dat_i[15] wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1]
++ wbs_dat_i[20] wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25]
++ wbs_dat_i[26] wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30]
++ wbs_dat_i[31] wbs_dat_i[3] wbs_dat_i[4] wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8]
++ wbs_dat_i[9] wbs_dat_o[0] wbs_dat_o[10] wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13]
++ wbs_dat_o[14] wbs_dat_o[15] wbs_dat_o[16] wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19]
++ wbs_dat_o[1] wbs_dat_o[20] wbs_dat_o[21] wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24]
++ wbs_dat_o[25] wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2]
++ wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6]
++ wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
++ wbs_stb_i wbs_we_i
+XdetV2_0 io_analog[3] io_analog[1] io_analog[2] vssa1 vccd2 detV2
+XotaV5_0 io_analog[9] io_analog[8] io_analog[7] io_analog[10] vssa2 vccd1 vccd2 otaV5
+.ends
+
diff --git a/pos_layout/detV2.nodes b/pos_layout/detV2.nodes
new file mode 100644
index 0000000..8648f26
--- /dev/null
+++ b/pos_layout/detV2.nodes
@@ -0,0 +1,8 @@
+din -63033 -25263 m4
+gnd -44379 -3005 m1
+db -45851 -26619 li
+m2_n40996_n4008# -40995 -4007 m2
+do -35039 -25175 m4
+R/a_n35_n1860# -44769 -11189 xres
+bot_cin -45999 -11879 ndif
+vbody -47261 -2417 m4
diff --git a/pos_layout/detV2.res.ext b/pos_layout/detV2.res.ext
new file mode 100644
index 0000000..f27dfb9
--- /dev/null
+++ b/pos_layout/detV2.res.ext
@@ -0,0 +1,26 @@
+scale 1000 1 500000
+rnode "gnd.t0" 0 841.195 -45830 -9630 0
+rnode "gnd" 0 23918.8 -44380 -3006 0
+resist "gnd" "gnd.t0" 2146.55
+rnode "db.t0" 0 794.825 -45845 -9630 0
+rnode "db" 0 15955.2 -45852 -26620 0
+resist "db" "db.t0" 4116.63
+rnode "din.t0" 0 93496.9 -60285 -18483 0
+rnode "din" 0 7553.12 -63034 -25264 0
+resist "din" "din.t0" 0.058
+rnode "vbody" 0 0 -47262 -2418 0
+rnode "bot_cin.t1" 0 184494 -56184 -15008 0
+rnode "bot_cin" 0 22397.4 -49252 -5534 0
+rnode "bot_cin.t0" 0 366.575 -45860 -9630 0
+rnode "bot_cin.n0" 0 2443.13 -48400 -5301 0
+rnode "bot_cin" 0 -171.161 -49252 -5534 0
+resist "bot_cin.n0" "bot_cin" 0.01
+resist "bot_cin" "bot_cin.t1" 0.054
+resist "bot_cin" "bot_cin.n0" 0.066
+resist "bot_cin.n0" "bot_cin.t0" 2006.05
+rnode "do.t0" 0 111164 -37871 -18141 0
+rnode "do" 0 14956.4 -35040 -25176 0
+resist "do" "do.t0" 0.06
+device msubckt sky130_fd_pr__nfet_01v8 -45860 -11880 -45859 -11879  "vbody" "db.t0" 60 0 "bot_cin.t0" 4500 0 "gnd.t0" 4500 0
+device csubckt sky130_fd_pr__cap_mim_m3_1 -43000 -23676 -42999 -23675  "None" "do.t0" 81696 0 "m2_n40996_n4008#" -27705 0
+device csubckt sky130_fd_pr__cap_mim_m3_1 -64884 -23708 -64883 -23707  "None" "din.t0" 81696 0 "bot_cin.t1" -27175 0
diff --git a/pos_layout/detV2.sim b/pos_layout/detV2.sim
new file mode 100644
index 0000000..08e9d04
--- /dev/null
+++ b/pos_layout/detV2.sim
@@ -0,0 +1,23 @@
+| units: 500000 tech: sky130A format: MIT
+x R/a_n35_n1860# do bot_cin vbody l=3720 w=70 x=-44769 y=-11189 sky130_fd_pr__res_xhigh_po
+x din bot_cin l=17400 w=17400 x=-64883 y=-23707 sky130_fd_pr__cap_mim_m3_1
+x do m2_n40996_n4008# l=17400 w=17400 x=-42999 y=-23675 sky130_fd_pr__cap_mim_m3_1
+x db bot_cin gnd vbody l=30 w=4500 x=-45859 y=-11879 sky130_fd_pr__nfet_01v8
+C din bot_cin 95.62
+C do m2_n40996_n4008# 103.09
+C gnd m2_n40996_n4008# 5.56
+C din GND 5.43
+C gnd GND 19.20
+R gnd 4102
+C db GND 16.75
+R db 7836
+C m2_n40996_n4008# GND 108.64
+C do GND 23.03
+R do 184
+= do R/a_n35_n2292#
+R R/a_n35_n1860# 106286
+C bot_cin GND 113.91
+R bot_cin 4575
+= bot_cin R/a_n35_1860#
+R vbody 4740
+= vbody R/VSUBS
diff --git a/pos_layout/detV2.spice b/pos_layout/detV2.spice
new file mode 100644
index 0000000..e5bbf6f
--- /dev/null
+++ b/pos_layout/detV2.spice
@@ -0,0 +1,37 @@
+* SPICE3 file created from detV2.ext - technology: sky130A
+
+.option scale=5000u
+
+.subckt detV2 din db do gnd vbody
+X0 do bot_cin vbody sky130_fd_pr__res_xhigh_po w=70 l=3720
+X1 din.t0 bot_cin.t1 sky130_fd_pr__cap_mim_m3_1 l=17400 w=17400
+X2 do.t0 m2_n40996_n4008# sky130_fd_pr__cap_mim_m3_1 l=17400 w=17400
+X3 gnd.t0 db.t0 bot_cin.t0 vbody sky130_fd_pr__nfet_01v8 w=4500 l=30
+C0 m2_n40996_n4008# do 103.09fF
+C1 db gnd 0.01fF
+C2 m2_n40996_n4008# gnd 5.56fF
+C3 db bot_cin 0.01fF
+C4 din bot_cin 95.62fF
+C5 bot_cin gnd 0.95fF
+R0 do do.t0 0.06
+R1 bot_cin.n0 bot_cin.t0 2006.05
+R2 bot_cin bot_cin.n0 0.066
+R3 bot_cin bot_cin.t1 0.054
+R4 bot_cin.n0 bot_cin 0.01
+R5 din din.t0 0.058
+R6 db db.t0 4116.63
+R7 gnd gnd.t0 2146.55
+C6 din vbody 12.99fF
+C7 gnd vbody 43.12fF
+C8 db vbody 32.70fF
+C9 m2_n40996_n4008# vbody 108.64fF **FLOATING
+C10 gnd.t0 vbody 0.84fF **FLOATING
+C11 db.t0 vbody 0.79fF **FLOATING
+C12 din.t0 vbody 93.50fF **FLOATING
+C13 bot_cin.t1 vbody 184.49fF **FLOATING
+C14 bot_cin.t0 vbody 0.37fF **FLOATING
+C15 bot_cin.n0 vbody 2.44fF
+C16 do.t0 vbody 111.16fF **FLOATING
+C17 do vbody 37.99fF
+C18 bot_cin vbody 136.13fF **FLOATING
+.ends
diff --git a/pos_layout/otaV5.nodes b/pos_layout/otaV5.nodes
new file mode 100644
index 0000000..959499d
--- /dev/null
+++ b/pos_layout/otaV5.nodes
@@ -0,0 +1,11 @@
+cltop 17958 2182 m4
+Vout 8932 1650 m1
+Inn 7710 1650 li
+Inp 7204 1646 li
+Ib 7584 4396 li
+Vp 7978 4750 m1
+Vn 7966 236 m1
+a_8070_1014# 8070 1014 ndif
+a_6890_1010# 6890 1010 ndif
+a_6890_750# 6890 750 ndif
+a_7570_1796# 7570 1796 pdif
diff --git a/pos_layout/otaV5.res.ext b/pos_layout/otaV5.res.ext
new file mode 100644
index 0000000..ac6a59f
--- /dev/null
+++ b/pos_layout/otaV5.res.ext
@@ -0,0 +1,111 @@
+scale 1000 1 500000
+rnode "Inn.t0" 0 373.666 7870 1966 0
+rnode "Inn" 0 2008.41 7710 1650 0
+rnode "Inn.n0" 0 339.216 7770 1700 0
+rnode "Inn" 0 28.7085 7769 1730 0
+resist "Inn" "Inn.n0" 2.614
+resist "Inn.n0" "Inn" 4.357
+resist "Inn.n0" "Inn.t0" 20.485
+rnode "cltop.t0" 0 15132.5 15624 2208 0
+rnode "cltop" 0 787.546 17958 2182 0
+resist "cltop" "cltop.t0" 0.054
+rnode "Ib.t2" 0 1680.59 8070 2810 0
+rnode "Ib.t0" 0 3017.23 8070 3950 0
+rnode "Ib.t1" 0 145.589 7670 3950 0
+rnode "Ib" 0 2886.59 7584 4396 0
+resist "Ib.t0" "Ib.t2" 68.685
+resist "Ib" "Ib.t0" 84.078
+resist "Ib" "Ib.t1" 840.712
+rnode "Vout.t1" 0 18.3961 8170 834 0
+rnode "Vout.t2" 0 25.2525 9026 1926 0
+rnode "Vout.t0" 0 44306.3 13744 2206 0
+rnode "Vout.n0" 0 54.7708 8975 1681 0
+rnode "Vout.n1" 0 152.603 8975 1681 0
+rnode "Vout" 0 2.71451 8932 1650 0
+resist "Vout.n1" "Vout.n0" 0.0005
+resist "Vout" "Vout.n1" 0.067
+resist "Vout.n1" "Vout.t1" 56.3965
+resist "Vout.n0" "Vout.t0" 166.261
+resist "Vout.n0" "Vout.t2" 210.109
+killnode "a_8070_1014#"
+rnode "a_8070_1014.t3" 0 507.368 8570 834 0
+rnode "a_8070_1014.t1" 0 997.93 8570 1094 0
+rnode "a_8070_1014.t2" 0 62.9548 8170 1094 0
+rnode "a_8070_1014.n0" 0 713.865 8158 1270 0
+rnode "a_8070_1014.t0" 0 167.883 8070 1966 0
+resist "a_8070_1014.t1" "a_8070_1014.t3" 10.845
+resist "a_8070_1014.n0" "a_8070_1014.t2" 59.814
+resist "a_8070_1014.n0" "a_8070_1014.t1" 85.4099
+resist "a_8070_1014.t0" "a_8070_1014.n0" 304.285
+rnode "Vp.t7" 0 139.851 9226 1926 0
+rnode "Vp.t6" 0 364.691 9226 2306 0
+rnode "Vp.t0" 0 6430.51 7370 1966 0
+rnode "Vp.t1" 0 3738.67 7870 1966 0
+rnode "Vp.t2" 0 3957.65 8070 3950 0
+rnode "Vp.t5" 0 6254.26 9126 2306 0
+rnode "Vp.n0" 0 6079.53 8611 4050 0
+rnode "Vp.t3" 0 415.425 8470 2810 0
+rnode "Vp.t4" 0 248.695 8470 3950 0
+rnode "Vp.n1" 0 167.281 8535 4050 0
+rnode "Vp.n2" 0 2546.38 8396 4837 0
+rnode "Vp" 0 777.057 7978 4750 0
+resist "Vp" "Vp.n2" 0.145101
+resist "Vp.n1" "Vp.n0" 3.566
+resist "Vp.t2" "Vp.t1" 119.131
+resist "Vp.n1" "Vp.t4" 197
+resist "Vp.t1" "Vp.t0" 297.827
+resist "Vp.n0" "Vp.t5" 306.762
+resist "Vp.n0" "Vp.t2" 322.249
+resist "Vp.t6" "Vp.t7" 434.506
+resist "Vp.n2" "Vp.t6" 598.495
+resist "Vp.n2" "Vp.n1" 722.838
+resist "Vp.t4" "Vp.t3" 1799.51
+rnode "Inp.t0" 0 313.979 7370 1966 0
+rnode "Inp" 0 6876.02 7204 1646 0
+resist "Inp" "Inp.t0" 27.195
+rnode "Vn.t1" 0 0 8970 834 0
+rnode "Vn.t0" 0 0 8970 1094 0
+rnode "Vn.n0" 0 0 9021 841 0
+rnode "Vn.t3" 0 0 7790 830 0
+rnode "Vn.t2" 0 0 7790 1090 0
+rnode "Vn.n1" 0 0 7841 821 0
+rnode "Vn.n2" 0 0 7841 452 0
+rnode "Vn" 0 0 7966 236 0
+resist "Vn" "Vn.n2" 0.00592427
+resist "Vn.n1" "Vn.t3" 38.25
+resist "Vn.n0" "Vn.t1" 38.25
+resist "Vn.n2" "Vn.n1" 63.2084
+resist "Vn.n0" "Vn.t0" 65.166
+resist "Vn.n2" "Vn.n0" 65.9382
+resist "Vn.n1" "Vn.t2" 84.412
+killnode "a_6890_750#"
+rnode "a_6890_750.t3" 0 298.147 9126 1926 0
+rnode "a_6890_750.t1" 0 454.2 9126 2306 0
+rnode "a_6890_750.t0" 0 422.304 6990 830 0
+rnode "a_6890_750.n0" 0 1084.84 8847 2294 0
+rnode "a_6890_750.t2" 0 100.51 9026 2306 0
+resist "a_6890_750.t2" "a_6890_750.n0" 63.038
+resist "a_6890_750.n0" "a_6890_750.t0" 80.953
+resist "a_6890_750.t1" "a_6890_750.t3" 91.58
+resist "a_6890_750.n0" "a_6890_750.t1" 207.335
+killnode "a_6890_1010#"
+rnode "a_6890_1010.t2" 0 58.431 6990 1090 0
+rnode "a_6890_1010.t3" 0 516.659 7390 830 0
+rnode "a_6890_1010.t1" 0 1213.34 7390 1090 0
+rnode "a_6890_1010.n0" 0 664.783 6933 1308 0
+rnode "a_6890_1010.t0" 0 156.788 7170 1966 0
+resist "a_6890_1010.t1" "a_6890_1010.t3" 10.845
+resist "a_6890_1010.n0" "a_6890_1010.t2" 69.562
+resist "a_6890_1010.n0" "a_6890_1010.t1" 92.6448
+resist "a_6890_1010.t0" "a_6890_1010.n0" 195.921
+device msubckt sky130_fd_pr__pfet_01v8 7670 3450 7671 3451  "Vp.t2" "Ib.t0" 1600 0 "Ib.t1" 1000 0 "Vp.t4" 1000 0
+device msubckt sky130_fd_pr__pfet_01v8 7670 1796 7671 1797  "Vp.t1" "Inn.t0" 800 0 "a_7570_1796#" 340 0 "a_8070_1014.t0" 340 0
+device msubckt sky130_fd_pr__pfet_01v8 9026 2166 9027 2167  "Vp.t5" "a_6890_750.t1" 400 0 "a_6890_750.t2" 280 0 "Vp.t6" 280 0
+device msubckt sky130_fd_pr__nfet_01v8 8170 754 8171 755  "Vn" "a_8070_1014.t3" 1600 0 "Vout.t1" 160 0 "Vn.t1" 160 0
+device csubckt sky130_fd_pr__cap_mim_m3_1 10544 -994 10545 -993  "None" "cltop.t0" 29600 0 "Vout.t0" -12980 0
+device msubckt sky130_fd_pr__pfet_01v8 7670 2310 7671 2311  "Vp.t2" "Ib.t2" 1600 0 "a_7570_1796#" 1000 0 "Vp.t3" 1000 0
+device msubckt sky130_fd_pr__pfet_01v8 9026 1786 9027 1787  "Vp.t5" "a_6890_750.t3" 400 0 "Vout.t2" 280 0 "Vp.t7" 280 0
+device msubckt sky130_fd_pr__nfet_01v8 6990 1010 6991 1011  "Vn" "a_6890_1010.t1" 1600 0 "a_6890_1010.t2" 160 0 "Vn.t2" 160 0
+device msubckt sky130_fd_pr__nfet_01v8 8170 1014 8171 1015  "Vn" "a_8070_1014.t1" 1600 0 "a_8070_1014.t2" 160 0 "Vn.t0" 160 0
+device msubckt sky130_fd_pr__pfet_01v8 7170 1796 7171 1797  "Vp.t0" "Inp.t0" 800 0 "a_6890_1010.t0" 340 0 "a_7570_1796#" 340 0
+device msubckt sky130_fd_pr__nfet_01v8 6990 750 6991 751  "Vn" "a_6890_1010.t3" 1600 0 "a_6890_750.t0" 160 0 "Vn.t3" 160 0
diff --git a/pos_layout/otaV5.sim b/pos_layout/otaV5.sim
new file mode 100644
index 0000000..68f825b
--- /dev/null
+++ b/pos_layout/otaV5.sim
@@ -0,0 +1,31 @@
+| units: 500000 tech: sky130A format: MIT
+x a_6890_1010# a_6890_750# Vn Vn l=800 w=160 x=6990 y=750 sky130_fd_pr__nfet_01v8
+x Inp a_6890_1010# a_7570_1796# Vp l=400 w=340 x=7170 y=1796 sky130_fd_pr__pfet_01v8
+x a_8070_1014# a_8070_1014# Vn Vn l=800 w=160 x=8170 y=1014 sky130_fd_pr__nfet_01v8
+x a_6890_1010# a_6890_1010# Vn Vn l=800 w=160 x=6990 y=1010 sky130_fd_pr__nfet_01v8
+x a_6890_750# Vout Vp Vp l=200 w=280 x=9026 y=1786 sky130_fd_pr__pfet_01v8
+x Ib a_7570_1796# Vp Vp l=800 w=1000 x=7670 y=2310 sky130_fd_pr__pfet_01v8
+x cltop Vout l=6400 w=6400 x=10544 y=-993 sky130_fd_pr__cap_mim_m3_1
+x a_8070_1014# Vout Vn Vn l=800 w=160 x=8170 y=754 sky130_fd_pr__nfet_01v8
+x a_6890_750# a_6890_750# Vp Vp l=200 w=280 x=9026 y=2166 sky130_fd_pr__pfet_01v8
+x Inn a_7570_1796# a_8070_1014# Vp l=400 w=340 x=7670 y=1796 sky130_fd_pr__pfet_01v8
+x Ib Ib Vp Vp l=800 w=1000 x=7670 y=3450 sky130_fd_pr__pfet_01v8
+C Vout cltop 15.92
+C Vout GND 28.64
+R Vout 1035
+C Inn GND 2.75
+R Inn 423
+C Inp GND 7.19
+R Inp 432
+C Ib GND 7.73
+R Ib 2537
+C Vp GND 31.12
+R Vp 10161
+R Vn 2408
+C a_8070_1014# GND 2.45
+R a_8070_1014# 1158
+C a_6890_1010# GND 2.61
+R a_6890_1010# 1190
+C a_6890_750# GND 2.36
+R a_6890_750# 1244
+R a_7570_1796# 3150
diff --git a/pos_layout/otaV5.spice b/pos_layout/otaV5.spice
new file mode 100644
index 0000000..9aa1418
--- /dev/null
+++ b/pos_layout/otaV5.spice
@@ -0,0 +1,111 @@
+* SPICE3 file created from otaV5.ext - technology: sky130A
+
+.subckt otaV5 Inp Inn Vout Ib cltop Vp Vn
+X0 Vn.t3 a_6890_1010.t3 a_6890_750.t0 Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
+X1 a_7570_1796# Inp.t0 a_6890_1010.t0 Vp.t0 sky130_fd_pr__pfet_01v8 w=1.7e+06u l=2e+06u
+X2 Vn.t0 a_8070_1014.t1 a_8070_1014.t2 Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
+X3 Vn.t2 a_6890_1010.t1 a_6890_1010.t2 Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
+X4 Vp.t7 a_6890_750.t3 Vout.t2 Vp.t5 sky130_fd_pr__pfet_01v8 w=1.4e+06u l=1e+06u
+X5 Vp.t3 Ib.t2 a_7570_1796# Vp.t2 sky130_fd_pr__pfet_01v8 w=5e+06u l=4e+06u
+X6 cltop.t0 Vout.t0 sky130_fd_pr__cap_mim_m3_1 l=3.2e+07u w=3.2e+07u
+X7 Vn.t1 a_8070_1014.t3 Vout.t1 Vn sky130_fd_pr__nfet_01v8 w=800000u l=4e+06u
+X8 Vp.t6 a_6890_750.t1 a_6890_750.t2 Vp.t5 sky130_fd_pr__pfet_01v8 w=1.4e+06u l=1e+06u
+X9 a_8070_1014.t0 Inn.t0 a_7570_1796# Vp.t1 sky130_fd_pr__pfet_01v8 w=1.7e+06u l=2e+06u
+X10 Vp.t4 Ib.t0 Ib.t1 Vp.t2 sky130_fd_pr__pfet_01v8 w=5e+06u l=4e+06u
+C0 cltop Vout 15.92fF
+C1 a_7570_1796# Vp 0.01fF
+C2 Ib Inp 0.08fF
+C3 Ib Vp 0.27fF
+C4 Vout Vp 0.03fF
+C5 Ib Inn 0.11fF
+C6 Inp Vp 0.17fF
+C7 Inn Inp 0.37fF
+R0 a_6890_1010.t0 a_6890_1010.n0 195.921
+R1 a_6890_1010.n0 a_6890_1010.t1 92.645
+R2 a_6890_1010.n0 a_6890_1010.t2 69.562
+R3 a_6890_1010.t1 a_6890_1010.t3 10.845
+R4 a_6890_750.n0 a_6890_750.t1 207.335
+R5 a_6890_750.t1 a_6890_750.t3 91.58
+R6 a_6890_750.n0 a_6890_750.t0 80.953
+R7 a_6890_750.t2 a_6890_750.n0 63.038
+R8 Vn.n1 Vn.t2 84.412
+R9 Vn.n2 Vn.n0 65.938
+R10 Vn.n0 Vn.t0 65.166
+R11 Vn.n2 Vn.n1 63.208
+R12 Vn.n0 Vn.t1 38.25
+R13 Vn.n1 Vn.t3 38.25
+R14 Vn Vn.n2 0.006
+R15 Inp Inp.t0 27.195
+R16 Vp.t4 Vp.t3 1799.51
+R17 Vp.n2 Vp.n1 722.838
+R18 Vp.n2 Vp.t6 598.495
+R19 Vp.t6 Vp.t7 434.506
+R20 Vp.n0 Vp.t2 322.249
+R21 Vp.n0 Vp.t5 306.762
+R22 Vp.t1 Vp.t0 297.827
+R23 Vp.n1 Vp.t4 197
+R24 Vp.t2 Vp.t1 119.131
+R25 Vp.n1 Vp.n0 3.566
+R26 Vp Vp.n2 0.145
+R27 a_8070_1014.t0 a_8070_1014.n0 304.285
+R28 a_8070_1014.n0 a_8070_1014.t1 85.41
+R29 a_8070_1014.n0 a_8070_1014.t2 59.814
+R30 a_8070_1014.t1 a_8070_1014.t3 10.845
+R31 Vout.n0 Vout.t2 210.109
+R32 Vout.n0 Vout.t0 166.261
+R33 Vout.n1 Vout.t1 56.397
+R34 Vout Vout.n1 0.067
+R35 Vout.n1 Vout.n0 0.001
+R36 Ib Ib.t1 840.712
+R37 Ib Ib.t0 84.078
+R38 Ib.t0 Ib.t2 68.685
+R39 cltop cltop.t0 0.054
+R40 Inn.n0 Inn.t0 20.485
+R41 Inn.n0 Inn 4.357
+R42 Inn Inn.n0 2.614
+C8 cltop Vn 1.92fF
+C9 Vout Vn 28.65fF
+C10 Inn Vn 4.79fF
+C11 Inp Vn 14.07fF
+C12 Ib Vn 10.62fF
+C13 Vp Vn 31.90fF
+C14 a_7570_1796# Vn 0.10fF **FLOATING
+C15 Inn.t0 Vn 0.37fF **FLOATING
+C16 Inn.n0 Vn 0.34fF
+C17 cltop.t0 Vn 15.13fF **FLOATING
+C18 Ib.t2 Vn 1.68fF **FLOATING
+C19 Ib.t0 Vn 3.02fF **FLOATING
+C20 Ib.t1 Vn 0.15fF **FLOATING
+C21 Vout.t1 Vn 0.02fF **FLOATING
+C22 Vout.t2 Vn 0.03fF **FLOATING
+C23 Vout.t0 Vn 44.31fF **FLOATING
+C24 Vout.n0 Vn 0.05fF
+C25 Vout.n1 Vn 0.15fF
+C26 a_8070_1014.t3 Vn 0.51fF **FLOATING
+C27 a_8070_1014.t1 Vn 1.00fF **FLOATING
+C28 a_8070_1014.t2 Vn 0.06fF **FLOATING
+C29 a_8070_1014.n0 Vn 0.71fF
+C30 a_8070_1014.t0 Vn 0.17fF **FLOATING
+C31 Vp.t7 Vn 0.14fF **FLOATING
+C32 Vp.t6 Vn 0.36fF **FLOATING
+C33 Vp.t0 Vn 6.43fF **FLOATING
+C34 Vp.t1 Vn 3.74fF **FLOATING
+C35 Vp.t2 Vn 3.96fF **FLOATING
+C36 Vp.t5 Vn 6.25fF **FLOATING
+C37 Vp.n0 Vn 6.08fF
+C38 Vp.t3 Vn 0.42fF **FLOATING
+C39 Vp.t4 Vn 0.25fF **FLOATING
+C40 Vp.n1 Vn 0.17fF
+C41 Vp.n2 Vn 2.55fF
+C42 Inp.t0 Vn 0.31fF **FLOATING
+C43 a_6890_750.t3 Vn 0.30fF **FLOATING
+C44 a_6890_750.t1 Vn 0.45fF **FLOATING
+C45 a_6890_750.t0 Vn 0.42fF **FLOATING
+C46 a_6890_750.n0 Vn 1.08fF
+C47 a_6890_750.t2 Vn 0.10fF **FLOATING
+C48 a_6890_1010.t2 Vn 0.06fF **FLOATING
+C49 a_6890_1010.t3 Vn 0.52fF **FLOATING
+C50 a_6890_1010.t1 Vn 1.21fF **FLOATING
+C51 a_6890_1010.n0 Vn 0.66fF
+C52 a_6890_1010.t0 Vn 0.16fF **FLOATING
+.ends
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/gds.info b/precheck_results/20_MAR_2022___22_53_12/logs/gds.info
deleted file mode 100644
index e23d68e..0000000
--- a/precheck_results/20_MAR_2022___22_53_12/logs/gds.info
+++ /dev/null
@@ -1 +0,0 @@
-user_analog_project_wrapper.gds: d27b57fff3ee1d7b22beec6f2a3928e4ed7754b9
\ No newline at end of file
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_beol_check.log b/precheck_results/20_MAR_2022___22_53_12/logs/klayout_beol_check.log
deleted file mode 100644
index e5b9486..0000000
--- a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_beol_check.log
+++ /dev/null
@@ -1,992 +0,0 @@
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
-"input" in: sky130A_mr.drc:107
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:108
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:109
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:110
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:111
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:112
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:113
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:114
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:115
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:116
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:117
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:118
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:119
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:120
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:121
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:122
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:123
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.020s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:124
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:125
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:126
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:127
-    Polygons (raw): 41 (flat)  41 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:129
-    Polygons (raw): 19 (flat)  19 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:130
-    Polygons (raw): 1253 (flat)  1253 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:132
-    Polygons (raw): 22 (flat)  22 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:133
-    Polygons (raw): 4084 (flat)  4084 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:135
-    Polygons (raw): 500 (flat)  500 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:136
-    Polygons (raw): 2620 (flat)  2620 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:138
-    Polygons (raw): 214 (flat)  214 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:139
-    Polygons (raw): 7783 (flat)  7783 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:141
-    Polygons (raw): 53 (flat)  53 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:142
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:144
-    Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:146
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:147
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:148
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:149
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:150
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:151
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:152
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:153
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:154
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:155
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:156
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:157
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:158
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:159
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:160
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:161
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:162
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:163
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:164
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:165
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:166
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:167
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:168
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:169
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:170
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:171
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:172
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:173
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:174
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:175
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:176
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:177
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:178
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:179
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:180
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:181
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:182
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:183
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:184
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:185
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:186
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:187
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:188
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:189
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:190
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:191
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:192
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:193
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:194
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:195
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:196
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:197
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:198
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-DRC section
-BEOL section
-START: 67/20 (li)
-"not" in: sky130A_mr.drc:371
-    Polygons (raw): 19 (flat)  19 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"width" in: sky130A_mr.drc:372
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: sky130A_mr.drc:372
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"edges" in: sky130A_mr.drc:374
-    Edges: 76 (flat)  76 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:374
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:374
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:375
-    Polygons (raw): 41 (flat)  41 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:376
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"second_edges" in: sky130A_mr.drc:376
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:377
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"polygons" in: sky130A_mr.drc:378
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"interacting" in: sky130A_mr.drc:378
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:379
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"with_area" in: sky130A_mr.drc:380
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:380
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 67/20 (li)
-START: 67/44 (mcon)
-"not" in: sky130A_mr.drc:385
-    Polygons (raw): 1253 (flat)  1253 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:392
-    Polygons (raw): 1253 (flat)  1253 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"non_rectangles" in: sky130A_mr.drc:393
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:393
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"drc" in: sky130A_mr.drc:395
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:395
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"drc" in: sky130A_mr.drc:396
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:396
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:397
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:397
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:403
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:403
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 67/44 (mcon)
-START: 68/20 (m1)
-"width" in: sky130A_mr.drc:408
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:408
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"sized" in: sky130A_mr.drc:409
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"sized" in: sky130A_mr.drc:409
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"snap" in: sky130A_mr.drc:409
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"&" in: sky130A_mr.drc:409
-    Polygons (raw): 7 (flat)  7 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:410
-    Edges: 76 (flat)  76 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"-" in: sky130A_mr.drc:410
-    Edges: 35 (flat)  35 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:411
-    Edges: 34 (flat)  34 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"merged" in: sky130A_mr.drc:411
-    Polygons (raw): 5 (flat)  5 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"outside_part" in: sky130A_mr.drc:411
-    Edges: 35 (flat)  35 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:413
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:413
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"separation" in: sky130A_mr.drc:415
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:415
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"+" in: sky130A_mr.drc:415
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:415
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"input" in: sky130A_mr.drc:419
-    Polygons (raw): 22 (flat)  22 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:421
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:421
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:422
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:422
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"input" in: sky130A_mr.drc:424
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:425
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:425
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:427
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:427
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"with_area" in: sky130A_mr.drc:429
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:429
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"holes" in: sky130A_mr.drc:431
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"with_area" in: sky130A_mr.drc:431
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:431
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:438
-    Edge pairs: 24 (flat)  24 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
-"second_edges" in: sky130A_mr.drc:438
-    Edges: 24 (flat)  24 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:439
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"polygons" in: sky130A_mr.drc:440
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"interacting" in: sky130A_mr.drc:440
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:441
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 68/20 (m1)
-START: 68/44 (via)
-"not" in: sky130A_mr.drc:455
-    Polygons (raw): 4084 (flat)  4084 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"non_rectangles" in: sky130A_mr.drc:457
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.030s  Memory: 1067.00M
-"output" in: sky130A_mr.drc:457
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"width" in: sky130A_mr.drc:458
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"output" in: sky130A_mr.drc:458
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1067.00M
-"drc" in: sky130A_mr.drc:460
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.030s  Memory: 1067.00M
-"output" in: sky130A_mr.drc:460
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"space" in: sky130A_mr.drc:462
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.050s  Memory: 1068.00M
-"output" in: sky130A_mr.drc:462
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1068.00M
-"edges" in: sky130A_mr.drc:470
-    Edges: 76 (flat)  76 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"drc" in: sky130A_mr.drc:470
-    Edges: 16336 (flat)  16336 (hierarchical)
-    Elapsed: 0.070s  Memory: 1068.00M
-"enclosing" in: sky130A_mr.drc:470
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1100.00M
-"output" in: sky130A_mr.drc:470
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"squares" in: sky130A_mr.drc:471
-    Polygons (raw): 4084 (flat)  4084 (hierarchical)
-    Elapsed: 0.000s  Memory: 1068.00M
-"drc" in: sky130A_mr.drc:471
-    Edges: 16336 (flat)  16336 (hierarchical)
-    Elapsed: 0.080s  Memory: 1068.00M
-"not" in: sky130A_mr.drc:471
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.030s  Memory: 1068.00M
-"output" in: sky130A_mr.drc:471
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"edges" in: sky130A_mr.drc:473
-    Edges: 76 (flat)  76 (hierarchical)
-    Elapsed: 0.000s  Memory: 1068.00M
-"drc" in: sky130A_mr.drc:473
-    Edges: 16336 (flat)  16336 (hierarchical)
-    Elapsed: 0.070s  Memory: 1068.00M
-"enclosing" in: sky130A_mr.drc:473
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1068.00M
-"second_edges" in: sky130A_mr.drc:473
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1068.00M
-"width" in: sky130A_mr.drc:474
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1068.00M
-"polygons" in: sky130A_mr.drc:475
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"interacting" in: sky130A_mr.drc:475
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1068.00M
-"output" in: sky130A_mr.drc:476
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-END: 68/44 (via)
-START: 69/20 (m2)
-"width" in: sky130A_mr.drc:483
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"output" in: sky130A_mr.drc:483
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"sized" in: sky130A_mr.drc:485
-    Polygons (raw): 4 (flat)  4 (hierarchical)
-    Elapsed: 0.000s  Memory: 1068.00M
-"sized" in: sky130A_mr.drc:485
-    Polygons (raw): 4 (flat)  4 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"snap" in: sky130A_mr.drc:485
-    Polygons (raw): 4 (flat)  4 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"&" in: sky130A_mr.drc:485
-    Polygons (raw): 4 (flat)  4 (hierarchical)
-    Elapsed: 0.000s  Memory: 1068.00M
-"edges" in: sky130A_mr.drc:486
-    Edges: 2000 (flat)  2000 (hierarchical)
-    Elapsed: 0.020s  Memory: 1076.00M
-"-" in: sky130A_mr.drc:486
-    Edges: 1984 (flat)  1984 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"edges" in: sky130A_mr.drc:487
-    Edges: 16 (flat)  16 (hierarchical)
-    Elapsed: 0.000s  Memory: 1068.00M
-"merged" in: sky130A_mr.drc:487
-    Polygons (raw): 500 (flat)  500 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"outside_part" in: sky130A_mr.drc:487
-    Edges: 16 (flat)  16 (hierarchical)
-    Elapsed: 0.020s  Memory: 1068.00M
-"not" in: sky130A_mr.drc:488
-    Polygons (raw): 4084 (flat)  4084 (hierarchical)
-    Elapsed: 0.000s  Memory: 1068.00M
-"space" in: sky130A_mr.drc:490
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1068.00M
-"output" in: sky130A_mr.drc:490
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"separation" in: sky130A_mr.drc:492
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"space" in: sky130A_mr.drc:492
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1068.00M
-"+" in: sky130A_mr.drc:492
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"output" in: sky130A_mr.drc:492
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1068.00M
-"with_area" in: sky130A_mr.drc:494
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"output" in: sky130A_mr.drc:494
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"holes" in: sky130A_mr.drc:495
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1068.00M
-"with_area" in: sky130A_mr.drc:495
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"output" in: sky130A_mr.drc:495
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"enclosing" in: sky130A_mr.drc:500
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.040s  Memory: 1068.00M
-"output" in: sky130A_mr.drc:500
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"not" in: sky130A_mr.drc:501
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1076.00M
-"output" in: sky130A_mr.drc:501
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1068.00M
-"enclosing" in: sky130A_mr.drc:502
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.040s  Memory: 1068.00M
-"second_edges" in: sky130A_mr.drc:502
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"width" in: sky130A_mr.drc:503
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1068.00M
-"polygons" in: sky130A_mr.drc:504
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"interacting" in: sky130A_mr.drc:504
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-"output" in: sky130A_mr.drc:505
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1068.00M
-END: 69/20 (m2)
-START: 69/44 (via2)
-"not" in: sky130A_mr.drc:520
-    Polygons (raw): 2620 (flat)  2620 (hierarchical)
-    Elapsed: 0.000s  Memory: 1068.00M
-"non_rectangles" in: sky130A_mr.drc:521
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.030s  Memory: 1067.00M
-"output" in: sky130A_mr.drc:521
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1067.00M
-"width" in: sky130A_mr.drc:522
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"output" in: sky130A_mr.drc:522
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"edges" in: sky130A_mr.drc:523
-    Edges: 10480 (flat)  10480 (hierarchical)
-    Elapsed: 0.020s  Memory: 1067.00M
-"without_length" in: sky130A_mr.drc:523
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.050s  Memory: 1070.00M
-"output" in: sky130A_mr.drc:523
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"space" in: sky130A_mr.drc:524
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.030s  Memory: 1070.00M
-"output" in: sky130A_mr.drc:524
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1070.00M
-"enclosing" in: sky130A_mr.drc:532
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1070.00M
-"output" in: sky130A_mr.drc:532
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"not" in: sky130A_mr.drc:533
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"output" in: sky130A_mr.drc:533
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"enclosing" in: sky130A_mr.drc:535
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1070.00M
-"second_edges" in: sky130A_mr.drc:535
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"width" in: sky130A_mr.drc:536
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"polygons" in: sky130A_mr.drc:537
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1070.00M
-"interacting" in: sky130A_mr.drc:537
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1070.00M
-"output" in: sky130A_mr.drc:538
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-END: 69/44 (via2)
-START: 70/20 (m3)
-"width" in: sky130A_mr.drc:544
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1070.00M
-"output" in: sky130A_mr.drc:544
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"sized" in: sky130A_mr.drc:546
-    Polygons (raw): 43 (flat)  43 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"sized" in: sky130A_mr.drc:546
-    Polygons (raw): 43 (flat)  43 (hierarchical)
-    Elapsed: 0.000s  Memory: 1070.00M
-"snap" in: sky130A_mr.drc:546
-    Polygons (raw): 43 (flat)  43 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"&" in: sky130A_mr.drc:546
-    Polygons (raw): 51 (flat)  51 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"edges" in: sky130A_mr.drc:547
-    Edges: 842 (flat)  842 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"-" in: sky130A_mr.drc:547
-    Edges: 582 (flat)  582 (hierarchical)
-    Elapsed: 0.020s  Memory: 1070.00M
-"edges" in: sky130A_mr.drc:548
-    Edges: 247 (flat)  247 (hierarchical)
-    Elapsed: 0.000s  Memory: 1070.00M
-"merged" in: sky130A_mr.drc:548
-    Polygons (raw): 187 (flat)  187 (hierarchical)
-    Elapsed: 0.000s  Memory: 1070.00M
-"outside_part" in: sky130A_mr.drc:548
-    Edges: 249 (flat)  249 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"space" in: sky130A_mr.drc:550
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"output" in: sky130A_mr.drc:550
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"separation" in: sky130A_mr.drc:552
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"space" in: sky130A_mr.drc:552
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"+" in: sky130A_mr.drc:552
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1070.00M
-"output" in: sky130A_mr.drc:552
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"enclosing" in: sky130A_mr.drc:557
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.030s  Memory: 1070.00M
-"output" in: sky130A_mr.drc:557
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1070.00M
-"not" in: sky130A_mr.drc:558
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1086.00M
-"output" in: sky130A_mr.drc:558
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1070.00M
-END: 70/20 (m3)
-START: 70/44 (via3)
-"not" in: sky130A_mr.drc:572
-    Polygons (raw): 7783 (flat)  7783 (hierarchical)
-    Elapsed: 0.010s  Memory: 1070.00M
-"non_rectangles" in: sky130A_mr.drc:573
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.060s  Memory: 1072.00M
-"output" in: sky130A_mr.drc:573
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1072.00M
-"width" in: sky130A_mr.drc:574
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1072.00M
-"output" in: sky130A_mr.drc:574
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1072.00M
-"edges" in: sky130A_mr.drc:575
-    Edges: 31132 (flat)  31132 (hierarchical)
-    Elapsed: 0.060s  Memory: 1080.00M
-"without_length" in: sky130A_mr.drc:575
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.100s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:575
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1082.00M
-"space" in: sky130A_mr.drc:577
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.080s  Memory: 1106.00M
-"output" in: sky130A_mr.drc:577
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"enclosing" in: sky130A_mr.drc:578
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.060s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:578
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1082.00M
-"not" in: sky130A_mr.drc:579
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.030s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:579
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"enclosing" in: sky130A_mr.drc:581
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.070s  Memory: 1082.00M
-"second_edges" in: sky130A_mr.drc:581
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1082.00M
-"width" in: sky130A_mr.drc:582
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"polygons" in: sky130A_mr.drc:583
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"interacting" in: sky130A_mr.drc:583
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:584
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-END: 70/44 (via3)
-START: 71/20 (m4)
-"width" in: sky130A_mr.drc:590
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:590
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1082.00M
-"sized" in: sky130A_mr.drc:592
-    Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"sized" in: sky130A_mr.drc:592
-    Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"snap" in: sky130A_mr.drc:592
-    Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"&" in: sky130A_mr.drc:592
-    Polygons (raw): 30 (flat)  30 (hierarchical)
-    Elapsed: 0.000s  Memory: 1090.00M
-"edges" in: sky130A_mr.drc:593
-    Edges: 179 (flat)  179 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"-" in: sky130A_mr.drc:593
-    Edges: 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"edges" in: sky130A_mr.drc:594
-    Edges: 161 (flat)  161 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"merged" in: sky130A_mr.drc:594
-    Polygons (raw): 9 (flat)  9 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"outside_part" in: sky130A_mr.drc:594
-    Edges: 163 (flat)  163 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"space" in: sky130A_mr.drc:596
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:596
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"with_area" in: sky130A_mr.drc:598
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:598
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"separation" in: sky130A_mr.drc:600
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"space" in: sky130A_mr.drc:600
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"+" in: sky130A_mr.drc:600
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:600
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"enclosing" in: sky130A_mr.drc:605
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.070s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:605
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"not" in: sky130A_mr.drc:606
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.030s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:606
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1082.00M
-END: 71/20 (m4)
-START: 71/44 (via4)
-"not" in: sky130A_mr.drc:619
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"non_rectangles" in: sky130A_mr.drc:620
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:620
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1082.00M
-"width" in: sky130A_mr.drc:621
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:621
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"drc" in: sky130A_mr.drc:622
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1114.00M
-"output" in: sky130A_mr.drc:622
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1082.00M
-"space" in: sky130A_mr.drc:624
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"polygons" in: sky130A_mr.drc:624
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:624
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1082.00M
-"enclosing" in: sky130A_mr.drc:632
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:632
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"not" in: sky130A_mr.drc:633
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:633
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1082.00M
-END: 71/44 (via4)
-START: 72/20 (m5)
-"width" in: sky130A_mr.drc:638
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:638
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"space" in: sky130A_mr.drc:640
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:640
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"enclosing" in: sky130A_mr.drc:642
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:642
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1082.00M
-"not" in: sky130A_mr.drc:643
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:643
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"with_area" in: sky130A_mr.drc:647
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:647
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-END: 72/20 (m5)
-START: 76/20 (pad)
-"space" in: sky130A_mr.drc:652
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:652
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-END: 76/20 (pad)
-Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_beol_check.xml ..
-Total elapsed: 3.480s  Memory: 1070.00M
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_feol_check.log b/precheck_results/20_MAR_2022___22_53_12/logs/klayout_feol_check.log
deleted file mode 100644
index e1e32c0..0000000
--- a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_feol_check.log
+++ /dev/null
@@ -1,780 +0,0 @@
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
-"input" in: sky130A_mr.drc:107
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:108
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:109
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:110
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:111
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:112
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:113
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:114
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:115
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:116
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:117
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:118
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:119
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:120
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:121
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:122
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:123
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:124
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:125
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:126
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:127
-    Polygons (raw): 41 (flat)  41 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:129
-    Polygons (raw): 19 (flat)  19 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:130
-    Polygons (raw): 1253 (flat)  1253 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:132
-    Polygons (raw): 22 (flat)  22 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:133
-    Polygons (raw): 4084 (flat)  4084 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:135
-    Polygons (raw): 500 (flat)  500 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:136
-    Polygons (raw): 2620 (flat)  2620 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:138
-    Polygons (raw): 214 (flat)  214 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:139
-    Polygons (raw): 7783 (flat)  7783 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:141
-    Polygons (raw): 53 (flat)  53 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:142
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:144
-    Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:146
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:147
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:148
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:149
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:150
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:151
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:152
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:153
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:154
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:155
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:156
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:157
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:158
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:159
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:160
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:161
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:162
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:163
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:164
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:165
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:166
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:167
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:168
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:169
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:170
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:171
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:172
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:173
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:174
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:175
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:176
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:177
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:178
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:179
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:180
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:181
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:182
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:183
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:184
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:185
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:186
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:187
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:188
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:189
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:190
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:191
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:192
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:193
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:194
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:195
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:196
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:197
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:198
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-DRC section
-FEOL section
-START: 64/18 (dnwell)
-"width" in: sky130A_mr.drc:224
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: sky130A_mr.drc:224
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-END: 64/18 (dnwell)
-START: 64/20 (nwell)
-"width" in: sky130A_mr.drc:229
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: sky130A_mr.drc:229
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"space" in: sky130A_mr.drc:230
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1089.00M
-"output" in: sky130A_mr.drc:230
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"merge" in: sky130A_mr.drc:231
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"holes" in: sky130A_mr.drc:232
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:232
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:232
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 64/20 (nwell)
-START: 78/44 (hvtp)
-"width" in: sky130A_mr.drc:237
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:237
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:238
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:238
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 78/44 (hvtp)
-START: 18/20 (htvr)
-"width" in: sky130A_mr.drc:243
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:243
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"separation" in: sky130A_mr.drc:244
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:244
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"and" in: sky130A_mr.drc:245
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:245
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-END: 18/20 (htvr)
-START: 25/44 (lvtn)
-"width" in: sky130A_mr.drc:250
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:250
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:251
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:251
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-END: 25/44 (lvtn)
-START: 92/44 (ncm)
-"width" in: sky130A_mr.drc:256
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:256
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:257
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1073.00M
-"output" in: sky130A_mr.drc:257
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 92/44 (ncm)
-START: 65/20 (diff)
-"or" in: sky130A_mr.drc:262
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"rectangles" in: sky130A_mr.drc:263
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:263
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"polygons" in: sky130A_mr.drc:263
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"edges" in: sky130A_mr.drc:264
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"outside_part" in: sky130A_mr.drc:264
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"outside" in: sky130A_mr.drc:264
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"edges" in: sky130A_mr.drc:264
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:264
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:265
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"outside" in: sky130A_mr.drc:266
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:266
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:266
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 65/20 (diff)
-START: 65/44 (tap)
-"rectangles" in: sky130A_mr.drc:270
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:270
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"polygons" in: sky130A_mr.drc:270
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"edges" in: sky130A_mr.drc:271
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"outside_part" in: sky130A_mr.drc:271
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"outside" in: sky130A_mr.drc:271
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"edges" in: sky130A_mr.drc:271
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:271
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:272
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:273
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:273
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:273
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 65/44 (tap)
-"space" in: sky130A_mr.drc:276
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:276
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-START: 80/20 (tunm)
-"width" in: sky130A_mr.drc:280
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:280
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:281
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:281
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-END: 80/20 (tunm)
-START: 66/20 (poly)
-"width" in: sky130A_mr.drc:286
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:286
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:287
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:287
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:287
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-START: 86/20 (rpm)
-"width" in: sky130A_mr.drc:292
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:292
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:293
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:293
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-END: 86/20 (rpm)
-START: 79/20 (urpm)
-"width" in: sky130A_mr.drc:298
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:298
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:299
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:299
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-END: 79/20 (urpm)
-START: 95/20 (npc)
-"width" in: sky130A_mr.drc:304
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:304
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:305
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:305
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 95/20 (npc)
-START: 93/44 (nsdm)
-"outside" in: sky130A_mr.drc:310
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:310
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:310
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:311
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:311
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:311
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-END: 93/44 (nsdm)
-START: 94/20 (psdm)
-"outside" in: sky130A_mr.drc:316
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:316
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:316
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:317
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:317
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:317
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-END: 94/20 (psdm)
-START: 66/44 (licon)
-"not" in: sky130A_mr.drc:328
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"and" in: sky130A_mr.drc:329
-    Polygons (raw): 38 (flat)  38 (hierarchical)
-    Elapsed: 0.000s  Memory: 1073.00M
-"interacting" in: sky130A_mr.drc:329
-    Polygons (raw): 38 (flat)  38 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:330
-    Polygons (raw): 38 (flat)  38 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"non_rectangles" in: sky130A_mr.drc:331
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:331
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"or" in: sky130A_mr.drc:332
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:332
-    Polygons (raw): 39 (flat)  39 (hierarchical)
-    Elapsed: 0.020s  Memory: 1065.00M
-"edges" in: sky130A_mr.drc:332
-    Edges: 156 (flat)  156 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"without_length" in: sky130A_mr.drc:332
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:332
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"separation" in: sky130A_mr.drc:333
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:333
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"and" in: sky130A_mr.drc:334
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:334
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"interacting" in: sky130A_mr.drc:335
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"interacting" in: sky130A_mr.drc:335
-    Polygons (raw): 38 (flat)  38 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"and" in: sky130A_mr.drc:335
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:335
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 66/44 (licon)
-START: 89/44 (capm)
-"and" in: sky130A_mr.drc:340
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"sized" in: sky130A_mr.drc:340
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:341
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:341
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:342
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1073.00M
-"output" in: sky130A_mr.drc:342
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"interacting" in: sky130A_mr.drc:343
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"isolated" in: sky130A_mr.drc:343
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:343
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"isolated" in: sky130A_mr.drc:344
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:344
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"and" in: sky130A_mr.drc:345
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:345
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:345
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:346
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:346
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:347
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.040s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:347
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"separation" in: sky130A_mr.drc:348
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.030s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:348
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 89/44 (capm)
-START: 97/44 (cap2m)
-"and" in: sky130A_mr.drc:353
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"sized" in: sky130A_mr.drc:353
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:354
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:354
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:355
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:355
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"interacting" in: sky130A_mr.drc:356
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1065.00M
-"isolated" in: sky130A_mr.drc:356
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:356
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"isolated" in: sky130A_mr.drc:358
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:358
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"and" in: sky130A_mr.drc:359
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:359
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:359
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:360
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1073.00M
-"output" in: sky130A_mr.drc:360
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:361
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1097.00M
-"output" in: sky130A_mr.drc:361
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"separation" in: sky130A_mr.drc:362
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:362
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-END: 97/44 (cap2m)
-FEOL section
-START: 75/20 (hvi)
-"not" in: sky130A_mr.drc:662
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:663
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:663
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:664
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1089.00M
-"output" in: sky130A_mr.drc:664
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 75/20 (hvi)
-START: 125/20 (hvntm)
-"not" in: sky130A_mr.drc:669
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:670
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:670
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:671
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:671
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 125/20 (hvntm)
-Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_feol_check.xml ..
-Total elapsed: 1.840s  Memory: 1065.00M
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_met_min_ca_density_check.log b/precheck_results/20_MAR_2022___22_53_12/logs/klayout_met_min_ca_density_check.log
deleted file mode 100644
index 6692a8b..0000000
--- a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_met_min_ca_density_check.log
+++ /dev/null
@@ -1,79 +0,0 @@
-"polygons" in: met_min_ca_density.lydrc:35
-    Polygons (raw): 19 (flat)  19 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:36
-    Polygons (raw): 1253 (flat)  1253 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:38
-    Polygons (raw): 22 (flat)  22 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:39
-    Polygons (raw): 4084 (flat)  4084 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:41
-    Polygons (raw): 500 (flat)  500 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:42
-    Polygons (raw): 2620 (flat)  2620 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:44
-    Polygons (raw): 215 (flat)  215 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:45
-    Polygons (raw): 7783 (flat)  7783 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:47
-    Polygons (raw): 53 (flat)  53 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:48
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:49
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:51
-    Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"input" in: met_min_ca_density.lydrc:53
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:55
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:59
-    Polygons (raw): 19 (flat)  19 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:59
-    Elapsed: 0.010s  Memory: 521.00M
-li1_ca_density is 0.9999141525042808
-"polygons" in: met_min_ca_density.lydrc:69
-    Polygons (raw): 22 (flat)  22 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:69
-    Elapsed: 0.010s  Memory: 521.00M
-m1_ca_density is 0.9991610401618929
-"polygons" in: met_min_ca_density.lydrc:79
-    Polygons (raw): 500 (flat)  500 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:79
-    Elapsed: 0.010s  Memory: 521.00M
-m2_ca_density is 0.9997438773739104
-"polygons" in: met_min_ca_density.lydrc:89
-    Polygons (raw): 215 (flat)  215 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:89
-    Elapsed: 0.010s  Memory: 521.00M
-m3_ca_density is 0.9960597779518213
-"polygons" in: met_min_ca_density.lydrc:99
-    Polygons (raw): 53 (flat)  53 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:99
-    Elapsed: 0.010s  Memory: 521.00M
-m4_ca_density is 0.9972240886616595
-"polygons" in: met_min_ca_density.lydrc:109
-    Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:109
-    Elapsed: 0.010s  Memory: 521.00M
-m5_ca_density is 0.999817578611457
-Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_met_min_ca_density_check.xml ..
-Total elapsed: 0.200s  Memory: 521.00M
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/20_MAR_2022___22_53_12/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
deleted file mode 100644
index 43fce49..0000000
--- a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
+++ /dev/null
@@ -1,29 +0,0 @@
-Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
-  deep:true tiled:false threads:4
---- #err|description, table for cell: user_analog_project_wrapper
-NO-Check ----        pwell:64/44/EMP   122/16/EMP    64/59/EMP    44/16/EMP     44/5/EMP
-         ----        nwell:64/20/EMP    64/16/EMP     64/5/EMP
-         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
-         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
-         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
-         ----       licon1:66/44/dat    66/58/EMP
-         ----          li1:67/20/dat    67/16/EMP     67/5/EMP
-         ----         mcon:67/44/dat    67/48/EMP
-         ----         met1:68/20/dat    68/16/EMP     68/5/EMP
-         ----          via:68/44/dat    68/58/EMP
-         ----         met2:69/20/dat    69/16/EMP     69/5/dat
-         ----         via2:69/44/dat    69/58/EMP
-         ----         met3:70/20/dat    70/16/dat     70/5/dat
-         ----         via3:70/44/dat    70/48/EMP
-         ----         met4:71/20/dat    71/16/EMP     71/5/dat
-         ----         via4:71/44/EMP    71/48/EMP
-         ----         met5:72/20/dat    72/16/EMP     72/5/dat
-         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
-         ----          pnp:82/44/EMP    82/59/EMP
-         ----          npn:82/20/EMP     82/5/EMP
-         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
-         ----     inductor:82/24/EMP    82/25/EMP
-       0 total error(s) among 0 error type(s), 33 checks, cell: user_analog_project_wrapper
-Writing report...
-VmPeak:	 1189372 kB
-VmHWM:	  231464 kB
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_zeroarea_check.log b/precheck_results/20_MAR_2022___22_53_12/logs/klayout_zeroarea_check.log
deleted file mode 100644
index 5d192d3..0000000
--- a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_zeroarea_check.log
+++ /dev/null
@@ -1,4 +0,0 @@
-0 zero-area shapes
-writing to /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper_no_zero_areas.gds
-VmPeak:	  537580 kB
-VmHWM:	  233420 kB
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/magic_drc_check.log b/precheck_results/20_MAR_2022___22_53_12/logs/magic_drc_check.log
deleted file mode 100644
index cad1b57..0000000
--- a/precheck_results/20_MAR_2022___22_53_12/logs/magic_drc_check.log
+++ /dev/null
@@ -1,30 +0,0 @@
-
-Magic 8.3 revision 265 - Compiled on Tue Feb  8 15:12:01 UTC 2022.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-Processing system .magicrc file
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130: scaleFactor=2, multiplier=2
-The following types are not handled by extraction and will be treated as non-electrical types:
-    nnmos obsactive mvobsactive obsli1 obsm1 obsm2 obsm3 obsm4 obsm5 obsmrdl fillblock comment obscomment res0p35 res0p69 res1p41 res2p85 res5p73 
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/home/wisla/mpw_precheck/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
-Warning: Calma reading is not undoable!  I hope that's OK.
-Library written using GDS-II Release 3.0
-Library name: user_analog_project_wrapper
-Reading "sky130_fd_pr__res_xhigh_po_0p35_HDW2JU".
-Reading "detV2".
-Reading "user_analog_project_wrapper".
-[INFO]: Loading user_analog_project_wrapper
-
-DRC style is now "drc(full)"
-Loading DRC CIF style.
-No errors found.
-[INFO]: COUNT: 0
-[INFO]: Should be divided by 3 or 4
-[INFO]: DRC Checking DONE (/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___22_53_12/outputs/reports/magic_drc_check.drc.report)
-[INFO]: Saving mag view with DRC errors(/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper.magic.drc.mag)
-[INFO]: Saved
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/precheck.log b/precheck_results/20_MAR_2022___22_53_12/logs/precheck.log
deleted file mode 100644
index 6b8ad80..0000000
--- a/precheck_results/20_MAR_2022___22_53_12/logs/precheck.log
+++ /dev/null
@@ -1,59 +0,0 @@
-2022-03-20 22:53:12 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog
-2022-03-20 22:53:12 - [INFO] - {{Project Type Info}} analog
-2022-03-20 22:53:12 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: d27b57fff3ee1d7b22beec6f2a3928e4ed7754b9
-2022-03-20 22:53:12 - [INFO] - {{Tools Info}} KLayout: v0.27.7 | Magic: v8.3.265
-2022-03-20 22:53:12 - [INFO] - {{PDKs Info}} Open PDKs: 32cdb2097fd9a629c91e8ea33e1f6de08ab25946 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
-2022-03-20 22:53:12 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___22_53_12/logs'
-2022-03-20 22:53:12 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
-2022-03-20 22:53:12 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
-2022-03-20 22:53:13 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog.
-2022-03-20 22:53:13 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
-2022-03-20 22:53:14 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog.
-2022-03-20 22:53:15 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog.
-2022-03-20 22:53:15 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
-2022-03-20 22:53:15 - [INFO] - {{SPDX COMPLIANCE CHECK PASSED}} Project is compliant with the SPDX Standard
-2022-03-20 22:53:15 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
-2022-03-20 22:53:15 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
-2022-03-20 22:53:15 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
-2022-03-20 22:53:15 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
-2022-03-20 22:53:16 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
-2022-03-20 22:53:16 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
-2022-03-20 22:53:16 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
-2022-03-20 22:53:16 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
-2022-03-20 22:53:17 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
-2022-03-20 22:53:17 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
-2022-03-20 22:53:17 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
-2022-03-20 22:53:17 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
-2022-03-20 22:53:17 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
-2022-03-20 22:53:17 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
-2022-03-20 22:53:17 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (1 instances). 
-2022-03-20 22:53:17 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
-2022-03-20 22:53:17 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
-2022-03-20 22:53:17 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
-2022-03-20 22:53:17 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
-2022-03-20 22:53:17 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
-2022-03-20 22:53:20 - [INFO] - {{XOR CHECK UPDATE}} Total XOR differences: 2, for more details view /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper.xor.gds
-2022-03-20 22:53:20 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
-2022-03-20 22:53:20 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
-2022-03-20 22:53:21 - [INFO] - 0 DRC violations
-2022-03-20 22:53:21 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-20 22:53:21 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
-2022-03-20 22:53:24 - [INFO] - No DRC Violations found
-2022-03-20 22:53:24 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-20 22:53:24 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
-2022-03-20 22:53:28 - [INFO] - No DRC Violations found
-2022-03-20 22:53:28 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-20 22:53:28 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
-2022-03-20 22:53:31 - [INFO] - No DRC Violations found
-2022-03-20 22:53:31 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-20 22:53:31 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
-2022-03-20 22:53:32 - [INFO] - No DRC Violations found
-2022-03-20 22:53:32 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-20 22:53:32 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
-2022-03-20 22:53:34 - [INFO] - No DRC Violations found
-2022-03-20 22:53:34 - [INFO] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-20 22:53:34 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
-2022-03-20 22:53:35 - [INFO] - No DRC Violations found
-2022-03-20 22:53:35 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-20 22:53:35 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___22_53_12/logs'
-2022-03-20 22:53:35 - [CRITICAL] - {{FAILURE}} 1 Check(s) Failed: ['XOR'] !!!
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/tools.info b/precheck_results/20_MAR_2022___22_53_12/logs/tools.info
deleted file mode 100644
index b904646..0000000
--- a/precheck_results/20_MAR_2022___22_53_12/logs/tools.info
+++ /dev/null
@@ -1,2 +0,0 @@
-KLayout: 0.27.7
-Magic: 8.3.265
\ No newline at end of file
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/xor_check.log b/precheck_results/20_MAR_2022___22_53_12/logs/xor_check.log
deleted file mode 100644
index dd2744d..0000000
--- a/precheck_results/20_MAR_2022___22_53_12/logs/xor_check.log
+++ /dev/null
@@ -1,162 +0,0 @@
-Reading file /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
-dbu=0.001
-cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524030)
-cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524030)
-cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528030)
-cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.03)
-cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.03)
-Done.
-
-Magic 8.3 revision 265 - Compiled on Tue Feb  8 15:12:01 UTC 2022.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-Processing system .magicrc file
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130: scaleFactor=2, multiplier=2
-The following types are not handled by extraction and will be treated as non-electrical types:
-    nnmos obsactive mvobsactive obsli1 obsm1 obsm2 obsm3 obsm4 obsm5 obsmrdl fillblock comment obscomment res0p35 res0p69 res1p41 res2p85 res5p73 
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/home/wisla/mpw_precheck/checks/xor_check/erase_box.tcl" from command line.
-"sky130(vendor)" is not one of the CIF input styles Magic knows.
-The current style is "sky130".
-The CIF input styles are: sky130, vendorimport, waffleimport, rdlimport.
-Warning: Calma reading is not undoable!  I hope that's OK.
-Library written using GDS-II Release 3.0
-Library name: user_analog_project_wrapper
-Reading "sky130_fd_pr__res_xhigh_po_0p35_HDW2JU".
-Reading "detV2".
-Reading "user_analog_project_wrapper".
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  42.880 x 3520.000  (-42.880,  0.000), ( 0.000,  3520.000)  150937.594
-lambda:   4288.00 x 352000.00  (-4288.00,  0.00 ), (  0.00,  352000.00)  1509376000.00
-internal:   8576 x 704000  ( -8576,  0    ), (     0,  704000)  6037504000
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  42.500 x 3520.000  ( 2920.000,  0.000), ( 2962.500,  3520.000)  149600.000
-lambda:   4250.00 x 352000.00  ( 292000.00,  0.00 ), ( 296250.00,  352000.00)  1496000000.00
-internal:   8500 x 704000  ( 584000,  0    ), ( 592500,  704000)  5984000000
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  3005.380 x 37.530  (-42.880, -37.530), ( 2962.500,  0.000)  112791.906
-lambda:   300538.00 x 3753.00  (-4288.00, -3753.00), ( 296250.00,  0.00 )  1127919104.00
-internal: 601076 x 7506    ( -8576, -7506 ), ( 592500,  0    )  4511676456
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  3005.380 x 37.210  (-42.880,  3520.000), ( 2962.500,  3557.210)  111830.188
-lambda:   300538.00 x 3721.00  (-4288.00,  352000.00), ( 296250.00,  355721.00)  1118301952.00
-internal: 601076 x 7442    ( -8576,  704000), ( 592500,  711442)  4473207592
-   Generating output for cell xor_target
-
-Magic 8.3 revision 265 - Compiled on Tue Feb  8 15:12:01 UTC 2022.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-Processing system .magicrc file
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130: scaleFactor=2, multiplier=2
-The following types are not handled by extraction and will be treated as non-electrical types:
-    nnmos obsactive mvobsactive obsli1 obsm1 obsm2 obsm3 obsm4 obsm5 obsmrdl fillblock comment obscomment res0p35 res0p69 res1p41 res2p85 res5p73 
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/home/wisla/mpw_precheck/checks/xor_check/erase_box.tcl" from command line.
-"sky130(vendor)" is not one of the CIF input styles Magic knows.
-The current style is "sky130".
-The CIF input styles are: sky130, vendorimport, waffleimport, rdlimport.
-Warning: Calma reading is not undoable!  I hope that's OK.
-Library written using GDS-II Release 6.0
-Library name: user_analog_project_wrapper_empty
-Reading "user_analog_project_wrapper".
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  42.880 x 3520.000  (-42.880,  0.000), ( 0.000,  3520.000)  150937.594
-lambda:   4288.00 x 352000.00  (-4288.00,  0.00 ), (  0.00,  352000.00)  1509376000.00
-internal:   8576 x 704000  ( -8576,  0    ), (     0,  704000)  6037504000
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  42.500 x 3520.000  ( 2920.000,  0.000), ( 2962.500,  3520.000)  149600.000
-lambda:   4250.00 x 352000.00  ( 292000.00,  0.00 ), ( 296250.00,  352000.00)  1496000000.00
-internal:   8500 x 704000  ( 584000,  0    ), ( 592500,  704000)  5984000000
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  3005.380 x 37.530  (-42.880, -37.530), ( 2962.500,  0.000)  112791.906
-lambda:   300538.00 x 3753.00  (-4288.00, -3753.00), ( 296250.00,  0.00 )  1127919104.00
-internal: 601076 x 7506    ( -8576, -7506 ), ( 592500,  0    )  4511676456
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  3005.380 x 37.210  (-42.880,  3520.000), ( 2962.500,  3557.210)  111830.188
-lambda:   300538.00 x 3721.00  (-4288.00,  352000.00), ( 296250.00,  355721.00)  1118301952.00
-internal: 601076 x 7442    ( -8576,  704000), ( 592500,  711442)  4473207592
-   Generating output for cell xor_target
-Reading /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper_erased.gds ..
-Reading /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper_empty_erased.gds ..
---- Running XOR for 69/20 ---
-"input" in: xor.rb.drc:39
-    Polygons (raw): 494 (flat)  494 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"input" in: xor.rb.drc:39
-    Polygons (raw): 494 (flat)  494 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"^" in: xor.rb.drc:39
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-XOR differences: 0
-"output" in: xor.rb.drc:43
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
---- Running XOR for 70/20 ---
-"input" in: xor.rb.drc:39
-    Polygons (raw): 185 (flat)  185 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"input" in: xor.rb.drc:39
-    Polygons (raw): 184 (flat)  184 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"^" in: xor.rb.drc:39
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-XOR differences: 1
-"output" in: xor.rb.drc:43
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
---- Running XOR for 72/20 ---
-"input" in: xor.rb.drc:39
-    Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"input" in: xor.rb.drc:39
-    Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"^" in: xor.rb.drc:39
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-XOR differences: 0
-"output" in: xor.rb.drc:43
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
---- Running XOR for 81/14 ---
-"input" in: xor.rb.drc:39
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"input" in: xor.rb.drc:39
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"^" in: xor.rb.drc:39
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-XOR differences: 1
-"output" in: xor.rb.drc:43
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-Writing layout file: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper.xor.gds ..
-Total elapsed: 0.110s  Memory: 521.00M
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/xor_check.total b/precheck_results/20_MAR_2022___22_53_12/logs/xor_check.total
deleted file mode 100644
index d8263ee..0000000
--- a/precheck_results/20_MAR_2022___22_53_12/logs/xor_check.total
+++ /dev/null
@@ -1 +0,0 @@
-2
\ No newline at end of file
diff --git a/precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
deleted file mode 100644
index 52f5a1c..0000000
--- a/precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+++ /dev/null
@@ -1,21 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper.magic.drc.mag
deleted file mode 100644
index fd2e99e..0000000
--- a/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper.magic.drc.mag
+++ /dev/null
@@ -1,2232 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1647816801
-<< checkpaint >>
-rect -4732 -4732 588732 708738
-<< locali >>
-rect 434794 569617 436878 571198
-rect 434794 566631 435059 569617
-rect 436461 566631 436878 569617
-rect 434794 565120 436878 566631
-<< viali >>
-rect 435059 566631 436461 569617
-<< metal1 >>
-rect 507996 668996 513996 668998
-rect 435010 668736 513996 668996
-rect 435010 667340 509023 668736
-rect 511571 667340 513996 668736
-rect 435010 666998 513996 667340
-rect 435010 666996 511010 666998
-rect 435012 629082 437012 666996
-rect 435002 626778 437012 629082
-rect 435002 611978 437002 626778
-rect 435002 611016 437012 611978
-rect 435012 593912 437012 611016
-rect 434434 571193 437476 571216
-rect 434434 565125 434818 571193
-rect 436854 565125 437476 571193
-rect 434434 565008 437476 565125
-<< via1 >>
-rect 509023 667340 511571 668736
-rect 434818 569617 436854 571193
-rect 434818 566631 435059 569617
-rect 435059 566631 436461 569617
-rect 436461 566631 436854 569617
-rect 434818 565125 436854 566631
-<< metal2 >>
-rect 507996 668736 513996 668998
-rect 507996 667340 509023 668736
-rect 511571 667340 513996 668736
-rect 507996 666998 513996 667340
-rect 434434 571193 437476 571216
-rect 434434 571187 434818 571193
-rect 436854 571187 437476 571193
-rect 434434 565131 434808 571187
-rect 436864 565131 437476 571187
-rect 434434 565125 434818 565131
-rect 436854 565125 437476 565131
-rect 434434 565008 437476 565125
-rect 524 -800 636 480
-rect 1706 -800 1818 480
-rect 2888 -800 3000 480
-rect 4070 -800 4182 480
-rect 5252 -800 5364 480
-rect 6434 -800 6546 480
-rect 7616 -800 7728 480
-rect 8798 -800 8910 480
-rect 9980 -800 10092 480
-rect 11162 -800 11274 480
-rect 12344 -800 12456 480
-rect 13526 -800 13638 480
-rect 14708 -800 14820 480
-rect 15890 -800 16002 480
-rect 17072 -800 17184 480
-rect 18254 -800 18366 480
-rect 19436 -800 19548 480
-rect 20618 -800 20730 480
-rect 21800 -800 21912 480
-rect 22982 -800 23094 480
-rect 24164 -800 24276 480
-rect 25346 -800 25458 480
-rect 26528 -800 26640 480
-rect 27710 -800 27822 480
-rect 28892 -800 29004 480
-rect 30074 -800 30186 480
-rect 31256 -800 31368 480
-rect 32438 -800 32550 480
-rect 33620 -800 33732 480
-rect 34802 -800 34914 480
-rect 35984 -800 36096 480
-rect 37166 -800 37278 480
-rect 38348 -800 38460 480
-rect 39530 -800 39642 480
-rect 40712 -800 40824 480
-rect 41894 -800 42006 480
-rect 43076 -800 43188 480
-rect 44258 -800 44370 480
-rect 45440 -800 45552 480
-rect 46622 -800 46734 480
-rect 47804 -800 47916 480
-rect 48986 -800 49098 480
-rect 50168 -800 50280 480
-rect 51350 -800 51462 480
-rect 52532 -800 52644 480
-rect 53714 -800 53826 480
-rect 54896 -800 55008 480
-rect 56078 -800 56190 480
-rect 57260 -800 57372 480
-rect 58442 -800 58554 480
-rect 59624 -800 59736 480
-rect 60806 -800 60918 480
-rect 61988 -800 62100 480
-rect 63170 -800 63282 480
-rect 64352 -800 64464 480
-rect 65534 -800 65646 480
-rect 66716 -800 66828 480
-rect 67898 -800 68010 480
-rect 69080 -800 69192 480
-rect 70262 -800 70374 480
-rect 71444 -800 71556 480
-rect 72626 -800 72738 480
-rect 73808 -800 73920 480
-rect 74990 -800 75102 480
-rect 76172 -800 76284 480
-rect 77354 -800 77466 480
-rect 78536 -800 78648 480
-rect 79718 -800 79830 480
-rect 80900 -800 81012 480
-rect 82082 -800 82194 480
-rect 83264 -800 83376 480
-rect 84446 -800 84558 480
-rect 85628 -800 85740 480
-rect 86810 -800 86922 480
-rect 87992 -800 88104 480
-rect 89174 -800 89286 480
-rect 90356 -800 90468 480
-rect 91538 -800 91650 480
-rect 92720 -800 92832 480
-rect 93902 -800 94014 480
-rect 95084 -800 95196 480
-rect 96266 -800 96378 480
-rect 97448 -800 97560 480
-rect 98630 -800 98742 480
-rect 99812 -800 99924 480
-rect 100994 -800 101106 480
-rect 102176 -800 102288 480
-rect 103358 -800 103470 480
-rect 104540 -800 104652 480
-rect 105722 -800 105834 480
-rect 106904 -800 107016 480
-rect 108086 -800 108198 480
-rect 109268 -800 109380 480
-rect 110450 -800 110562 480
-rect 111632 -800 111744 480
-rect 112814 -800 112926 480
-rect 113996 -800 114108 480
-rect 115178 -800 115290 480
-rect 116360 -800 116472 480
-rect 117542 -800 117654 480
-rect 118724 -800 118836 480
-rect 119906 -800 120018 480
-rect 121088 -800 121200 480
-rect 122270 -800 122382 480
-rect 123452 -800 123564 480
-rect 124634 -800 124746 480
-rect 125816 -800 125928 480
-rect 126998 -800 127110 480
-rect 128180 -800 128292 480
-rect 129362 -800 129474 480
-rect 130544 -800 130656 480
-rect 131726 -800 131838 480
-rect 132908 -800 133020 480
-rect 134090 -800 134202 480
-rect 135272 -800 135384 480
-rect 136454 -800 136566 480
-rect 137636 -800 137748 480
-rect 138818 -800 138930 480
-rect 140000 -800 140112 480
-rect 141182 -800 141294 480
-rect 142364 -800 142476 480
-rect 143546 -800 143658 480
-rect 144728 -800 144840 480
-rect 145910 -800 146022 480
-rect 147092 -800 147204 480
-rect 148274 -800 148386 480
-rect 149456 -800 149568 480
-rect 150638 -800 150750 480
-rect 151820 -800 151932 480
-rect 153002 -800 153114 480
-rect 154184 -800 154296 480
-rect 155366 -800 155478 480
-rect 156548 -800 156660 480
-rect 157730 -800 157842 480
-rect 158912 -800 159024 480
-rect 160094 -800 160206 480
-rect 161276 -800 161388 480
-rect 162458 -800 162570 480
-rect 163640 -800 163752 480
-rect 164822 -800 164934 480
-rect 166004 -800 166116 480
-rect 167186 -800 167298 480
-rect 168368 -800 168480 480
-rect 169550 -800 169662 480
-rect 170732 -800 170844 480
-rect 171914 -800 172026 480
-rect 173096 -800 173208 480
-rect 174278 -800 174390 480
-rect 175460 -800 175572 480
-rect 176642 -800 176754 480
-rect 177824 -800 177936 480
-rect 179006 -800 179118 480
-rect 180188 -800 180300 480
-rect 181370 -800 181482 480
-rect 182552 -800 182664 480
-rect 183734 -800 183846 480
-rect 184916 -800 185028 480
-rect 186098 -800 186210 480
-rect 187280 -800 187392 480
-rect 188462 -800 188574 480
-rect 189644 -800 189756 480
-rect 190826 -800 190938 480
-rect 192008 -800 192120 480
-rect 193190 -800 193302 480
-rect 194372 -800 194484 480
-rect 195554 -800 195666 480
-rect 196736 -800 196848 480
-rect 197918 -800 198030 480
-rect 199100 -800 199212 480
-rect 200282 -800 200394 480
-rect 201464 -800 201576 480
-rect 202646 -800 202758 480
-rect 203828 -800 203940 480
-rect 205010 -800 205122 480
-rect 206192 -800 206304 480
-rect 207374 -800 207486 480
-rect 208556 -800 208668 480
-rect 209738 -800 209850 480
-rect 210920 -800 211032 480
-rect 212102 -800 212214 480
-rect 213284 -800 213396 480
-rect 214466 -800 214578 480
-rect 215648 -800 215760 480
-rect 216830 -800 216942 480
-rect 218012 -800 218124 480
-rect 219194 -800 219306 480
-rect 220376 -800 220488 480
-rect 221558 -800 221670 480
-rect 222740 -800 222852 480
-rect 223922 -800 224034 480
-rect 225104 -800 225216 480
-rect 226286 -800 226398 480
-rect 227468 -800 227580 480
-rect 228650 -800 228762 480
-rect 229832 -800 229944 480
-rect 231014 -800 231126 480
-rect 232196 -800 232308 480
-rect 233378 -800 233490 480
-rect 234560 -800 234672 480
-rect 235742 -800 235854 480
-rect 236924 -800 237036 480
-rect 238106 -800 238218 480
-rect 239288 -800 239400 480
-rect 240470 -800 240582 480
-rect 241652 -800 241764 480
-rect 242834 -800 242946 480
-rect 244016 -800 244128 480
-rect 245198 -800 245310 480
-rect 246380 -800 246492 480
-rect 247562 -800 247674 480
-rect 248744 -800 248856 480
-rect 249926 -800 250038 480
-rect 251108 -800 251220 480
-rect 252290 -800 252402 480
-rect 253472 -800 253584 480
-rect 254654 -800 254766 480
-rect 255836 -800 255948 480
-rect 257018 -800 257130 480
-rect 258200 -800 258312 480
-rect 259382 -800 259494 480
-rect 260564 -800 260676 480
-rect 261746 -800 261858 480
-rect 262928 -800 263040 480
-rect 264110 -800 264222 480
-rect 265292 -800 265404 480
-rect 266474 -800 266586 480
-rect 267656 -800 267768 480
-rect 268838 -800 268950 480
-rect 270020 -800 270132 480
-rect 271202 -800 271314 480
-rect 272384 -800 272496 480
-rect 273566 -800 273678 480
-rect 274748 -800 274860 480
-rect 275930 -800 276042 480
-rect 277112 -800 277224 480
-rect 278294 -800 278406 480
-rect 279476 -800 279588 480
-rect 280658 -800 280770 480
-rect 281840 -800 281952 480
-rect 283022 -800 283134 480
-rect 284204 -800 284316 480
-rect 285386 -800 285498 480
-rect 286568 -800 286680 480
-rect 287750 -800 287862 480
-rect 288932 -800 289044 480
-rect 290114 -800 290226 480
-rect 291296 -800 291408 480
-rect 292478 -800 292590 480
-rect 293660 -800 293772 480
-rect 294842 -800 294954 480
-rect 296024 -800 296136 480
-rect 297206 -800 297318 480
-rect 298388 -800 298500 480
-rect 299570 -800 299682 480
-rect 300752 -800 300864 480
-rect 301934 -800 302046 480
-rect 303116 -800 303228 480
-rect 304298 -800 304410 480
-rect 305480 -800 305592 480
-rect 306662 -800 306774 480
-rect 307844 -800 307956 480
-rect 309026 -800 309138 480
-rect 310208 -800 310320 480
-rect 311390 -800 311502 480
-rect 312572 -800 312684 480
-rect 313754 -800 313866 480
-rect 314936 -800 315048 480
-rect 316118 -800 316230 480
-rect 317300 -800 317412 480
-rect 318482 -800 318594 480
-rect 319664 -800 319776 480
-rect 320846 -800 320958 480
-rect 322028 -800 322140 480
-rect 323210 -800 323322 480
-rect 324392 -800 324504 480
-rect 325574 -800 325686 480
-rect 326756 -800 326868 480
-rect 327938 -800 328050 480
-rect 329120 -800 329232 480
-rect 330302 -800 330414 480
-rect 331484 -800 331596 480
-rect 332666 -800 332778 480
-rect 333848 -800 333960 480
-rect 335030 -800 335142 480
-rect 336212 -800 336324 480
-rect 337394 -800 337506 480
-rect 338576 -800 338688 480
-rect 339758 -800 339870 480
-rect 340940 -800 341052 480
-rect 342122 -800 342234 480
-rect 343304 -800 343416 480
-rect 344486 -800 344598 480
-rect 345668 -800 345780 480
-rect 346850 -800 346962 480
-rect 348032 -800 348144 480
-rect 349214 -800 349326 480
-rect 350396 -800 350508 480
-rect 351578 -800 351690 480
-rect 352760 -800 352872 480
-rect 353942 -800 354054 480
-rect 355124 -800 355236 480
-rect 356306 -800 356418 480
-rect 357488 -800 357600 480
-rect 358670 -800 358782 480
-rect 359852 -800 359964 480
-rect 361034 -800 361146 480
-rect 362216 -800 362328 480
-rect 363398 -800 363510 480
-rect 364580 -800 364692 480
-rect 365762 -800 365874 480
-rect 366944 -800 367056 480
-rect 368126 -800 368238 480
-rect 369308 -800 369420 480
-rect 370490 -800 370602 480
-rect 371672 -800 371784 480
-rect 372854 -800 372966 480
-rect 374036 -800 374148 480
-rect 375218 -800 375330 480
-rect 376400 -800 376512 480
-rect 377582 -800 377694 480
-rect 378764 -800 378876 480
-rect 379946 -800 380058 480
-rect 381128 -800 381240 480
-rect 382310 -800 382422 480
-rect 383492 -800 383604 480
-rect 384674 -800 384786 480
-rect 385856 -800 385968 480
-rect 387038 -800 387150 480
-rect 388220 -800 388332 480
-rect 389402 -800 389514 480
-rect 390584 -800 390696 480
-rect 391766 -800 391878 480
-rect 392948 -800 393060 480
-rect 394130 -800 394242 480
-rect 395312 -800 395424 480
-rect 396494 -800 396606 480
-rect 397676 -800 397788 480
-rect 398858 -800 398970 480
-rect 400040 -800 400152 480
-rect 401222 -800 401334 480
-rect 402404 -800 402516 480
-rect 403586 -800 403698 480
-rect 404768 -800 404880 480
-rect 405950 -800 406062 480
-rect 407132 -800 407244 480
-rect 408314 -800 408426 480
-rect 409496 -800 409608 480
-rect 410678 -800 410790 480
-rect 411860 -800 411972 480
-rect 413042 -800 413154 480
-rect 414224 -800 414336 480
-rect 415406 -800 415518 480
-rect 416588 -800 416700 480
-rect 417770 -800 417882 480
-rect 418952 -800 419064 480
-rect 420134 -800 420246 480
-rect 421316 -800 421428 480
-rect 422498 -800 422610 480
-rect 423680 -800 423792 480
-rect 424862 -800 424974 480
-rect 426044 -800 426156 480
-rect 427226 -800 427338 480
-rect 428408 -800 428520 480
-rect 429590 -800 429702 480
-rect 430772 -800 430884 480
-rect 431954 -800 432066 480
-rect 433136 -800 433248 480
-rect 434318 -800 434430 480
-rect 435500 -800 435612 480
-rect 436682 -800 436794 480
-rect 437864 -800 437976 480
-rect 439046 -800 439158 480
-rect 440228 -800 440340 480
-rect 441410 -800 441522 480
-rect 442592 -800 442704 480
-rect 443774 -800 443886 480
-rect 444956 -800 445068 480
-rect 446138 -800 446250 480
-rect 447320 -800 447432 480
-rect 448502 -800 448614 480
-rect 449684 -800 449796 480
-rect 450866 -800 450978 480
-rect 452048 -800 452160 480
-rect 453230 -800 453342 480
-rect 454412 -800 454524 480
-rect 455594 -800 455706 480
-rect 456776 -800 456888 480
-rect 457958 -800 458070 480
-rect 459140 -800 459252 480
-rect 460322 -800 460434 480
-rect 461504 -800 461616 480
-rect 462686 -800 462798 480
-rect 463868 -800 463980 480
-rect 465050 -800 465162 480
-rect 466232 -800 466344 480
-rect 467414 -800 467526 480
-rect 468596 -800 468708 480
-rect 469778 -800 469890 480
-rect 470960 -800 471072 480
-rect 472142 -800 472254 480
-rect 473324 -800 473436 480
-rect 474506 -800 474618 480
-rect 475688 -800 475800 480
-rect 476870 -800 476982 480
-rect 478052 -800 478164 480
-rect 479234 -800 479346 480
-rect 480416 -800 480528 480
-rect 481598 -800 481710 480
-rect 482780 -800 482892 480
-rect 483962 -800 484074 480
-rect 485144 -800 485256 480
-rect 486326 -800 486438 480
-rect 487508 -800 487620 480
-rect 488690 -800 488802 480
-rect 489872 -800 489984 480
-rect 491054 -800 491166 480
-rect 492236 -800 492348 480
-rect 493418 -800 493530 480
-rect 494600 -800 494712 480
-rect 495782 -800 495894 480
-rect 496964 -800 497076 480
-rect 498146 -800 498258 480
-rect 499328 -800 499440 480
-rect 500510 -800 500622 480
-rect 501692 -800 501804 480
-rect 502874 -800 502986 480
-rect 504056 -800 504168 480
-rect 505238 -800 505350 480
-rect 506420 -800 506532 480
-rect 507602 -800 507714 480
-rect 508784 -800 508896 480
-rect 509966 -800 510078 480
-rect 511148 -800 511260 480
-rect 512330 -800 512442 480
-rect 513512 -800 513624 480
-rect 514694 -800 514806 480
-rect 515876 -800 515988 480
-rect 517058 -800 517170 480
-rect 518240 -800 518352 480
-rect 519422 -800 519534 480
-rect 520604 -800 520716 480
-rect 521786 -800 521898 480
-rect 522968 -800 523080 480
-rect 524150 -800 524262 480
-rect 525332 -800 525444 480
-rect 526514 -800 526626 480
-rect 527696 -800 527808 480
-rect 528878 -800 528990 480
-rect 530060 -800 530172 480
-rect 531242 -800 531354 480
-rect 532424 -800 532536 480
-rect 533606 -800 533718 480
-rect 534788 -800 534900 480
-rect 535970 -800 536082 480
-rect 537152 -800 537264 480
-rect 538334 -800 538446 480
-rect 539516 -800 539628 480
-rect 540698 -800 540810 480
-rect 541880 -800 541992 480
-rect 543062 -800 543174 480
-rect 544244 -800 544356 480
-rect 545426 -800 545538 480
-rect 546608 -800 546720 480
-rect 547790 -800 547902 480
-rect 548972 -800 549084 480
-rect 550154 -800 550266 480
-rect 551336 -800 551448 480
-rect 552518 -800 552630 480
-rect 553700 -800 553812 480
-rect 554882 -800 554994 480
-rect 556064 -800 556176 480
-rect 557246 -800 557358 480
-rect 558428 -800 558540 480
-rect 559610 -800 559722 480
-rect 560792 -800 560904 480
-rect 561974 -800 562086 480
-rect 563156 -800 563268 480
-rect 564338 -800 564450 480
-rect 565520 -800 565632 480
-rect 566702 -800 566814 480
-rect 567884 -800 567996 480
-rect 569066 -800 569178 480
-rect 570248 -800 570360 480
-rect 571430 -800 571542 480
-rect 572612 -800 572724 480
-rect 573794 -800 573906 480
-rect 574976 -800 575088 480
-rect 576158 -800 576270 480
-rect 577340 -800 577452 480
-rect 578522 -800 578634 480
-rect 579704 -800 579816 480
-rect 580886 -800 580998 480
-rect 582068 -800 582180 480
-rect 583250 -800 583362 480
-<< via2 >>
-rect 509029 667370 511565 668706
-rect 434808 565131 434818 571187
-rect 434818 565131 436854 571187
-rect 436854 565131 436864 571187
-<< metal3 >>
-rect 521988 704800 524002 704806
-rect 16194 702300 21194 704800
-rect 68194 702300 73194 704800
-rect 120194 702300 125194 704800
-rect 165594 702300 170594 704800
-rect 170894 702300 173094 704800
-rect 173394 702300 175594 704800
-rect 175894 702300 180894 704800
-rect 217294 702300 222294 704800
-rect 222594 702300 224794 704800
-rect 225094 702300 227294 704800
-rect 227594 702300 232594 704800
-rect 318994 702300 323994 704800
-rect 324294 702300 326494 704800
-rect 326794 702300 328994 704800
-rect 329294 702300 334294 704800
-rect 413394 702300 418394 704800
-rect 465394 702300 470394 704800
-rect 510594 702340 515394 704800
-rect 520594 702340 525394 704800
-rect -800 680242 1700 685242
-rect -800 643842 1660 648642
-rect 404626 644972 407196 645004
-rect 414934 644972 416934 702300
-rect 404626 644661 416952 644972
-rect 404626 643397 405020 644661
-rect 406684 643397 416952 644661
-rect 404626 642972 416952 643397
-rect 404626 642970 407196 642972
-rect 414934 642918 416934 642972
-rect 466978 641092 468978 702300
-rect 511984 669010 513998 702340
-rect 521988 669010 524002 702340
-rect 566594 702300 571594 704800
-rect 567992 690004 569992 702300
-rect 544990 689624 569992 690004
-rect 544990 688360 545818 689624
-rect 549962 688360 569992 689624
-rect 544990 688004 569992 688360
-rect 567992 687986 569992 688004
-rect 582300 677984 584800 682984
-rect 511984 668998 524002 669010
-rect 507996 668706 524002 668998
-rect 507996 667370 509029 668706
-rect 511565 667370 524002 668706
-rect 507996 667014 524002 667370
-rect 507996 667002 513998 667014
-rect 521988 667008 524002 667014
-rect 507996 666998 513996 667002
-rect 466978 640972 467218 641092
-rect 466980 638948 467218 640972
-rect 468642 640972 468978 641092
-rect 468642 638948 468972 640972
-rect 582340 639784 584800 644584
-rect -800 633842 1660 638642
-rect 466980 638474 468972 638948
-rect 582340 629784 584800 634584
-rect 583520 589472 584800 589584
-rect 583520 588290 584800 588402
-rect 583520 587108 584800 587220
-rect 583520 585926 584800 586038
-rect 583520 584744 584800 584856
-rect 583520 583562 584800 583674
-rect 434434 571191 437476 571216
-rect 434434 565127 434804 571191
-rect 436868 565127 437476 571191
-rect 434434 565008 437476 565127
-rect -800 559442 1660 564242
-rect -800 549442 1660 554242
-rect 582340 550562 584800 555362
-rect 582340 540562 584800 545362
-rect -800 511530 480 511642
-rect -800 510348 480 510460
-rect -800 509166 480 509278
-rect -800 507984 480 508096
-rect -800 506802 480 506914
-rect -800 505620 480 505732
-rect 583520 500050 584800 500162
-rect 583520 498868 584800 498980
-rect 583520 497686 584800 497798
-rect 583520 496504 584800 496616
-rect 583520 495322 584800 495434
-rect 583520 494140 584800 494252
-rect -800 468308 480 468420
-rect -800 467126 480 467238
-rect -800 465944 480 466056
-rect -800 464762 480 464874
-rect -800 463580 480 463692
-rect -800 462398 480 462510
-rect 583520 455628 584800 455740
-rect 583520 454446 584800 454558
-rect 583520 453264 584800 453376
-rect 583520 452082 584800 452194
-rect 583520 450900 584800 451012
-rect 583520 449718 584800 449830
-rect -800 425086 480 425198
-rect -800 423904 480 424016
-rect -800 422722 480 422834
-rect -800 421540 480 421652
-rect -800 420358 480 420470
-rect -800 419176 480 419288
-rect 583520 411206 584800 411318
-rect 583520 410024 584800 410136
-rect 583520 408842 584800 408954
-rect 583520 407660 584800 407772
-rect 583520 406478 584800 406590
-rect 583520 405296 584800 405408
-rect -800 381864 480 381976
-rect -800 380682 480 380794
-rect -800 379500 480 379612
-rect -800 378318 480 378430
-rect -800 377136 480 377248
-rect -800 375954 480 376066
-rect 583520 364784 584800 364896
-rect 583520 363602 584800 363714
-rect 583520 362420 584800 362532
-rect 583520 361238 584800 361350
-rect 583520 360056 584800 360168
-rect 583520 358874 584800 358986
-rect -800 338642 480 338754
-rect -800 337460 480 337572
-rect -800 336278 480 336390
-rect -800 335096 480 335208
-rect -800 333914 480 334026
-rect -800 332732 480 332844
-rect 583520 319562 584800 319674
-rect 583520 318380 584800 318492
-rect 583520 317198 584800 317310
-rect 583520 316016 584800 316128
-rect 583520 314834 584800 314946
-rect 583520 313652 584800 313764
-rect -800 295420 480 295532
-rect -800 294238 480 294350
-rect -800 293056 480 293168
-rect -800 291874 480 291986
-rect -800 290692 480 290804
-rect -800 289510 480 289622
-rect 583520 275140 584800 275252
-rect 583520 273958 584800 274070
-rect 583520 272776 584800 272888
-rect 583520 271594 584800 271706
-rect 583520 270412 584800 270524
-rect 583520 269230 584800 269342
-rect -800 252398 480 252510
-rect -800 251216 480 251328
-rect -800 250034 480 250146
-rect -800 248852 480 248964
-rect -800 247670 480 247782
-rect -800 246488 480 246600
-rect 582340 235230 584800 240030
-rect 582340 225230 584800 230030
-rect -800 214888 1660 219688
-rect -800 204888 1660 209688
-rect 582340 191430 584800 196230
-rect 582340 181430 584800 186230
-rect -800 172888 1660 177688
-rect -800 162888 1660 167688
-rect 582340 146830 584800 151630
-rect 582340 136830 584800 141630
-rect -800 124776 480 124888
-rect -800 123594 480 123706
-rect -800 122412 480 122524
-rect -800 121230 480 121342
-rect -800 120048 480 120160
-rect -800 118866 480 118978
-rect 583520 95118 584800 95230
-rect 583520 93936 584800 94048
-rect 583520 92754 584800 92866
-rect 583520 91572 584800 91684
-rect -800 81554 480 81666
-rect -800 80372 480 80484
-rect -800 79190 480 79302
-rect -800 78008 480 78120
-rect -800 76826 480 76938
-rect -800 75644 480 75756
-rect 583520 50460 584800 50572
-rect 583520 49278 584800 49390
-rect 583520 48096 584800 48208
-rect 583520 46914 584800 47026
-rect -800 38332 480 38444
-rect -800 37150 480 37262
-rect -800 35968 480 36080
-rect -800 34786 480 34898
-rect -800 33604 480 33716
-rect -800 32422 480 32534
-rect 583520 24002 584800 24114
-rect 583520 22820 584800 22932
-rect 583520 21638 584800 21750
-rect 583520 20456 584800 20568
-rect 583520 19274 584800 19386
-rect 583520 18092 584800 18204
-rect -800 16910 480 17022
-rect 583520 16910 584800 17022
-rect -800 15728 480 15840
-rect 583520 15728 584800 15840
-rect -800 14546 480 14658
-rect 583520 14546 584800 14658
-rect -800 13364 480 13476
-rect 583520 13364 584800 13476
-rect -800 12182 480 12294
-rect 583520 12182 584800 12294
-rect -800 11000 480 11112
-rect 583520 11000 584800 11112
-rect -800 9818 480 9930
-rect 583520 9818 584800 9930
-rect -800 8636 480 8748
-rect 583520 8636 584800 8748
-rect -800 7454 480 7566
-rect 583520 7454 584800 7566
-rect -800 6272 480 6384
-rect 583520 6272 584800 6384
-rect -800 5090 480 5202
-rect 583520 5090 584800 5202
-rect -800 3908 480 4020
-rect 583520 3908 584800 4020
-rect -800 2726 480 2838
-rect 583520 2726 584800 2838
-rect -800 1544 480 1656
-rect 583520 1544 584800 1656
-<< via3 >>
-rect 405020 643397 406684 644661
-rect 545818 688360 549962 689624
-rect 467218 638948 468642 641092
-rect 434804 571187 436868 571191
-rect 434804 565131 434808 571187
-rect 434808 565131 436864 571187
-rect 436864 565131 436868 571187
-rect 434804 565127 436868 565131
-<< metal4 >>
-rect 165594 702300 170594 704800
-rect 175894 702300 180894 704800
-rect 217294 702300 222294 704800
-rect 227594 702300 232594 704800
-rect 318994 702300 323994 704800
-rect 329294 702300 334294 704800
-rect 544956 689624 550956 689998
-rect 544956 688360 545818 689624
-rect 549962 688360 550956 689624
-rect 544956 687998 550956 688360
-rect 544990 660116 546990 687998
-rect 530482 660114 546990 660116
-rect 492960 658342 546990 660114
-rect 492960 658116 546982 658342
-rect 492960 658114 532960 658116
-rect 492970 646018 494970 658114
-rect 404626 644992 407196 645004
-rect 404622 644661 407196 644992
-rect 404622 643397 405020 644661
-rect 406684 643397 407196 644661
-rect 404622 642970 407196 643397
-rect 404622 626778 406622 642970
-rect 492970 642110 494992 646018
-rect 466994 641428 468994 641710
-rect 466980 641092 468994 641428
-rect 466980 638948 467218 641092
-rect 468642 638948 468994 641092
-rect 466980 638474 468994 638948
-rect 466994 628082 468994 638474
-rect 492992 632100 494992 642110
-rect 466990 626778 468994 628082
-rect 492990 632068 494992 632100
-rect 492990 626778 494994 632068
-rect 404622 611978 406618 626778
-rect 466990 611978 468992 626778
-rect 492990 611978 494992 626778
-rect 404622 566236 406622 611978
-rect 466990 606986 468994 611978
-rect 492990 611004 494994 611978
-rect 434434 571191 437476 571216
-rect 419954 566236 421954 570564
-rect 404622 566228 421962 566236
-rect 404616 564228 421964 566228
-rect 434434 565127 434804 571191
-rect 436868 565127 437476 571191
-rect 442968 565226 444968 575993
-rect 466994 565228 468994 606986
-rect 453654 565226 468994 565228
-rect 434434 565008 437476 565127
-rect 404622 564192 421962 564228
-rect 406622 564166 421962 564192
-rect 419954 564164 421954 564166
-rect 434878 559264 436878 565008
-rect 442960 563158 468994 565226
-rect 442960 563156 457944 563158
-rect 442968 563148 444968 563156
-rect 492994 559264 494994 611004
-rect 434878 557268 494994 559264
-rect 434878 557264 494878 557268
-<< metal5 >>
-rect 165594 702300 170594 704800
-rect 175894 702300 180894 704800
-rect 217294 702300 222294 704800
-rect 227594 702300 232594 704800
-rect 318994 702300 323994 704800
-rect 329294 702300 334294 704800
-use detV2  detV2_0
-timestamp 1647787158
-transform 1 0 481580 0 1 597004
-box -64974 -26942 -25500 -2992
-<< labels >>
-flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1400 0 0 0 gpio_analog[0]
-port 1 nsew
-flabel metal3 s -800 381864 480 381976 0 FreeSans 1400 0 0 0 gpio_analog[10]
-port 2 nsew
-flabel metal3 s -800 338642 480 338754 0 FreeSans 1400 0 0 0 gpio_analog[11]
-port 3 nsew
-flabel metal3 s -800 295420 480 295532 0 FreeSans 1400 0 0 0 gpio_analog[12]
-port 4 nsew
-flabel metal3 s -800 252398 480 252510 0 FreeSans 1400 0 0 0 gpio_analog[13]
-port 5 nsew
-flabel metal3 s -800 124776 480 124888 0 FreeSans 1400 0 0 0 gpio_analog[14]
-port 6 nsew
-flabel metal3 s -800 81554 480 81666 0 FreeSans 1400 0 0 0 gpio_analog[15]
-port 7 nsew
-flabel metal3 s -800 38332 480 38444 0 FreeSans 1400 0 0 0 gpio_analog[16]
-port 8 nsew
-flabel metal3 s -800 16910 480 17022 0 FreeSans 1400 0 0 0 gpio_analog[17]
-port 9 nsew
-flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1400 0 0 0 gpio_analog[1]
-port 10 nsew
-flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1400 0 0 0 gpio_analog[2]
-port 11 nsew
-flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1400 0 0 0 gpio_analog[3]
-port 12 nsew
-flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1400 0 0 0 gpio_analog[4]
-port 13 nsew
-flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1400 0 0 0 gpio_analog[5]
-port 14 nsew
-flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1400 0 0 0 gpio_analog[6]
-port 15 nsew
-flabel metal3 s -800 511530 480 511642 0 FreeSans 1400 0 0 0 gpio_analog[7]
-port 16 nsew
-flabel metal3 s -800 468308 480 468420 0 FreeSans 1400 0 0 0 gpio_analog[8]
-port 17 nsew
-flabel metal3 s -800 425086 480 425198 0 FreeSans 1400 0 0 0 gpio_analog[9]
-port 18 nsew
-flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1400 0 0 0 gpio_noesd[0]
-port 19 nsew
-flabel metal3 s -800 380682 480 380794 0 FreeSans 1400 0 0 0 gpio_noesd[10]
-port 20 nsew
-flabel metal3 s -800 337460 480 337572 0 FreeSans 1400 0 0 0 gpio_noesd[11]
-port 21 nsew
-flabel metal3 s -800 294238 480 294350 0 FreeSans 1400 0 0 0 gpio_noesd[12]
-port 22 nsew
-flabel metal3 s -800 251216 480 251328 0 FreeSans 1400 0 0 0 gpio_noesd[13]
-port 23 nsew
-flabel metal3 s -800 123594 480 123706 0 FreeSans 1400 0 0 0 gpio_noesd[14]
-port 24 nsew
-flabel metal3 s -800 80372 480 80484 0 FreeSans 1400 0 0 0 gpio_noesd[15]
-port 25 nsew
-flabel metal3 s -800 37150 480 37262 0 FreeSans 1400 0 0 0 gpio_noesd[16]
-port 26 nsew
-flabel metal3 s -800 15728 480 15840 0 FreeSans 1400 0 0 0 gpio_noesd[17]
-port 27 nsew
-flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1400 0 0 0 gpio_noesd[1]
-port 28 nsew
-flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1400 0 0 0 gpio_noesd[2]
-port 29 nsew
-flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1400 0 0 0 gpio_noesd[3]
-port 30 nsew
-flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1400 0 0 0 gpio_noesd[4]
-port 31 nsew
-flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1400 0 0 0 gpio_noesd[5]
-port 32 nsew
-flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1400 0 0 0 gpio_noesd[6]
-port 33 nsew
-flabel metal3 s -800 510348 480 510460 0 FreeSans 1400 0 0 0 gpio_noesd[7]
-port 34 nsew
-flabel metal3 s -800 467126 480 467238 0 FreeSans 1400 0 0 0 gpio_noesd[8]
-port 35 nsew
-flabel metal3 s -800 423904 480 424016 0 FreeSans 1400 0 0 0 gpio_noesd[9]
-port 36 nsew
-flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1400 0 0 0 io_analog[0]
-port 37 nsew
-flabel metal3 s 0 680242 1700 685242 0 FreeSans 1400 0 0 0 io_analog[10]
-port 38 nsew
-flabel metal3 s 566594 702300 571594 704800 0 FreeSans 2400 180 0 0 io_analog[1]
-port 39 nsew
-flabel metal3 s 465394 702300 470394 704800 0 FreeSans 2400 180 0 0 io_analog[2]
-port 40 nsew
-flabel metal3 s 413394 702300 418394 704800 0 FreeSans 2400 180 0 0 io_analog[3]
-port 41 nsew
-flabel metal3 s 329294 702300 334294 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal4 s 329294 702300 334294 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal5 s 329294 702300 334294 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal3 s 227594 702300 232594 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal4 s 227594 702300 232594 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal5 s 227594 702300 232594 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal3 s 175894 702300 180894 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal4 s 175894 702300 180894 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal5 s 175894 702300 180894 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal3 s 120194 702300 125194 704800 0 FreeSans 2400 180 0 0 io_analog[7]
-port 45 nsew
-flabel metal3 s 68194 702300 73194 704800 0 FreeSans 2400 180 0 0 io_analog[8]
-port 46 nsew
-flabel metal3 s 16194 702300 21194 704800 0 FreeSans 2400 180 0 0 io_analog[9]
-port 47 nsew
-flabel metal3 s 318994 702300 323994 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal4 s 318994 702300 323994 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal5 s 318994 702300 323994 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal3 s 217294 702300 222294 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal4 s 217294 702300 222294 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal5 s 217294 702300 222294 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal3 s 165594 702300 170594 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal4 s 165594 702300 170594 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal5 s 165594 702300 170594 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal3 s 326794 702300 328994 704800 0 FreeSans 2400 180 0 0 io_clamp_high[0]
-port 48 nsew
-flabel metal3 s 225094 702300 227294 704800 0 FreeSans 2400 180 0 0 io_clamp_high[1]
-port 49 nsew
-flabel metal3 s 173394 702300 175594 704800 0 FreeSans 2400 180 0 0 io_clamp_high[2]
-port 50 nsew
-flabel metal3 s 324294 702300 326494 704800 0 FreeSans 2400 180 0 0 io_clamp_low[0]
-port 51 nsew
-flabel metal3 s 222594 702300 224794 704800 0 FreeSans 2400 180 0 0 io_clamp_low[1]
-port 52 nsew
-flabel metal3 s 170894 702300 173094 704800 0 FreeSans 2400 180 0 0 io_clamp_low[2]
-port 53 nsew
-flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1400 0 0 0 io_in[0]
-port 54 nsew
-flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1400 0 0 0 io_in[10]
-port 55 nsew
-flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1400 0 0 0 io_in[11]
-port 56 nsew
-flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1400 0 0 0 io_in[12]
-port 57 nsew
-flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1400 0 0 0 io_in[13]
-port 58 nsew
-flabel metal3 s -800 507984 480 508096 0 FreeSans 1400 0 0 0 io_in[14]
-port 59 nsew
-flabel metal3 s -800 464762 480 464874 0 FreeSans 1400 0 0 0 io_in[15]
-port 60 nsew
-flabel metal3 s -800 421540 480 421652 0 FreeSans 1400 0 0 0 io_in[16]
-port 61 nsew
-flabel metal3 s -800 378318 480 378430 0 FreeSans 1400 0 0 0 io_in[17]
-port 62 nsew
-flabel metal3 s -800 335096 480 335208 0 FreeSans 1400 0 0 0 io_in[18]
-port 63 nsew
-flabel metal3 s -800 291874 480 291986 0 FreeSans 1400 0 0 0 io_in[19]
-port 64 nsew
-flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1400 0 0 0 io_in[1]
-port 65 nsew
-flabel metal3 s -800 248852 480 248964 0 FreeSans 1400 0 0 0 io_in[20]
-port 66 nsew
-flabel metal3 s -800 121230 480 121342 0 FreeSans 1400 0 0 0 io_in[21]
-port 67 nsew
-flabel metal3 s -800 78008 480 78120 0 FreeSans 1400 0 0 0 io_in[22]
-port 68 nsew
-flabel metal3 s -800 34786 480 34898 0 FreeSans 1400 0 0 0 io_in[23]
-port 69 nsew
-flabel metal3 s -800 13364 480 13476 0 FreeSans 1400 0 0 0 io_in[24]
-port 70 nsew
-flabel metal3 s -800 8636 480 8748 0 FreeSans 1400 0 0 0 io_in[25]
-port 71 nsew
-flabel metal3 s -800 3908 480 4020 0 FreeSans 1400 0 0 0 io_in[26]
-port 72 nsew
-flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1400 0 0 0 io_in[2]
-port 73 nsew
-flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1400 0 0 0 io_in[3]
-port 74 nsew
-flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1400 0 0 0 io_in[4]
-port 75 nsew
-flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1400 0 0 0 io_in[5]
-port 76 nsew
-flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1400 0 0 0 io_in[6]
-port 77 nsew
-flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1400 0 0 0 io_in[7]
-port 78 nsew
-flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1400 0 0 0 io_in[8]
-port 79 nsew
-flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1400 0 0 0 io_in[9]
-port 80 nsew
-flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1400 0 0 0 io_in_3v3[0]
-port 81 nsew
-flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1400 0 0 0 io_in_3v3[10]
-port 82 nsew
-flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1400 0 0 0 io_in_3v3[11]
-port 83 nsew
-flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1400 0 0 0 io_in_3v3[12]
-port 84 nsew
-flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1400 0 0 0 io_in_3v3[13]
-port 85 nsew
-flabel metal3 s -800 509166 480 509278 0 FreeSans 1400 0 0 0 io_in_3v3[14]
-port 86 nsew
-flabel metal3 s -800 465944 480 466056 0 FreeSans 1400 0 0 0 io_in_3v3[15]
-port 87 nsew
-flabel metal3 s -800 422722 480 422834 0 FreeSans 1400 0 0 0 io_in_3v3[16]
-port 88 nsew
-flabel metal3 s -800 379500 480 379612 0 FreeSans 1400 0 0 0 io_in_3v3[17]
-port 89 nsew
-flabel metal3 s -800 336278 480 336390 0 FreeSans 1400 0 0 0 io_in_3v3[18]
-port 90 nsew
-flabel metal3 s -800 293056 480 293168 0 FreeSans 1400 0 0 0 io_in_3v3[19]
-port 91 nsew
-flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1400 0 0 0 io_in_3v3[1]
-port 92 nsew
-flabel metal3 s -800 250034 480 250146 0 FreeSans 1400 0 0 0 io_in_3v3[20]
-port 93 nsew
-flabel metal3 s -800 122412 480 122524 0 FreeSans 1400 0 0 0 io_in_3v3[21]
-port 94 nsew
-flabel metal3 s -800 79190 480 79302 0 FreeSans 1400 0 0 0 io_in_3v3[22]
-port 95 nsew
-flabel metal3 s -800 35968 480 36080 0 FreeSans 1400 0 0 0 io_in_3v3[23]
-port 96 nsew
-flabel metal3 s -800 14546 480 14658 0 FreeSans 1400 0 0 0 io_in_3v3[24]
-port 97 nsew
-flabel metal3 s -800 9818 480 9930 0 FreeSans 1400 0 0 0 io_in_3v3[25]
-port 98 nsew
-flabel metal3 s -800 5090 480 5202 0 FreeSans 1400 0 0 0 io_in_3v3[26]
-port 99 nsew
-flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1400 0 0 0 io_in_3v3[2]
-port 100 nsew
-flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1400 0 0 0 io_in_3v3[3]
-port 101 nsew
-flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1400 0 0 0 io_in_3v3[4]
-port 102 nsew
-flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1400 0 0 0 io_in_3v3[5]
-port 103 nsew
-flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1400 0 0 0 io_in_3v3[6]
-port 104 nsew
-flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1400 0 0 0 io_in_3v3[7]
-port 105 nsew
-flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1400 0 0 0 io_in_3v3[8]
-port 106 nsew
-flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1400 0 0 0 io_in_3v3[9]
-port 107 nsew
-flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1400 0 0 0 io_oeb[0]
-port 108 nsew
-flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1400 0 0 0 io_oeb[10]
-port 109 nsew
-flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1400 0 0 0 io_oeb[11]
-port 110 nsew
-flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1400 0 0 0 io_oeb[12]
-port 111 nsew
-flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1400 0 0 0 io_oeb[13]
-port 112 nsew
-flabel metal3 s -800 505620 480 505732 0 FreeSans 1400 0 0 0 io_oeb[14]
-port 113 nsew
-flabel metal3 s -800 462398 480 462510 0 FreeSans 1400 0 0 0 io_oeb[15]
-port 114 nsew
-flabel metal3 s -800 419176 480 419288 0 FreeSans 1400 0 0 0 io_oeb[16]
-port 115 nsew
-flabel metal3 s -800 375954 480 376066 0 FreeSans 1400 0 0 0 io_oeb[17]
-port 116 nsew
-flabel metal3 s -800 332732 480 332844 0 FreeSans 1400 0 0 0 io_oeb[18]
-port 117 nsew
-flabel metal3 s -800 289510 480 289622 0 FreeSans 1400 0 0 0 io_oeb[19]
-port 118 nsew
-flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1400 0 0 0 io_oeb[1]
-port 119 nsew
-flabel metal3 s -800 246488 480 246600 0 FreeSans 1400 0 0 0 io_oeb[20]
-port 120 nsew
-flabel metal3 s -800 118866 480 118978 0 FreeSans 1400 0 0 0 io_oeb[21]
-port 121 nsew
-flabel metal3 s -800 75644 480 75756 0 FreeSans 1400 0 0 0 io_oeb[22]
-port 122 nsew
-flabel metal3 s -800 32422 480 32534 0 FreeSans 1400 0 0 0 io_oeb[23]
-port 123 nsew
-flabel metal3 s -800 11000 480 11112 0 FreeSans 1400 0 0 0 io_oeb[24]
-port 124 nsew
-flabel metal3 s -800 6272 480 6384 0 FreeSans 1400 0 0 0 io_oeb[25]
-port 125 nsew
-flabel metal3 s -800 1544 480 1656 0 FreeSans 1400 0 0 0 io_oeb[26]
-port 126 nsew
-flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1400 0 0 0 io_oeb[2]
-port 127 nsew
-flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1400 0 0 0 io_oeb[3]
-port 128 nsew
-flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1400 0 0 0 io_oeb[4]
-port 129 nsew
-flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1400 0 0 0 io_oeb[5]
-port 130 nsew
-flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1400 0 0 0 io_oeb[6]
-port 131 nsew
-flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1400 0 0 0 io_oeb[7]
-port 132 nsew
-flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1400 0 0 0 io_oeb[8]
-port 133 nsew
-flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1400 0 0 0 io_oeb[9]
-port 134 nsew
-flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1400 0 0 0 io_out[0]
-port 135 nsew
-flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1400 0 0 0 io_out[10]
-port 136 nsew
-flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1400 0 0 0 io_out[11]
-port 137 nsew
-flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1400 0 0 0 io_out[12]
-port 138 nsew
-flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1400 0 0 0 io_out[13]
-port 139 nsew
-flabel metal3 s -800 506802 480 506914 0 FreeSans 1400 0 0 0 io_out[14]
-port 140 nsew
-flabel metal3 s -800 463580 480 463692 0 FreeSans 1400 0 0 0 io_out[15]
-port 141 nsew
-flabel metal3 s -800 420358 480 420470 0 FreeSans 1400 0 0 0 io_out[16]
-port 142 nsew
-flabel metal3 s -800 377136 480 377248 0 FreeSans 1400 0 0 0 io_out[17]
-port 143 nsew
-flabel metal3 s -800 333914 480 334026 0 FreeSans 1400 0 0 0 io_out[18]
-port 144 nsew
-flabel metal3 s -800 290692 480 290804 0 FreeSans 1400 0 0 0 io_out[19]
-port 145 nsew
-flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1400 0 0 0 io_out[1]
-port 146 nsew
-flabel metal3 s -800 247670 480 247782 0 FreeSans 1400 0 0 0 io_out[20]
-port 147 nsew
-flabel metal3 s -800 120048 480 120160 0 FreeSans 1400 0 0 0 io_out[21]
-port 148 nsew
-flabel metal3 s -800 76826 480 76938 0 FreeSans 1400 0 0 0 io_out[22]
-port 149 nsew
-flabel metal3 s -800 33604 480 33716 0 FreeSans 1400 0 0 0 io_out[23]
-port 150 nsew
-flabel metal3 s -800 12182 480 12294 0 FreeSans 1400 0 0 0 io_out[24]
-port 151 nsew
-flabel metal3 s -800 7454 480 7566 0 FreeSans 1400 0 0 0 io_out[25]
-port 152 nsew
-flabel metal3 s -800 2726 480 2838 0 FreeSans 1400 0 0 0 io_out[26]
-port 153 nsew
-flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1400 0 0 0 io_out[2]
-port 154 nsew
-flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1400 0 0 0 io_out[3]
-port 155 nsew
-flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1400 0 0 0 io_out[4]
-port 156 nsew
-flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1400 0 0 0 io_out[5]
-port 157 nsew
-flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1400 0 0 0 io_out[6]
-port 158 nsew
-flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1400 0 0 0 io_out[7]
-port 159 nsew
-flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1400 0 0 0 io_out[8]
-port 160 nsew
-flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1400 0 0 0 io_out[9]
-port 161 nsew
-flabel metal2 s 125816 -800 125928 480 0 FreeSans 1400 90 0 0 la_data_in[0]
-port 162 nsew
-flabel metal2 s 480416 -800 480528 480 0 FreeSans 1400 90 0 0 la_data_in[100]
-port 163 nsew
-flabel metal2 s 483962 -800 484074 480 0 FreeSans 1400 90 0 0 la_data_in[101]
-port 164 nsew
-flabel metal2 s 487508 -800 487620 480 0 FreeSans 1400 90 0 0 la_data_in[102]
-port 165 nsew
-flabel metal2 s 491054 -800 491166 480 0 FreeSans 1400 90 0 0 la_data_in[103]
-port 166 nsew
-flabel metal2 s 494600 -800 494712 480 0 FreeSans 1400 90 0 0 la_data_in[104]
-port 167 nsew
-flabel metal2 s 498146 -800 498258 480 0 FreeSans 1400 90 0 0 la_data_in[105]
-port 168 nsew
-flabel metal2 s 501692 -800 501804 480 0 FreeSans 1400 90 0 0 la_data_in[106]
-port 169 nsew
-flabel metal2 s 505238 -800 505350 480 0 FreeSans 1400 90 0 0 la_data_in[107]
-port 170 nsew
-flabel metal2 s 508784 -800 508896 480 0 FreeSans 1400 90 0 0 la_data_in[108]
-port 171 nsew
-flabel metal2 s 512330 -800 512442 480 0 FreeSans 1400 90 0 0 la_data_in[109]
-port 172 nsew
-flabel metal2 s 161276 -800 161388 480 0 FreeSans 1400 90 0 0 la_data_in[10]
-port 173 nsew
-flabel metal2 s 515876 -800 515988 480 0 FreeSans 1400 90 0 0 la_data_in[110]
-port 174 nsew
-flabel metal2 s 519422 -800 519534 480 0 FreeSans 1400 90 0 0 la_data_in[111]
-port 175 nsew
-flabel metal2 s 522968 -800 523080 480 0 FreeSans 1400 90 0 0 la_data_in[112]
-port 176 nsew
-flabel metal2 s 526514 -800 526626 480 0 FreeSans 1400 90 0 0 la_data_in[113]
-port 177 nsew
-flabel metal2 s 530060 -800 530172 480 0 FreeSans 1400 90 0 0 la_data_in[114]
-port 178 nsew
-flabel metal2 s 533606 -800 533718 480 0 FreeSans 1400 90 0 0 la_data_in[115]
-port 179 nsew
-flabel metal2 s 537152 -800 537264 480 0 FreeSans 1400 90 0 0 la_data_in[116]
-port 180 nsew
-flabel metal2 s 540698 -800 540810 480 0 FreeSans 1400 90 0 0 la_data_in[117]
-port 181 nsew
-flabel metal2 s 544244 -800 544356 480 0 FreeSans 1400 90 0 0 la_data_in[118]
-port 182 nsew
-flabel metal2 s 547790 -800 547902 480 0 FreeSans 1400 90 0 0 la_data_in[119]
-port 183 nsew
-flabel metal2 s 164822 -800 164934 480 0 FreeSans 1400 90 0 0 la_data_in[11]
-port 184 nsew
-flabel metal2 s 551336 -800 551448 480 0 FreeSans 1400 90 0 0 la_data_in[120]
-port 185 nsew
-flabel metal2 s 554882 -800 554994 480 0 FreeSans 1400 90 0 0 la_data_in[121]
-port 186 nsew
-flabel metal2 s 558428 -800 558540 480 0 FreeSans 1400 90 0 0 la_data_in[122]
-port 187 nsew
-flabel metal2 s 561974 -800 562086 480 0 FreeSans 1400 90 0 0 la_data_in[123]
-port 188 nsew
-flabel metal2 s 565520 -800 565632 480 0 FreeSans 1400 90 0 0 la_data_in[124]
-port 189 nsew
-flabel metal2 s 569066 -800 569178 480 0 FreeSans 1400 90 0 0 la_data_in[125]
-port 190 nsew
-flabel metal2 s 572612 -800 572724 480 0 FreeSans 1400 90 0 0 la_data_in[126]
-port 191 nsew
-flabel metal2 s 576158 -800 576270 480 0 FreeSans 1400 90 0 0 la_data_in[127]
-port 192 nsew
-flabel metal2 s 168368 -800 168480 480 0 FreeSans 1400 90 0 0 la_data_in[12]
-port 193 nsew
-flabel metal2 s 171914 -800 172026 480 0 FreeSans 1400 90 0 0 la_data_in[13]
-port 194 nsew
-flabel metal2 s 175460 -800 175572 480 0 FreeSans 1400 90 0 0 la_data_in[14]
-port 195 nsew
-flabel metal2 s 179006 -800 179118 480 0 FreeSans 1400 90 0 0 la_data_in[15]
-port 196 nsew
-flabel metal2 s 182552 -800 182664 480 0 FreeSans 1400 90 0 0 la_data_in[16]
-port 197 nsew
-flabel metal2 s 186098 -800 186210 480 0 FreeSans 1400 90 0 0 la_data_in[17]
-port 198 nsew
-flabel metal2 s 189644 -800 189756 480 0 FreeSans 1400 90 0 0 la_data_in[18]
-port 199 nsew
-flabel metal2 s 193190 -800 193302 480 0 FreeSans 1400 90 0 0 la_data_in[19]
-port 200 nsew
-flabel metal2 s 129362 -800 129474 480 0 FreeSans 1400 90 0 0 la_data_in[1]
-port 201 nsew
-flabel metal2 s 196736 -800 196848 480 0 FreeSans 1400 90 0 0 la_data_in[20]
-port 202 nsew
-flabel metal2 s 200282 -800 200394 480 0 FreeSans 1400 90 0 0 la_data_in[21]
-port 203 nsew
-flabel metal2 s 203828 -800 203940 480 0 FreeSans 1400 90 0 0 la_data_in[22]
-port 204 nsew
-flabel metal2 s 207374 -800 207486 480 0 FreeSans 1400 90 0 0 la_data_in[23]
-port 205 nsew
-flabel metal2 s 210920 -800 211032 480 0 FreeSans 1400 90 0 0 la_data_in[24]
-port 206 nsew
-flabel metal2 s 214466 -800 214578 480 0 FreeSans 1400 90 0 0 la_data_in[25]
-port 207 nsew
-flabel metal2 s 218012 -800 218124 480 0 FreeSans 1400 90 0 0 la_data_in[26]
-port 208 nsew
-flabel metal2 s 221558 -800 221670 480 0 FreeSans 1400 90 0 0 la_data_in[27]
-port 209 nsew
-flabel metal2 s 225104 -800 225216 480 0 FreeSans 1400 90 0 0 la_data_in[28]
-port 210 nsew
-flabel metal2 s 228650 -800 228762 480 0 FreeSans 1400 90 0 0 la_data_in[29]
-port 211 nsew
-flabel metal2 s 132908 -800 133020 480 0 FreeSans 1400 90 0 0 la_data_in[2]
-port 212 nsew
-flabel metal2 s 232196 -800 232308 480 0 FreeSans 1400 90 0 0 la_data_in[30]
-port 213 nsew
-flabel metal2 s 235742 -800 235854 480 0 FreeSans 1400 90 0 0 la_data_in[31]
-port 214 nsew
-flabel metal2 s 239288 -800 239400 480 0 FreeSans 1400 90 0 0 la_data_in[32]
-port 215 nsew
-flabel metal2 s 242834 -800 242946 480 0 FreeSans 1400 90 0 0 la_data_in[33]
-port 216 nsew
-flabel metal2 s 246380 -800 246492 480 0 FreeSans 1400 90 0 0 la_data_in[34]
-port 217 nsew
-flabel metal2 s 249926 -800 250038 480 0 FreeSans 1400 90 0 0 la_data_in[35]
-port 218 nsew
-flabel metal2 s 253472 -800 253584 480 0 FreeSans 1400 90 0 0 la_data_in[36]
-port 219 nsew
-flabel metal2 s 257018 -800 257130 480 0 FreeSans 1400 90 0 0 la_data_in[37]
-port 220 nsew
-flabel metal2 s 260564 -800 260676 480 0 FreeSans 1400 90 0 0 la_data_in[38]
-port 221 nsew
-flabel metal2 s 264110 -800 264222 480 0 FreeSans 1400 90 0 0 la_data_in[39]
-port 222 nsew
-flabel metal2 s 136454 -800 136566 480 0 FreeSans 1400 90 0 0 la_data_in[3]
-port 223 nsew
-flabel metal2 s 267656 -800 267768 480 0 FreeSans 1400 90 0 0 la_data_in[40]
-port 224 nsew
-flabel metal2 s 271202 -800 271314 480 0 FreeSans 1400 90 0 0 la_data_in[41]
-port 225 nsew
-flabel metal2 s 274748 -800 274860 480 0 FreeSans 1400 90 0 0 la_data_in[42]
-port 226 nsew
-flabel metal2 s 278294 -800 278406 480 0 FreeSans 1400 90 0 0 la_data_in[43]
-port 227 nsew
-flabel metal2 s 281840 -800 281952 480 0 FreeSans 1400 90 0 0 la_data_in[44]
-port 228 nsew
-flabel metal2 s 285386 -800 285498 480 0 FreeSans 1400 90 0 0 la_data_in[45]
-port 229 nsew
-flabel metal2 s 288932 -800 289044 480 0 FreeSans 1400 90 0 0 la_data_in[46]
-port 230 nsew
-flabel metal2 s 292478 -800 292590 480 0 FreeSans 1400 90 0 0 la_data_in[47]
-port 231 nsew
-flabel metal2 s 296024 -800 296136 480 0 FreeSans 1400 90 0 0 la_data_in[48]
-port 232 nsew
-flabel metal2 s 299570 -800 299682 480 0 FreeSans 1400 90 0 0 la_data_in[49]
-port 233 nsew
-flabel metal2 s 140000 -800 140112 480 0 FreeSans 1400 90 0 0 la_data_in[4]
-port 234 nsew
-flabel metal2 s 303116 -800 303228 480 0 FreeSans 1400 90 0 0 la_data_in[50]
-port 235 nsew
-flabel metal2 s 306662 -800 306774 480 0 FreeSans 1400 90 0 0 la_data_in[51]
-port 236 nsew
-flabel metal2 s 310208 -800 310320 480 0 FreeSans 1400 90 0 0 la_data_in[52]
-port 237 nsew
-flabel metal2 s 313754 -800 313866 480 0 FreeSans 1400 90 0 0 la_data_in[53]
-port 238 nsew
-flabel metal2 s 317300 -800 317412 480 0 FreeSans 1400 90 0 0 la_data_in[54]
-port 239 nsew
-flabel metal2 s 320846 -800 320958 480 0 FreeSans 1400 90 0 0 la_data_in[55]
-port 240 nsew
-flabel metal2 s 324392 -800 324504 480 0 FreeSans 1400 90 0 0 la_data_in[56]
-port 241 nsew
-flabel metal2 s 327938 -800 328050 480 0 FreeSans 1400 90 0 0 la_data_in[57]
-port 242 nsew
-flabel metal2 s 331484 -800 331596 480 0 FreeSans 1400 90 0 0 la_data_in[58]
-port 243 nsew
-flabel metal2 s 335030 -800 335142 480 0 FreeSans 1400 90 0 0 la_data_in[59]
-port 244 nsew
-flabel metal2 s 143546 -800 143658 480 0 FreeSans 1400 90 0 0 la_data_in[5]
-port 245 nsew
-flabel metal2 s 338576 -800 338688 480 0 FreeSans 1400 90 0 0 la_data_in[60]
-port 246 nsew
-flabel metal2 s 342122 -800 342234 480 0 FreeSans 1400 90 0 0 la_data_in[61]
-port 247 nsew
-flabel metal2 s 345668 -800 345780 480 0 FreeSans 1400 90 0 0 la_data_in[62]
-port 248 nsew
-flabel metal2 s 349214 -800 349326 480 0 FreeSans 1400 90 0 0 la_data_in[63]
-port 249 nsew
-flabel metal2 s 352760 -800 352872 480 0 FreeSans 1400 90 0 0 la_data_in[64]
-port 250 nsew
-flabel metal2 s 356306 -800 356418 480 0 FreeSans 1400 90 0 0 la_data_in[65]
-port 251 nsew
-flabel metal2 s 359852 -800 359964 480 0 FreeSans 1400 90 0 0 la_data_in[66]
-port 252 nsew
-flabel metal2 s 363398 -800 363510 480 0 FreeSans 1400 90 0 0 la_data_in[67]
-port 253 nsew
-flabel metal2 s 366944 -800 367056 480 0 FreeSans 1400 90 0 0 la_data_in[68]
-port 254 nsew
-flabel metal2 s 370490 -800 370602 480 0 FreeSans 1400 90 0 0 la_data_in[69]
-port 255 nsew
-flabel metal2 s 147092 -800 147204 480 0 FreeSans 1400 90 0 0 la_data_in[6]
-port 256 nsew
-flabel metal2 s 374036 -800 374148 480 0 FreeSans 1400 90 0 0 la_data_in[70]
-port 257 nsew
-flabel metal2 s 377582 -800 377694 480 0 FreeSans 1400 90 0 0 la_data_in[71]
-port 258 nsew
-flabel metal2 s 381128 -800 381240 480 0 FreeSans 1400 90 0 0 la_data_in[72]
-port 259 nsew
-flabel metal2 s 384674 -800 384786 480 0 FreeSans 1400 90 0 0 la_data_in[73]
-port 260 nsew
-flabel metal2 s 388220 -800 388332 480 0 FreeSans 1400 90 0 0 la_data_in[74]
-port 261 nsew
-flabel metal2 s 391766 -800 391878 480 0 FreeSans 1400 90 0 0 la_data_in[75]
-port 262 nsew
-flabel metal2 s 395312 -800 395424 480 0 FreeSans 1400 90 0 0 la_data_in[76]
-port 263 nsew
-flabel metal2 s 398858 -800 398970 480 0 FreeSans 1400 90 0 0 la_data_in[77]
-port 264 nsew
-flabel metal2 s 402404 -800 402516 480 0 FreeSans 1400 90 0 0 la_data_in[78]
-port 265 nsew
-flabel metal2 s 405950 -800 406062 480 0 FreeSans 1400 90 0 0 la_data_in[79]
-port 266 nsew
-flabel metal2 s 150638 -800 150750 480 0 FreeSans 1400 90 0 0 la_data_in[7]
-port 267 nsew
-flabel metal2 s 409496 -800 409608 480 0 FreeSans 1400 90 0 0 la_data_in[80]
-port 268 nsew
-flabel metal2 s 413042 -800 413154 480 0 FreeSans 1400 90 0 0 la_data_in[81]
-port 269 nsew
-flabel metal2 s 416588 -800 416700 480 0 FreeSans 1400 90 0 0 la_data_in[82]
-port 270 nsew
-flabel metal2 s 420134 -800 420246 480 0 FreeSans 1400 90 0 0 la_data_in[83]
-port 271 nsew
-flabel metal2 s 423680 -800 423792 480 0 FreeSans 1400 90 0 0 la_data_in[84]
-port 272 nsew
-flabel metal2 s 427226 -800 427338 480 0 FreeSans 1400 90 0 0 la_data_in[85]
-port 273 nsew
-flabel metal2 s 430772 -800 430884 480 0 FreeSans 1400 90 0 0 la_data_in[86]
-port 274 nsew
-flabel metal2 s 434318 -800 434430 480 0 FreeSans 1400 90 0 0 la_data_in[87]
-port 275 nsew
-flabel metal2 s 437864 -800 437976 480 0 FreeSans 1400 90 0 0 la_data_in[88]
-port 276 nsew
-flabel metal2 s 441410 -800 441522 480 0 FreeSans 1400 90 0 0 la_data_in[89]
-port 277 nsew
-flabel metal2 s 154184 -800 154296 480 0 FreeSans 1400 90 0 0 la_data_in[8]
-port 278 nsew
-flabel metal2 s 444956 -800 445068 480 0 FreeSans 1400 90 0 0 la_data_in[90]
-port 279 nsew
-flabel metal2 s 448502 -800 448614 480 0 FreeSans 1400 90 0 0 la_data_in[91]
-port 280 nsew
-flabel metal2 s 452048 -800 452160 480 0 FreeSans 1400 90 0 0 la_data_in[92]
-port 281 nsew
-flabel metal2 s 455594 -800 455706 480 0 FreeSans 1400 90 0 0 la_data_in[93]
-port 282 nsew
-flabel metal2 s 459140 -800 459252 480 0 FreeSans 1400 90 0 0 la_data_in[94]
-port 283 nsew
-flabel metal2 s 462686 -800 462798 480 0 FreeSans 1400 90 0 0 la_data_in[95]
-port 284 nsew
-flabel metal2 s 466232 -800 466344 480 0 FreeSans 1400 90 0 0 la_data_in[96]
-port 285 nsew
-flabel metal2 s 469778 -800 469890 480 0 FreeSans 1400 90 0 0 la_data_in[97]
-port 286 nsew
-flabel metal2 s 473324 -800 473436 480 0 FreeSans 1400 90 0 0 la_data_in[98]
-port 287 nsew
-flabel metal2 s 476870 -800 476982 480 0 FreeSans 1400 90 0 0 la_data_in[99]
-port 288 nsew
-flabel metal2 s 157730 -800 157842 480 0 FreeSans 1400 90 0 0 la_data_in[9]
-port 289 nsew
-flabel metal2 s 126998 -800 127110 480 0 FreeSans 1400 90 0 0 la_data_out[0]
-port 290 nsew
-flabel metal2 s 481598 -800 481710 480 0 FreeSans 1400 90 0 0 la_data_out[100]
-port 291 nsew
-flabel metal2 s 485144 -800 485256 480 0 FreeSans 1400 90 0 0 la_data_out[101]
-port 292 nsew
-flabel metal2 s 488690 -800 488802 480 0 FreeSans 1400 90 0 0 la_data_out[102]
-port 293 nsew
-flabel metal2 s 492236 -800 492348 480 0 FreeSans 1400 90 0 0 la_data_out[103]
-port 294 nsew
-flabel metal2 s 495782 -800 495894 480 0 FreeSans 1400 90 0 0 la_data_out[104]
-port 295 nsew
-flabel metal2 s 499328 -800 499440 480 0 FreeSans 1400 90 0 0 la_data_out[105]
-port 296 nsew
-flabel metal2 s 502874 -800 502986 480 0 FreeSans 1400 90 0 0 la_data_out[106]
-port 297 nsew
-flabel metal2 s 506420 -800 506532 480 0 FreeSans 1400 90 0 0 la_data_out[107]
-port 298 nsew
-flabel metal2 s 509966 -800 510078 480 0 FreeSans 1400 90 0 0 la_data_out[108]
-port 299 nsew
-flabel metal2 s 513512 -800 513624 480 0 FreeSans 1400 90 0 0 la_data_out[109]
-port 300 nsew
-flabel metal2 s 162458 -800 162570 480 0 FreeSans 1400 90 0 0 la_data_out[10]
-port 301 nsew
-flabel metal2 s 517058 -800 517170 480 0 FreeSans 1400 90 0 0 la_data_out[110]
-port 302 nsew
-flabel metal2 s 520604 -800 520716 480 0 FreeSans 1400 90 0 0 la_data_out[111]
-port 303 nsew
-flabel metal2 s 524150 -800 524262 480 0 FreeSans 1400 90 0 0 la_data_out[112]
-port 304 nsew
-flabel metal2 s 527696 -800 527808 480 0 FreeSans 1400 90 0 0 la_data_out[113]
-port 305 nsew
-flabel metal2 s 531242 -800 531354 480 0 FreeSans 1400 90 0 0 la_data_out[114]
-port 306 nsew
-flabel metal2 s 534788 -800 534900 480 0 FreeSans 1400 90 0 0 la_data_out[115]
-port 307 nsew
-flabel metal2 s 538334 -800 538446 480 0 FreeSans 1400 90 0 0 la_data_out[116]
-port 308 nsew
-flabel metal2 s 541880 -800 541992 480 0 FreeSans 1400 90 0 0 la_data_out[117]
-port 309 nsew
-flabel metal2 s 545426 -800 545538 480 0 FreeSans 1400 90 0 0 la_data_out[118]
-port 310 nsew
-flabel metal2 s 548972 -800 549084 480 0 FreeSans 1400 90 0 0 la_data_out[119]
-port 311 nsew
-flabel metal2 s 166004 -800 166116 480 0 FreeSans 1400 90 0 0 la_data_out[11]
-port 312 nsew
-flabel metal2 s 552518 -800 552630 480 0 FreeSans 1400 90 0 0 la_data_out[120]
-port 313 nsew
-flabel metal2 s 556064 -800 556176 480 0 FreeSans 1400 90 0 0 la_data_out[121]
-port 314 nsew
-flabel metal2 s 559610 -800 559722 480 0 FreeSans 1400 90 0 0 la_data_out[122]
-port 315 nsew
-flabel metal2 s 563156 -800 563268 480 0 FreeSans 1400 90 0 0 la_data_out[123]
-port 316 nsew
-flabel metal2 s 566702 -800 566814 480 0 FreeSans 1400 90 0 0 la_data_out[124]
-port 317 nsew
-flabel metal2 s 570248 -800 570360 480 0 FreeSans 1400 90 0 0 la_data_out[125]
-port 318 nsew
-flabel metal2 s 573794 -800 573906 480 0 FreeSans 1400 90 0 0 la_data_out[126]
-port 319 nsew
-flabel metal2 s 577340 -800 577452 480 0 FreeSans 1400 90 0 0 la_data_out[127]
-port 320 nsew
-flabel metal2 s 169550 -800 169662 480 0 FreeSans 1400 90 0 0 la_data_out[12]
-port 321 nsew
-flabel metal2 s 173096 -800 173208 480 0 FreeSans 1400 90 0 0 la_data_out[13]
-port 322 nsew
-flabel metal2 s 176642 -800 176754 480 0 FreeSans 1400 90 0 0 la_data_out[14]
-port 323 nsew
-flabel metal2 s 180188 -800 180300 480 0 FreeSans 1400 90 0 0 la_data_out[15]
-port 324 nsew
-flabel metal2 s 183734 -800 183846 480 0 FreeSans 1400 90 0 0 la_data_out[16]
-port 325 nsew
-flabel metal2 s 187280 -800 187392 480 0 FreeSans 1400 90 0 0 la_data_out[17]
-port 326 nsew
-flabel metal2 s 190826 -800 190938 480 0 FreeSans 1400 90 0 0 la_data_out[18]
-port 327 nsew
-flabel metal2 s 194372 -800 194484 480 0 FreeSans 1400 90 0 0 la_data_out[19]
-port 328 nsew
-flabel metal2 s 130544 -800 130656 480 0 FreeSans 1400 90 0 0 la_data_out[1]
-port 329 nsew
-flabel metal2 s 197918 -800 198030 480 0 FreeSans 1400 90 0 0 la_data_out[20]
-port 330 nsew
-flabel metal2 s 201464 -800 201576 480 0 FreeSans 1400 90 0 0 la_data_out[21]
-port 331 nsew
-flabel metal2 s 205010 -800 205122 480 0 FreeSans 1400 90 0 0 la_data_out[22]
-port 332 nsew
-flabel metal2 s 208556 -800 208668 480 0 FreeSans 1400 90 0 0 la_data_out[23]
-port 333 nsew
-flabel metal2 s 212102 -800 212214 480 0 FreeSans 1400 90 0 0 la_data_out[24]
-port 334 nsew
-flabel metal2 s 215648 -800 215760 480 0 FreeSans 1400 90 0 0 la_data_out[25]
-port 335 nsew
-flabel metal2 s 219194 -800 219306 480 0 FreeSans 1400 90 0 0 la_data_out[26]
-port 336 nsew
-flabel metal2 s 222740 -800 222852 480 0 FreeSans 1400 90 0 0 la_data_out[27]
-port 337 nsew
-flabel metal2 s 226286 -800 226398 480 0 FreeSans 1400 90 0 0 la_data_out[28]
-port 338 nsew
-flabel metal2 s 229832 -800 229944 480 0 FreeSans 1400 90 0 0 la_data_out[29]
-port 339 nsew
-flabel metal2 s 134090 -800 134202 480 0 FreeSans 1400 90 0 0 la_data_out[2]
-port 340 nsew
-flabel metal2 s 233378 -800 233490 480 0 FreeSans 1400 90 0 0 la_data_out[30]
-port 341 nsew
-flabel metal2 s 236924 -800 237036 480 0 FreeSans 1400 90 0 0 la_data_out[31]
-port 342 nsew
-flabel metal2 s 240470 -800 240582 480 0 FreeSans 1400 90 0 0 la_data_out[32]
-port 343 nsew
-flabel metal2 s 244016 -800 244128 480 0 FreeSans 1400 90 0 0 la_data_out[33]
-port 344 nsew
-flabel metal2 s 247562 -800 247674 480 0 FreeSans 1400 90 0 0 la_data_out[34]
-port 345 nsew
-flabel metal2 s 251108 -800 251220 480 0 FreeSans 1400 90 0 0 la_data_out[35]
-port 346 nsew
-flabel metal2 s 254654 -800 254766 480 0 FreeSans 1400 90 0 0 la_data_out[36]
-port 347 nsew
-flabel metal2 s 258200 -800 258312 480 0 FreeSans 1400 90 0 0 la_data_out[37]
-port 348 nsew
-flabel metal2 s 261746 -800 261858 480 0 FreeSans 1400 90 0 0 la_data_out[38]
-port 349 nsew
-flabel metal2 s 265292 -800 265404 480 0 FreeSans 1400 90 0 0 la_data_out[39]
-port 350 nsew
-flabel metal2 s 137636 -800 137748 480 0 FreeSans 1400 90 0 0 la_data_out[3]
-port 351 nsew
-flabel metal2 s 268838 -800 268950 480 0 FreeSans 1400 90 0 0 la_data_out[40]
-port 352 nsew
-flabel metal2 s 272384 -800 272496 480 0 FreeSans 1400 90 0 0 la_data_out[41]
-port 353 nsew
-flabel metal2 s 275930 -800 276042 480 0 FreeSans 1400 90 0 0 la_data_out[42]
-port 354 nsew
-flabel metal2 s 279476 -800 279588 480 0 FreeSans 1400 90 0 0 la_data_out[43]
-port 355 nsew
-flabel metal2 s 283022 -800 283134 480 0 FreeSans 1400 90 0 0 la_data_out[44]
-port 356 nsew
-flabel metal2 s 286568 -800 286680 480 0 FreeSans 1400 90 0 0 la_data_out[45]
-port 357 nsew
-flabel metal2 s 290114 -800 290226 480 0 FreeSans 1400 90 0 0 la_data_out[46]
-port 358 nsew
-flabel metal2 s 293660 -800 293772 480 0 FreeSans 1400 90 0 0 la_data_out[47]
-port 359 nsew
-flabel metal2 s 297206 -800 297318 480 0 FreeSans 1400 90 0 0 la_data_out[48]
-port 360 nsew
-flabel metal2 s 300752 -800 300864 480 0 FreeSans 1400 90 0 0 la_data_out[49]
-port 361 nsew
-flabel metal2 s 141182 -800 141294 480 0 FreeSans 1400 90 0 0 la_data_out[4]
-port 362 nsew
-flabel metal2 s 304298 -800 304410 480 0 FreeSans 1400 90 0 0 la_data_out[50]
-port 363 nsew
-flabel metal2 s 307844 -800 307956 480 0 FreeSans 1400 90 0 0 la_data_out[51]
-port 364 nsew
-flabel metal2 s 311390 -800 311502 480 0 FreeSans 1400 90 0 0 la_data_out[52]
-port 365 nsew
-flabel metal2 s 314936 -800 315048 480 0 FreeSans 1400 90 0 0 la_data_out[53]
-port 366 nsew
-flabel metal2 s 318482 -800 318594 480 0 FreeSans 1400 90 0 0 la_data_out[54]
-port 367 nsew
-flabel metal2 s 322028 -800 322140 480 0 FreeSans 1400 90 0 0 la_data_out[55]
-port 368 nsew
-flabel metal2 s 325574 -800 325686 480 0 FreeSans 1400 90 0 0 la_data_out[56]
-port 369 nsew
-flabel metal2 s 329120 -800 329232 480 0 FreeSans 1400 90 0 0 la_data_out[57]
-port 370 nsew
-flabel metal2 s 332666 -800 332778 480 0 FreeSans 1400 90 0 0 la_data_out[58]
-port 371 nsew
-flabel metal2 s 336212 -800 336324 480 0 FreeSans 1400 90 0 0 la_data_out[59]
-port 372 nsew
-flabel metal2 s 144728 -800 144840 480 0 FreeSans 1400 90 0 0 la_data_out[5]
-port 373 nsew
-flabel metal2 s 339758 -800 339870 480 0 FreeSans 1400 90 0 0 la_data_out[60]
-port 374 nsew
-flabel metal2 s 343304 -800 343416 480 0 FreeSans 1400 90 0 0 la_data_out[61]
-port 375 nsew
-flabel metal2 s 346850 -800 346962 480 0 FreeSans 1400 90 0 0 la_data_out[62]
-port 376 nsew
-flabel metal2 s 350396 -800 350508 480 0 FreeSans 1400 90 0 0 la_data_out[63]
-port 377 nsew
-flabel metal2 s 353942 -800 354054 480 0 FreeSans 1400 90 0 0 la_data_out[64]
-port 378 nsew
-flabel metal2 s 357488 -800 357600 480 0 FreeSans 1400 90 0 0 la_data_out[65]
-port 379 nsew
-flabel metal2 s 361034 -800 361146 480 0 FreeSans 1400 90 0 0 la_data_out[66]
-port 380 nsew
-flabel metal2 s 364580 -800 364692 480 0 FreeSans 1400 90 0 0 la_data_out[67]
-port 381 nsew
-flabel metal2 s 368126 -800 368238 480 0 FreeSans 1400 90 0 0 la_data_out[68]
-port 382 nsew
-flabel metal2 s 371672 -800 371784 480 0 FreeSans 1400 90 0 0 la_data_out[69]
-port 383 nsew
-flabel metal2 s 148274 -800 148386 480 0 FreeSans 1400 90 0 0 la_data_out[6]
-port 384 nsew
-flabel metal2 s 375218 -800 375330 480 0 FreeSans 1400 90 0 0 la_data_out[70]
-port 385 nsew
-flabel metal2 s 378764 -800 378876 480 0 FreeSans 1400 90 0 0 la_data_out[71]
-port 386 nsew
-flabel metal2 s 382310 -800 382422 480 0 FreeSans 1400 90 0 0 la_data_out[72]
-port 387 nsew
-flabel metal2 s 385856 -800 385968 480 0 FreeSans 1400 90 0 0 la_data_out[73]
-port 388 nsew
-flabel metal2 s 389402 -800 389514 480 0 FreeSans 1400 90 0 0 la_data_out[74]
-port 389 nsew
-flabel metal2 s 392948 -800 393060 480 0 FreeSans 1400 90 0 0 la_data_out[75]
-port 390 nsew
-flabel metal2 s 396494 -800 396606 480 0 FreeSans 1400 90 0 0 la_data_out[76]
-port 391 nsew
-flabel metal2 s 400040 -800 400152 480 0 FreeSans 1400 90 0 0 la_data_out[77]
-port 392 nsew
-flabel metal2 s 403586 -800 403698 480 0 FreeSans 1400 90 0 0 la_data_out[78]
-port 393 nsew
-flabel metal2 s 407132 -800 407244 480 0 FreeSans 1400 90 0 0 la_data_out[79]
-port 394 nsew
-flabel metal2 s 151820 -800 151932 480 0 FreeSans 1400 90 0 0 la_data_out[7]
-port 395 nsew
-flabel metal2 s 410678 -800 410790 480 0 FreeSans 1400 90 0 0 la_data_out[80]
-port 396 nsew
-flabel metal2 s 414224 -800 414336 480 0 FreeSans 1400 90 0 0 la_data_out[81]
-port 397 nsew
-flabel metal2 s 417770 -800 417882 480 0 FreeSans 1400 90 0 0 la_data_out[82]
-port 398 nsew
-flabel metal2 s 421316 -800 421428 480 0 FreeSans 1400 90 0 0 la_data_out[83]
-port 399 nsew
-flabel metal2 s 424862 -800 424974 480 0 FreeSans 1400 90 0 0 la_data_out[84]
-port 400 nsew
-flabel metal2 s 428408 -800 428520 480 0 FreeSans 1400 90 0 0 la_data_out[85]
-port 401 nsew
-flabel metal2 s 431954 -800 432066 480 0 FreeSans 1400 90 0 0 la_data_out[86]
-port 402 nsew
-flabel metal2 s 435500 -800 435612 480 0 FreeSans 1400 90 0 0 la_data_out[87]
-port 403 nsew
-flabel metal2 s 439046 -800 439158 480 0 FreeSans 1400 90 0 0 la_data_out[88]
-port 404 nsew
-flabel metal2 s 442592 -800 442704 480 0 FreeSans 1400 90 0 0 la_data_out[89]
-port 405 nsew
-flabel metal2 s 155366 -800 155478 480 0 FreeSans 1400 90 0 0 la_data_out[8]
-port 406 nsew
-flabel metal2 s 446138 -800 446250 480 0 FreeSans 1400 90 0 0 la_data_out[90]
-port 407 nsew
-flabel metal2 s 449684 -800 449796 480 0 FreeSans 1400 90 0 0 la_data_out[91]
-port 408 nsew
-flabel metal2 s 453230 -800 453342 480 0 FreeSans 1400 90 0 0 la_data_out[92]
-port 409 nsew
-flabel metal2 s 456776 -800 456888 480 0 FreeSans 1400 90 0 0 la_data_out[93]
-port 410 nsew
-flabel metal2 s 460322 -800 460434 480 0 FreeSans 1400 90 0 0 la_data_out[94]
-port 411 nsew
-flabel metal2 s 463868 -800 463980 480 0 FreeSans 1400 90 0 0 la_data_out[95]
-port 412 nsew
-flabel metal2 s 467414 -800 467526 480 0 FreeSans 1400 90 0 0 la_data_out[96]
-port 413 nsew
-flabel metal2 s 470960 -800 471072 480 0 FreeSans 1400 90 0 0 la_data_out[97]
-port 414 nsew
-flabel metal2 s 474506 -800 474618 480 0 FreeSans 1400 90 0 0 la_data_out[98]
-port 415 nsew
-flabel metal2 s 478052 -800 478164 480 0 FreeSans 1400 90 0 0 la_data_out[99]
-port 416 nsew
-flabel metal2 s 158912 -800 159024 480 0 FreeSans 1400 90 0 0 la_data_out[9]
-port 417 nsew
-flabel metal2 s 128180 -800 128292 480 0 FreeSans 1400 90 0 0 la_oenb[0]
-port 418 nsew
-flabel metal2 s 482780 -800 482892 480 0 FreeSans 1400 90 0 0 la_oenb[100]
-port 419 nsew
-flabel metal2 s 486326 -800 486438 480 0 FreeSans 1400 90 0 0 la_oenb[101]
-port 420 nsew
-flabel metal2 s 489872 -800 489984 480 0 FreeSans 1400 90 0 0 la_oenb[102]
-port 421 nsew
-flabel metal2 s 493418 -800 493530 480 0 FreeSans 1400 90 0 0 la_oenb[103]
-port 422 nsew
-flabel metal2 s 496964 -800 497076 480 0 FreeSans 1400 90 0 0 la_oenb[104]
-port 423 nsew
-flabel metal2 s 500510 -800 500622 480 0 FreeSans 1400 90 0 0 la_oenb[105]
-port 424 nsew
-flabel metal2 s 504056 -800 504168 480 0 FreeSans 1400 90 0 0 la_oenb[106]
-port 425 nsew
-flabel metal2 s 507602 -800 507714 480 0 FreeSans 1400 90 0 0 la_oenb[107]
-port 426 nsew
-flabel metal2 s 511148 -800 511260 480 0 FreeSans 1400 90 0 0 la_oenb[108]
-port 427 nsew
-flabel metal2 s 514694 -800 514806 480 0 FreeSans 1400 90 0 0 la_oenb[109]
-port 428 nsew
-flabel metal2 s 163640 -800 163752 480 0 FreeSans 1400 90 0 0 la_oenb[10]
-port 429 nsew
-flabel metal2 s 518240 -800 518352 480 0 FreeSans 1400 90 0 0 la_oenb[110]
-port 430 nsew
-flabel metal2 s 521786 -800 521898 480 0 FreeSans 1400 90 0 0 la_oenb[111]
-port 431 nsew
-flabel metal2 s 525332 -800 525444 480 0 FreeSans 1400 90 0 0 la_oenb[112]
-port 432 nsew
-flabel metal2 s 528878 -800 528990 480 0 FreeSans 1400 90 0 0 la_oenb[113]
-port 433 nsew
-flabel metal2 s 532424 -800 532536 480 0 FreeSans 1400 90 0 0 la_oenb[114]
-port 434 nsew
-flabel metal2 s 535970 -800 536082 480 0 FreeSans 1400 90 0 0 la_oenb[115]
-port 435 nsew
-flabel metal2 s 539516 -800 539628 480 0 FreeSans 1400 90 0 0 la_oenb[116]
-port 436 nsew
-flabel metal2 s 543062 -800 543174 480 0 FreeSans 1400 90 0 0 la_oenb[117]
-port 437 nsew
-flabel metal2 s 546608 -800 546720 480 0 FreeSans 1400 90 0 0 la_oenb[118]
-port 438 nsew
-flabel metal2 s 550154 -800 550266 480 0 FreeSans 1400 90 0 0 la_oenb[119]
-port 439 nsew
-flabel metal2 s 167186 -800 167298 480 0 FreeSans 1400 90 0 0 la_oenb[11]
-port 440 nsew
-flabel metal2 s 553700 -800 553812 480 0 FreeSans 1400 90 0 0 la_oenb[120]
-port 441 nsew
-flabel metal2 s 557246 -800 557358 480 0 FreeSans 1400 90 0 0 la_oenb[121]
-port 442 nsew
-flabel metal2 s 560792 -800 560904 480 0 FreeSans 1400 90 0 0 la_oenb[122]
-port 443 nsew
-flabel metal2 s 564338 -800 564450 480 0 FreeSans 1400 90 0 0 la_oenb[123]
-port 444 nsew
-flabel metal2 s 567884 -800 567996 480 0 FreeSans 1400 90 0 0 la_oenb[124]
-port 445 nsew
-flabel metal2 s 571430 -800 571542 480 0 FreeSans 1400 90 0 0 la_oenb[125]
-port 446 nsew
-flabel metal2 s 574976 -800 575088 480 0 FreeSans 1400 90 0 0 la_oenb[126]
-port 447 nsew
-flabel metal2 s 578522 -800 578634 480 0 FreeSans 1400 90 0 0 la_oenb[127]
-port 448 nsew
-flabel metal2 s 170732 -800 170844 480 0 FreeSans 1400 90 0 0 la_oenb[12]
-port 449 nsew
-flabel metal2 s 174278 -800 174390 480 0 FreeSans 1400 90 0 0 la_oenb[13]
-port 450 nsew
-flabel metal2 s 177824 -800 177936 480 0 FreeSans 1400 90 0 0 la_oenb[14]
-port 451 nsew
-flabel metal2 s 181370 -800 181482 480 0 FreeSans 1400 90 0 0 la_oenb[15]
-port 452 nsew
-flabel metal2 s 184916 -800 185028 480 0 FreeSans 1400 90 0 0 la_oenb[16]
-port 453 nsew
-flabel metal2 s 188462 -800 188574 480 0 FreeSans 1400 90 0 0 la_oenb[17]
-port 454 nsew
-flabel metal2 s 192008 -800 192120 480 0 FreeSans 1400 90 0 0 la_oenb[18]
-port 455 nsew
-flabel metal2 s 195554 -800 195666 480 0 FreeSans 1400 90 0 0 la_oenb[19]
-port 456 nsew
-flabel metal2 s 131726 -800 131838 480 0 FreeSans 1400 90 0 0 la_oenb[1]
-port 457 nsew
-flabel metal2 s 199100 -800 199212 480 0 FreeSans 1400 90 0 0 la_oenb[20]
-port 458 nsew
-flabel metal2 s 202646 -800 202758 480 0 FreeSans 1400 90 0 0 la_oenb[21]
-port 459 nsew
-flabel metal2 s 206192 -800 206304 480 0 FreeSans 1400 90 0 0 la_oenb[22]
-port 460 nsew
-flabel metal2 s 209738 -800 209850 480 0 FreeSans 1400 90 0 0 la_oenb[23]
-port 461 nsew
-flabel metal2 s 213284 -800 213396 480 0 FreeSans 1400 90 0 0 la_oenb[24]
-port 462 nsew
-flabel metal2 s 216830 -800 216942 480 0 FreeSans 1400 90 0 0 la_oenb[25]
-port 463 nsew
-flabel metal2 s 220376 -800 220488 480 0 FreeSans 1400 90 0 0 la_oenb[26]
-port 464 nsew
-flabel metal2 s 223922 -800 224034 480 0 FreeSans 1400 90 0 0 la_oenb[27]
-port 465 nsew
-flabel metal2 s 227468 -800 227580 480 0 FreeSans 1400 90 0 0 la_oenb[28]
-port 466 nsew
-flabel metal2 s 231014 -800 231126 480 0 FreeSans 1400 90 0 0 la_oenb[29]
-port 467 nsew
-flabel metal2 s 135272 -800 135384 480 0 FreeSans 1400 90 0 0 la_oenb[2]
-port 468 nsew
-flabel metal2 s 234560 -800 234672 480 0 FreeSans 1400 90 0 0 la_oenb[30]
-port 469 nsew
-flabel metal2 s 238106 -800 238218 480 0 FreeSans 1400 90 0 0 la_oenb[31]
-port 470 nsew
-flabel metal2 s 241652 -800 241764 480 0 FreeSans 1400 90 0 0 la_oenb[32]
-port 471 nsew
-flabel metal2 s 245198 -800 245310 480 0 FreeSans 1400 90 0 0 la_oenb[33]
-port 472 nsew
-flabel metal2 s 248744 -800 248856 480 0 FreeSans 1400 90 0 0 la_oenb[34]
-port 473 nsew
-flabel metal2 s 252290 -800 252402 480 0 FreeSans 1400 90 0 0 la_oenb[35]
-port 474 nsew
-flabel metal2 s 255836 -800 255948 480 0 FreeSans 1400 90 0 0 la_oenb[36]
-port 475 nsew
-flabel metal2 s 259382 -800 259494 480 0 FreeSans 1400 90 0 0 la_oenb[37]
-port 476 nsew
-flabel metal2 s 262928 -800 263040 480 0 FreeSans 1400 90 0 0 la_oenb[38]
-port 477 nsew
-flabel metal2 s 266474 -800 266586 480 0 FreeSans 1400 90 0 0 la_oenb[39]
-port 478 nsew
-flabel metal2 s 138818 -800 138930 480 0 FreeSans 1400 90 0 0 la_oenb[3]
-port 479 nsew
-flabel metal2 s 270020 -800 270132 480 0 FreeSans 1400 90 0 0 la_oenb[40]
-port 480 nsew
-flabel metal2 s 273566 -800 273678 480 0 FreeSans 1400 90 0 0 la_oenb[41]
-port 481 nsew
-flabel metal2 s 277112 -800 277224 480 0 FreeSans 1400 90 0 0 la_oenb[42]
-port 482 nsew
-flabel metal2 s 280658 -800 280770 480 0 FreeSans 1400 90 0 0 la_oenb[43]
-port 483 nsew
-flabel metal2 s 284204 -800 284316 480 0 FreeSans 1400 90 0 0 la_oenb[44]
-port 484 nsew
-flabel metal2 s 287750 -800 287862 480 0 FreeSans 1400 90 0 0 la_oenb[45]
-port 485 nsew
-flabel metal2 s 291296 -800 291408 480 0 FreeSans 1400 90 0 0 la_oenb[46]
-port 486 nsew
-flabel metal2 s 294842 -800 294954 480 0 FreeSans 1400 90 0 0 la_oenb[47]
-port 487 nsew
-flabel metal2 s 298388 -800 298500 480 0 FreeSans 1400 90 0 0 la_oenb[48]
-port 488 nsew
-flabel metal2 s 301934 -800 302046 480 0 FreeSans 1400 90 0 0 la_oenb[49]
-port 489 nsew
-flabel metal2 s 142364 -800 142476 480 0 FreeSans 1400 90 0 0 la_oenb[4]
-port 490 nsew
-flabel metal2 s 305480 -800 305592 480 0 FreeSans 1400 90 0 0 la_oenb[50]
-port 491 nsew
-flabel metal2 s 309026 -800 309138 480 0 FreeSans 1400 90 0 0 la_oenb[51]
-port 492 nsew
-flabel metal2 s 312572 -800 312684 480 0 FreeSans 1400 90 0 0 la_oenb[52]
-port 493 nsew
-flabel metal2 s 316118 -800 316230 480 0 FreeSans 1400 90 0 0 la_oenb[53]
-port 494 nsew
-flabel metal2 s 319664 -800 319776 480 0 FreeSans 1400 90 0 0 la_oenb[54]
-port 495 nsew
-flabel metal2 s 323210 -800 323322 480 0 FreeSans 1400 90 0 0 la_oenb[55]
-port 496 nsew
-flabel metal2 s 326756 -800 326868 480 0 FreeSans 1400 90 0 0 la_oenb[56]
-port 497 nsew
-flabel metal2 s 330302 -800 330414 480 0 FreeSans 1400 90 0 0 la_oenb[57]
-port 498 nsew
-flabel metal2 s 333848 -800 333960 480 0 FreeSans 1400 90 0 0 la_oenb[58]
-port 499 nsew
-flabel metal2 s 337394 -800 337506 480 0 FreeSans 1400 90 0 0 la_oenb[59]
-port 500 nsew
-flabel metal2 s 145910 -800 146022 480 0 FreeSans 1400 90 0 0 la_oenb[5]
-port 501 nsew
-flabel metal2 s 340940 -800 341052 480 0 FreeSans 1400 90 0 0 la_oenb[60]
-port 502 nsew
-flabel metal2 s 344486 -800 344598 480 0 FreeSans 1400 90 0 0 la_oenb[61]
-port 503 nsew
-flabel metal2 s 348032 -800 348144 480 0 FreeSans 1400 90 0 0 la_oenb[62]
-port 504 nsew
-flabel metal2 s 351578 -800 351690 480 0 FreeSans 1400 90 0 0 la_oenb[63]
-port 505 nsew
-flabel metal2 s 355124 -800 355236 480 0 FreeSans 1400 90 0 0 la_oenb[64]
-port 506 nsew
-flabel metal2 s 358670 -800 358782 480 0 FreeSans 1400 90 0 0 la_oenb[65]
-port 507 nsew
-flabel metal2 s 362216 -800 362328 480 0 FreeSans 1400 90 0 0 la_oenb[66]
-port 508 nsew
-flabel metal2 s 365762 -800 365874 480 0 FreeSans 1400 90 0 0 la_oenb[67]
-port 509 nsew
-flabel metal2 s 369308 -800 369420 480 0 FreeSans 1400 90 0 0 la_oenb[68]
-port 510 nsew
-flabel metal2 s 372854 -800 372966 480 0 FreeSans 1400 90 0 0 la_oenb[69]
-port 511 nsew
-flabel metal2 s 149456 -800 149568 480 0 FreeSans 1400 90 0 0 la_oenb[6]
-port 512 nsew
-flabel metal2 s 376400 -800 376512 480 0 FreeSans 1400 90 0 0 la_oenb[70]
-port 513 nsew
-flabel metal2 s 379946 -800 380058 480 0 FreeSans 1400 90 0 0 la_oenb[71]
-port 514 nsew
-flabel metal2 s 383492 -800 383604 480 0 FreeSans 1400 90 0 0 la_oenb[72]
-port 515 nsew
-flabel metal2 s 387038 -800 387150 480 0 FreeSans 1400 90 0 0 la_oenb[73]
-port 516 nsew
-flabel metal2 s 390584 -800 390696 480 0 FreeSans 1400 90 0 0 la_oenb[74]
-port 517 nsew
-flabel metal2 s 394130 -800 394242 480 0 FreeSans 1400 90 0 0 la_oenb[75]
-port 518 nsew
-flabel metal2 s 397676 -800 397788 480 0 FreeSans 1400 90 0 0 la_oenb[76]
-port 519 nsew
-flabel metal2 s 401222 -800 401334 480 0 FreeSans 1400 90 0 0 la_oenb[77]
-port 520 nsew
-flabel metal2 s 404768 -800 404880 480 0 FreeSans 1400 90 0 0 la_oenb[78]
-port 521 nsew
-flabel metal2 s 408314 -800 408426 480 0 FreeSans 1400 90 0 0 la_oenb[79]
-port 522 nsew
-flabel metal2 s 153002 -800 153114 480 0 FreeSans 1400 90 0 0 la_oenb[7]
-port 523 nsew
-flabel metal2 s 411860 -800 411972 480 0 FreeSans 1400 90 0 0 la_oenb[80]
-port 524 nsew
-flabel metal2 s 415406 -800 415518 480 0 FreeSans 1400 90 0 0 la_oenb[81]
-port 525 nsew
-flabel metal2 s 418952 -800 419064 480 0 FreeSans 1400 90 0 0 la_oenb[82]
-port 526 nsew
-flabel metal2 s 422498 -800 422610 480 0 FreeSans 1400 90 0 0 la_oenb[83]
-port 527 nsew
-flabel metal2 s 426044 -800 426156 480 0 FreeSans 1400 90 0 0 la_oenb[84]
-port 528 nsew
-flabel metal2 s 429590 -800 429702 480 0 FreeSans 1400 90 0 0 la_oenb[85]
-port 529 nsew
-flabel metal2 s 433136 -800 433248 480 0 FreeSans 1400 90 0 0 la_oenb[86]
-port 530 nsew
-flabel metal2 s 436682 -800 436794 480 0 FreeSans 1400 90 0 0 la_oenb[87]
-port 531 nsew
-flabel metal2 s 440228 -800 440340 480 0 FreeSans 1400 90 0 0 la_oenb[88]
-port 532 nsew
-flabel metal2 s 443774 -800 443886 480 0 FreeSans 1400 90 0 0 la_oenb[89]
-port 533 nsew
-flabel metal2 s 156548 -800 156660 480 0 FreeSans 1400 90 0 0 la_oenb[8]
-port 534 nsew
-flabel metal2 s 447320 -800 447432 480 0 FreeSans 1400 90 0 0 la_oenb[90]
-port 535 nsew
-flabel metal2 s 450866 -800 450978 480 0 FreeSans 1400 90 0 0 la_oenb[91]
-port 536 nsew
-flabel metal2 s 454412 -800 454524 480 0 FreeSans 1400 90 0 0 la_oenb[92]
-port 537 nsew
-flabel metal2 s 457958 -800 458070 480 0 FreeSans 1400 90 0 0 la_oenb[93]
-port 538 nsew
-flabel metal2 s 461504 -800 461616 480 0 FreeSans 1400 90 0 0 la_oenb[94]
-port 539 nsew
-flabel metal2 s 465050 -800 465162 480 0 FreeSans 1400 90 0 0 la_oenb[95]
-port 540 nsew
-flabel metal2 s 468596 -800 468708 480 0 FreeSans 1400 90 0 0 la_oenb[96]
-port 541 nsew
-flabel metal2 s 472142 -800 472254 480 0 FreeSans 1400 90 0 0 la_oenb[97]
-port 542 nsew
-flabel metal2 s 475688 -800 475800 480 0 FreeSans 1400 90 0 0 la_oenb[98]
-port 543 nsew
-flabel metal2 s 479234 -800 479346 480 0 FreeSans 1400 90 0 0 la_oenb[99]
-port 544 nsew
-flabel metal2 s 160094 -800 160206 480 0 FreeSans 1400 90 0 0 la_oenb[9]
-port 545 nsew
-flabel metal2 s 579704 -800 579816 480 0 FreeSans 1400 90 0 0 user_clock2
-port 546 nsew
-flabel metal2 s 580886 -800 580998 480 0 FreeSans 1400 90 0 0 user_irq[0]
-port 547 nsew
-flabel metal2 s 582068 -800 582180 480 0 FreeSans 1400 90 0 0 user_irq[1]
-port 548 nsew
-flabel metal2 s 583250 -800 583362 480 0 FreeSans 1400 90 0 0 user_irq[2]
-port 549 nsew
-flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1400 0 0 0 vccd1
-port 550 nsew
-flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1400 0 0 0 vccd1
-port 550 nsew
-flabel metal3 s 0 643842 1660 648642 0 FreeSans 1400 0 0 0 vccd2
-port 551 nsew
-flabel metal3 s 0 633842 1660 638642 0 FreeSans 1400 0 0 0 vccd2
-port 551 nsew
-flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1400 0 0 0 vdda1
-port 552 nsew
-flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1400 0 0 0 vdda1
-port 552 nsew
-flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1400 0 0 0 vdda1
-port 552 nsew
-flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1400 0 0 0 vdda1
-port 552 nsew
-flabel metal3 s 0 204888 1660 209688 0 FreeSans 1400 0 0 0 vdda2
-port 553 nsew
-flabel metal3 s 0 214888 1660 219688 0 FreeSans 1400 0 0 0 vdda2
-port 553 nsew
-flabel metal3 s 520594 702340 525394 704800 0 FreeSans 2400 180 0 0 vssa1
-port 554 nsew
-flabel metal3 s 510594 702340 515394 704800 0 FreeSans 2400 180 0 0 vssa1
-port 554 nsew
-flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1400 0 0 0 vssa1
-port 554 nsew
-flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1400 0 0 0 vssa1
-port 554 nsew
-flabel metal3 s 0 559442 1660 564242 0 FreeSans 1400 0 0 0 vssa2
-port 555 nsew
-flabel metal3 s 0 549442 1660 554242 0 FreeSans 1400 0 0 0 vssa2
-port 555 nsew
-flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1400 0 0 0 vssd1
-port 556 nsew
-flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1400 0 0 0 vssd1
-port 556 nsew
-flabel metal3 s 0 172888 1660 177688 0 FreeSans 1400 0 0 0 vssd2
-port 557 nsew
-flabel metal3 s 0 162888 1660 167688 0 FreeSans 1400 0 0 0 vssd2
-port 557 nsew
-flabel metal2 s 524 -800 636 480 0 FreeSans 1400 90 0 0 wb_clk_i
-port 558 nsew
-flabel metal2 s 1706 -800 1818 480 0 FreeSans 1400 90 0 0 wb_rst_i
-port 559 nsew
-flabel metal2 s 2888 -800 3000 480 0 FreeSans 1400 90 0 0 wbs_ack_o
-port 560 nsew
-flabel metal2 s 7616 -800 7728 480 0 FreeSans 1400 90 0 0 wbs_adr_i[0]
-port 561 nsew
-flabel metal2 s 47804 -800 47916 480 0 FreeSans 1400 90 0 0 wbs_adr_i[10]
-port 562 nsew
-flabel metal2 s 51350 -800 51462 480 0 FreeSans 1400 90 0 0 wbs_adr_i[11]
-port 563 nsew
-flabel metal2 s 54896 -800 55008 480 0 FreeSans 1400 90 0 0 wbs_adr_i[12]
-port 564 nsew
-flabel metal2 s 58442 -800 58554 480 0 FreeSans 1400 90 0 0 wbs_adr_i[13]
-port 565 nsew
-flabel metal2 s 61988 -800 62100 480 0 FreeSans 1400 90 0 0 wbs_adr_i[14]
-port 566 nsew
-flabel metal2 s 65534 -800 65646 480 0 FreeSans 1400 90 0 0 wbs_adr_i[15]
-port 567 nsew
-flabel metal2 s 69080 -800 69192 480 0 FreeSans 1400 90 0 0 wbs_adr_i[16]
-port 568 nsew
-flabel metal2 s 72626 -800 72738 480 0 FreeSans 1400 90 0 0 wbs_adr_i[17]
-port 569 nsew
-flabel metal2 s 76172 -800 76284 480 0 FreeSans 1400 90 0 0 wbs_adr_i[18]
-port 570 nsew
-flabel metal2 s 79718 -800 79830 480 0 FreeSans 1400 90 0 0 wbs_adr_i[19]
-port 571 nsew
-flabel metal2 s 12344 -800 12456 480 0 FreeSans 1400 90 0 0 wbs_adr_i[1]
-port 572 nsew
-flabel metal2 s 83264 -800 83376 480 0 FreeSans 1400 90 0 0 wbs_adr_i[20]
-port 573 nsew
-flabel metal2 s 86810 -800 86922 480 0 FreeSans 1400 90 0 0 wbs_adr_i[21]
-port 574 nsew
-flabel metal2 s 90356 -800 90468 480 0 FreeSans 1400 90 0 0 wbs_adr_i[22]
-port 575 nsew
-flabel metal2 s 93902 -800 94014 480 0 FreeSans 1400 90 0 0 wbs_adr_i[23]
-port 576 nsew
-flabel metal2 s 97448 -800 97560 480 0 FreeSans 1400 90 0 0 wbs_adr_i[24]
-port 577 nsew
-flabel metal2 s 100994 -800 101106 480 0 FreeSans 1400 90 0 0 wbs_adr_i[25]
-port 578 nsew
-flabel metal2 s 104540 -800 104652 480 0 FreeSans 1400 90 0 0 wbs_adr_i[26]
-port 579 nsew
-flabel metal2 s 108086 -800 108198 480 0 FreeSans 1400 90 0 0 wbs_adr_i[27]
-port 580 nsew
-flabel metal2 s 111632 -800 111744 480 0 FreeSans 1400 90 0 0 wbs_adr_i[28]
-port 581 nsew
-flabel metal2 s 115178 -800 115290 480 0 FreeSans 1400 90 0 0 wbs_adr_i[29]
-port 582 nsew
-flabel metal2 s 17072 -800 17184 480 0 FreeSans 1400 90 0 0 wbs_adr_i[2]
-port 583 nsew
-flabel metal2 s 118724 -800 118836 480 0 FreeSans 1400 90 0 0 wbs_adr_i[30]
-port 584 nsew
-flabel metal2 s 122270 -800 122382 480 0 FreeSans 1400 90 0 0 wbs_adr_i[31]
-port 585 nsew
-flabel metal2 s 21800 -800 21912 480 0 FreeSans 1400 90 0 0 wbs_adr_i[3]
-port 586 nsew
-flabel metal2 s 26528 -800 26640 480 0 FreeSans 1400 90 0 0 wbs_adr_i[4]
-port 587 nsew
-flabel metal2 s 30074 -800 30186 480 0 FreeSans 1400 90 0 0 wbs_adr_i[5]
-port 588 nsew
-flabel metal2 s 33620 -800 33732 480 0 FreeSans 1400 90 0 0 wbs_adr_i[6]
-port 589 nsew
-flabel metal2 s 37166 -800 37278 480 0 FreeSans 1400 90 0 0 wbs_adr_i[7]
-port 590 nsew
-flabel metal2 s 40712 -800 40824 480 0 FreeSans 1400 90 0 0 wbs_adr_i[8]
-port 591 nsew
-flabel metal2 s 44258 -800 44370 480 0 FreeSans 1400 90 0 0 wbs_adr_i[9]
-port 592 nsew
-flabel metal2 s 4070 -800 4182 480 0 FreeSans 1400 90 0 0 wbs_cyc_i
-port 593 nsew
-flabel metal2 s 8798 -800 8910 480 0 FreeSans 1400 90 0 0 wbs_dat_i[0]
-port 594 nsew
-flabel metal2 s 48986 -800 49098 480 0 FreeSans 1400 90 0 0 wbs_dat_i[10]
-port 595 nsew
-flabel metal2 s 52532 -800 52644 480 0 FreeSans 1400 90 0 0 wbs_dat_i[11]
-port 596 nsew
-flabel metal2 s 56078 -800 56190 480 0 FreeSans 1400 90 0 0 wbs_dat_i[12]
-port 597 nsew
-flabel metal2 s 59624 -800 59736 480 0 FreeSans 1400 90 0 0 wbs_dat_i[13]
-port 598 nsew
-flabel metal2 s 63170 -800 63282 480 0 FreeSans 1400 90 0 0 wbs_dat_i[14]
-port 599 nsew
-flabel metal2 s 66716 -800 66828 480 0 FreeSans 1400 90 0 0 wbs_dat_i[15]
-port 600 nsew
-flabel metal2 s 70262 -800 70374 480 0 FreeSans 1400 90 0 0 wbs_dat_i[16]
-port 601 nsew
-flabel metal2 s 73808 -800 73920 480 0 FreeSans 1400 90 0 0 wbs_dat_i[17]
-port 602 nsew
-flabel metal2 s 77354 -800 77466 480 0 FreeSans 1400 90 0 0 wbs_dat_i[18]
-port 603 nsew
-flabel metal2 s 80900 -800 81012 480 0 FreeSans 1400 90 0 0 wbs_dat_i[19]
-port 604 nsew
-flabel metal2 s 13526 -800 13638 480 0 FreeSans 1400 90 0 0 wbs_dat_i[1]
-port 605 nsew
-flabel metal2 s 84446 -800 84558 480 0 FreeSans 1400 90 0 0 wbs_dat_i[20]
-port 606 nsew
-flabel metal2 s 87992 -800 88104 480 0 FreeSans 1400 90 0 0 wbs_dat_i[21]
-port 607 nsew
-flabel metal2 s 91538 -800 91650 480 0 FreeSans 1400 90 0 0 wbs_dat_i[22]
-port 608 nsew
-flabel metal2 s 95084 -800 95196 480 0 FreeSans 1400 90 0 0 wbs_dat_i[23]
-port 609 nsew
-flabel metal2 s 98630 -800 98742 480 0 FreeSans 1400 90 0 0 wbs_dat_i[24]
-port 610 nsew
-flabel metal2 s 102176 -800 102288 480 0 FreeSans 1400 90 0 0 wbs_dat_i[25]
-port 611 nsew
-flabel metal2 s 105722 -800 105834 480 0 FreeSans 1400 90 0 0 wbs_dat_i[26]
-port 612 nsew
-flabel metal2 s 109268 -800 109380 480 0 FreeSans 1400 90 0 0 wbs_dat_i[27]
-port 613 nsew
-flabel metal2 s 112814 -800 112926 480 0 FreeSans 1400 90 0 0 wbs_dat_i[28]
-port 614 nsew
-flabel metal2 s 116360 -800 116472 480 0 FreeSans 1400 90 0 0 wbs_dat_i[29]
-port 615 nsew
-flabel metal2 s 18254 -800 18366 480 0 FreeSans 1400 90 0 0 wbs_dat_i[2]
-port 616 nsew
-flabel metal2 s 119906 -800 120018 480 0 FreeSans 1400 90 0 0 wbs_dat_i[30]
-port 617 nsew
-flabel metal2 s 123452 -800 123564 480 0 FreeSans 1400 90 0 0 wbs_dat_i[31]
-port 618 nsew
-flabel metal2 s 22982 -800 23094 480 0 FreeSans 1400 90 0 0 wbs_dat_i[3]
-port 619 nsew
-flabel metal2 s 27710 -800 27822 480 0 FreeSans 1400 90 0 0 wbs_dat_i[4]
-port 620 nsew
-flabel metal2 s 31256 -800 31368 480 0 FreeSans 1400 90 0 0 wbs_dat_i[5]
-port 621 nsew
-flabel metal2 s 34802 -800 34914 480 0 FreeSans 1400 90 0 0 wbs_dat_i[6]
-port 622 nsew
-flabel metal2 s 38348 -800 38460 480 0 FreeSans 1400 90 0 0 wbs_dat_i[7]
-port 623 nsew
-flabel metal2 s 41894 -800 42006 480 0 FreeSans 1400 90 0 0 wbs_dat_i[8]
-port 624 nsew
-flabel metal2 s 45440 -800 45552 480 0 FreeSans 1400 90 0 0 wbs_dat_i[9]
-port 625 nsew
-flabel metal2 s 9980 -800 10092 480 0 FreeSans 1400 90 0 0 wbs_dat_o[0]
-port 626 nsew
-flabel metal2 s 50168 -800 50280 480 0 FreeSans 1400 90 0 0 wbs_dat_o[10]
-port 627 nsew
-flabel metal2 s 53714 -800 53826 480 0 FreeSans 1400 90 0 0 wbs_dat_o[11]
-port 628 nsew
-flabel metal2 s 57260 -800 57372 480 0 FreeSans 1400 90 0 0 wbs_dat_o[12]
-port 629 nsew
-flabel metal2 s 60806 -800 60918 480 0 FreeSans 1400 90 0 0 wbs_dat_o[13]
-port 630 nsew
-flabel metal2 s 64352 -800 64464 480 0 FreeSans 1400 90 0 0 wbs_dat_o[14]
-port 631 nsew
-flabel metal2 s 67898 -800 68010 480 0 FreeSans 1400 90 0 0 wbs_dat_o[15]
-port 632 nsew
-flabel metal2 s 71444 -800 71556 480 0 FreeSans 1400 90 0 0 wbs_dat_o[16]
-port 633 nsew
-flabel metal2 s 74990 -800 75102 480 0 FreeSans 1400 90 0 0 wbs_dat_o[17]
-port 634 nsew
-flabel metal2 s 78536 -800 78648 480 0 FreeSans 1400 90 0 0 wbs_dat_o[18]
-port 635 nsew
-flabel metal2 s 82082 -800 82194 480 0 FreeSans 1400 90 0 0 wbs_dat_o[19]
-port 636 nsew
-flabel metal2 s 14708 -800 14820 480 0 FreeSans 1400 90 0 0 wbs_dat_o[1]
-port 637 nsew
-flabel metal2 s 85628 -800 85740 480 0 FreeSans 1400 90 0 0 wbs_dat_o[20]
-port 638 nsew
-flabel metal2 s 89174 -800 89286 480 0 FreeSans 1400 90 0 0 wbs_dat_o[21]
-port 639 nsew
-flabel metal2 s 92720 -800 92832 480 0 FreeSans 1400 90 0 0 wbs_dat_o[22]
-port 640 nsew
-flabel metal2 s 96266 -800 96378 480 0 FreeSans 1400 90 0 0 wbs_dat_o[23]
-port 641 nsew
-flabel metal2 s 99812 -800 99924 480 0 FreeSans 1400 90 0 0 wbs_dat_o[24]
-port 642 nsew
-flabel metal2 s 103358 -800 103470 480 0 FreeSans 1400 90 0 0 wbs_dat_o[25]
-port 643 nsew
-flabel metal2 s 106904 -800 107016 480 0 FreeSans 1400 90 0 0 wbs_dat_o[26]
-port 644 nsew
-flabel metal2 s 110450 -800 110562 480 0 FreeSans 1400 90 0 0 wbs_dat_o[27]
-port 645 nsew
-flabel metal2 s 113996 -800 114108 480 0 FreeSans 1400 90 0 0 wbs_dat_o[28]
-port 646 nsew
-flabel metal2 s 117542 -800 117654 480 0 FreeSans 1400 90 0 0 wbs_dat_o[29]
-port 647 nsew
-flabel metal2 s 19436 -800 19548 480 0 FreeSans 1400 90 0 0 wbs_dat_o[2]
-port 648 nsew
-flabel metal2 s 121088 -800 121200 480 0 FreeSans 1400 90 0 0 wbs_dat_o[30]
-port 649 nsew
-flabel metal2 s 124634 -800 124746 480 0 FreeSans 1400 90 0 0 wbs_dat_o[31]
-port 650 nsew
-flabel metal2 s 24164 -800 24276 480 0 FreeSans 1400 90 0 0 wbs_dat_o[3]
-port 651 nsew
-flabel metal2 s 28892 -800 29004 480 0 FreeSans 1400 90 0 0 wbs_dat_o[4]
-port 652 nsew
-flabel metal2 s 32438 -800 32550 480 0 FreeSans 1400 90 0 0 wbs_dat_o[5]
-port 653 nsew
-flabel metal2 s 35984 -800 36096 480 0 FreeSans 1400 90 0 0 wbs_dat_o[6]
-port 654 nsew
-flabel metal2 s 39530 -800 39642 480 0 FreeSans 1400 90 0 0 wbs_dat_o[7]
-port 655 nsew
-flabel metal2 s 43076 -800 43188 480 0 FreeSans 1400 90 0 0 wbs_dat_o[8]
-port 656 nsew
-flabel metal2 s 46622 -800 46734 480 0 FreeSans 1400 90 0 0 wbs_dat_o[9]
-port 657 nsew
-flabel metal2 s 11162 -800 11274 480 0 FreeSans 1400 90 0 0 wbs_sel_i[0]
-port 658 nsew
-flabel metal2 s 15890 -800 16002 480 0 FreeSans 1400 90 0 0 wbs_sel_i[1]
-port 659 nsew
-flabel metal2 s 20618 -800 20730 480 0 FreeSans 1400 90 0 0 wbs_sel_i[2]
-port 660 nsew
-flabel metal2 s 25346 -800 25458 480 0 FreeSans 1400 90 0 0 wbs_sel_i[3]
-port 661 nsew
-flabel metal2 s 5252 -800 5364 480 0 FreeSans 1400 90 0 0 wbs_stb_i
-port 662 nsew
-flabel metal2 s 6434 -800 6546 480 0 FreeSans 1400 90 0 0 wbs_we_i
-port 663 nsew
-<< properties >>
-string FIXED_BBOX 0 0 584000 704000
-<< end >>
diff --git a/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper.xor.gds b/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper.xor.gds
deleted file mode 100644
index 11ece12..0000000
--- a/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper.xor.gds
+++ /dev/null
Binary files differ
diff --git a/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper_erased.gds
deleted file mode 100644
index c1bc3db..0000000
--- a/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper_erased.gds
+++ /dev/null
Binary files differ
diff --git a/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper_no_zero_areas.gds
deleted file mode 100644
index b049d20..0000000
--- a/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper_no_zero_areas.gds
+++ /dev/null
Binary files differ
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/gds.info b/precheck_results/20_MAR_2022___23_50_15/logs/gds.info
deleted file mode 100644
index e900a95..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/gds.info
+++ /dev/null
@@ -1 +0,0 @@
-user_analog_project_wrapper.gds: 735270981d74439718d4bcd35809d560d980b7ca
\ No newline at end of file
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_beol_check.log b/precheck_results/20_MAR_2022___23_50_15/logs/klayout_beol_check.log
deleted file mode 100644
index 85aa46f..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_beol_check.log
+++ /dev/null
@@ -1,992 +0,0 @@
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
-"input" in: sky130A_mr.drc:107
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:108
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:109
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:110
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:111
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:112
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:113
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:114
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:115
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:116
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:117
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:118
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:119
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:120
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:121
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:122
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:123
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:124
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:125
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:126
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:127
-    Polygons (raw): 41 (flat)  41 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:129
-    Polygons (raw): 21 (flat)  21 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:130
-    Polygons (raw): 719 (flat)  719 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:132
-    Polygons (raw): 19 (flat)  19 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:133
-    Polygons (raw): 1529 (flat)  1529 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:135
-    Polygons (raw): 500 (flat)  500 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:136
-    Polygons (raw): 1015 (flat)  1015 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:138
-    Polygons (raw): 210 (flat)  210 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:139
-    Polygons (raw): 5441 (flat)  5441 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:141
-    Polygons (raw): 36 (flat)  36 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:142
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:144
-    Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:146
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:147
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:148
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:149
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:150
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:151
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:152
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:153
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:154
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:155
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:156
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:157
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:158
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:159
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:160
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:161
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:162
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:163
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:164
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:165
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:166
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:167
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:168
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:169
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:170
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:171
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:172
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:173
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:174
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:175
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:176
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:177
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:178
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:179
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:180
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:181
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:182
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:183
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:184
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:185
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:186
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:187
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:188
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:189
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:190
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:191
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:192
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:193
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:194
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:195
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:196
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:197
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:198
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-DRC section
-BEOL section
-START: 67/20 (li)
-"not" in: sky130A_mr.drc:371
-    Polygons (raw): 21 (flat)  21 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"width" in: sky130A_mr.drc:372
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: sky130A_mr.drc:372
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"edges" in: sky130A_mr.drc:374
-    Edges: 82 (flat)  82 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:374
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:374
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:375
-    Polygons (raw): 41 (flat)  41 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:376
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1065.00M
-"second_edges" in: sky130A_mr.drc:376
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:377
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"polygons" in: sky130A_mr.drc:378
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"interacting" in: sky130A_mr.drc:378
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:379
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"with_area" in: sky130A_mr.drc:380
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:380
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-END: 67/20 (li)
-START: 67/44 (mcon)
-"not" in: sky130A_mr.drc:385
-    Polygons (raw): 719 (flat)  719 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:392
-    Polygons (raw): 719 (flat)  719 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"non_rectangles" in: sky130A_mr.drc:393
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:393
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"drc" in: sky130A_mr.drc:395
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:395
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"drc" in: sky130A_mr.drc:396
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:396
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:397
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:397
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:403
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1073.00M
-"output" in: sky130A_mr.drc:403
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 67/44 (mcon)
-START: 68/20 (m1)
-"width" in: sky130A_mr.drc:408
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:408
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"sized" in: sky130A_mr.drc:409
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"sized" in: sky130A_mr.drc:409
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"snap" in: sky130A_mr.drc:409
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"&" in: sky130A_mr.drc:409
-    Polygons (raw): 5 (flat)  5 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"edges" in: sky130A_mr.drc:410
-    Edges: 68 (flat)  68 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"-" in: sky130A_mr.drc:410
-    Edges: 35 (flat)  35 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"edges" in: sky130A_mr.drc:411
-    Edges: 30 (flat)  30 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"merged" in: sky130A_mr.drc:411
-    Polygons (raw): 5 (flat)  5 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"outside_part" in: sky130A_mr.drc:411
-    Edges: 31 (flat)  31 (hierarchical)
-    Elapsed: 0.010s  Memory: 1073.00M
-"space" in: sky130A_mr.drc:413
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:413
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"separation" in: sky130A_mr.drc:415
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:415
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1065.00M
-"+" in: sky130A_mr.drc:415
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:415
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"input" in: sky130A_mr.drc:419
-    Polygons (raw): 19 (flat)  19 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:421
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1073.00M
-"output" in: sky130A_mr.drc:421
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:422
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:422
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"input" in: sky130A_mr.drc:424
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:425
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:425
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:427
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:427
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"with_area" in: sky130A_mr.drc:429
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:429
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"holes" in: sky130A_mr.drc:431
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"with_area" in: sky130A_mr.drc:431
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:431
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:438
-    Edge pairs: 24 (flat)  24 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"second_edges" in: sky130A_mr.drc:438
-    Edges: 24 (flat)  24 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:439
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"polygons" in: sky130A_mr.drc:440
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"interacting" in: sky130A_mr.drc:440
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:441
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-END: 68/20 (m1)
-START: 68/44 (via)
-"not" in: sky130A_mr.drc:455
-    Polygons (raw): 1529 (flat)  1529 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"non_rectangles" in: sky130A_mr.drc:457
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:457
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:458
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:458
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"drc" in: sky130A_mr.drc:460
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:460
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:462
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.030s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:462
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:470
-    Edges: 68 (flat)  68 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"drc" in: sky130A_mr.drc:470
-    Edges: 6116 (flat)  6116 (hierarchical)
-    Elapsed: 0.030s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:470
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:470
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"squares" in: sky130A_mr.drc:471
-    Polygons (raw): 1529 (flat)  1529 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"drc" in: sky130A_mr.drc:471
-    Edges: 6116 (flat)  6116 (hierarchical)
-    Elapsed: 0.030s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:471
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1074.00M
-"output" in: sky130A_mr.drc:471
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:473
-    Edges: 68 (flat)  68 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
-"drc" in: sky130A_mr.drc:473
-    Edges: 6116 (flat)  6116 (hierarchical)
-    Elapsed: 0.030s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:473
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"second_edges" in: sky130A_mr.drc:473
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:474
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"polygons" in: sky130A_mr.drc:475
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"interacting" in: sky130A_mr.drc:475
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:476
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 68/44 (via)
-START: 69/20 (m2)
-"width" in: sky130A_mr.drc:483
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:483
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"sized" in: sky130A_mr.drc:485
-    Polygons (raw): 4 (flat)  4 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"sized" in: sky130A_mr.drc:485
-    Polygons (raw): 4 (flat)  4 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"snap" in: sky130A_mr.drc:485
-    Polygons (raw): 4 (flat)  4 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"&" in: sky130A_mr.drc:485
-    Polygons (raw): 4 (flat)  4 (hierarchical)
-    Elapsed: 0.020s  Memory: 1090.00M
-"edges" in: sky130A_mr.drc:486
-    Edges: 2000 (flat)  2000 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"-" in: sky130A_mr.drc:486
-    Edges: 1984 (flat)  1984 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:487
-    Edges: 16 (flat)  16 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"merged" in: sky130A_mr.drc:487
-    Polygons (raw): 500 (flat)  500 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"outside_part" in: sky130A_mr.drc:487
-    Edges: 16 (flat)  16 (hierarchical)
-    Elapsed: 0.000s  Memory: 1074.00M
-"not" in: sky130A_mr.drc:488
-    Polygons (raw): 1529 (flat)  1529 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:490
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:490
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"separation" in: sky130A_mr.drc:492
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:492
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"+" in: sky130A_mr.drc:492
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:492
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"with_area" in: sky130A_mr.drc:494
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:494
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"holes" in: sky130A_mr.drc:495
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"with_area" in: sky130A_mr.drc:495
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:495
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:500
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:500
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:501
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1074.00M
-"output" in: sky130A_mr.drc:501
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:502
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1090.00M
-"second_edges" in: sky130A_mr.drc:502
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:503
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"polygons" in: sky130A_mr.drc:504
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"interacting" in: sky130A_mr.drc:504
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1074.00M
-"output" in: sky130A_mr.drc:505
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-END: 69/20 (m2)
-START: 69/44 (via2)
-"not" in: sky130A_mr.drc:520
-    Polygons (raw): 1015 (flat)  1015 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"non_rectangles" in: sky130A_mr.drc:521
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:521
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:522
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:522
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:523
-    Edges: 4060 (flat)  4060 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
-"without_length" in: sky130A_mr.drc:523
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"output" in: sky130A_mr.drc:523
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"space" in: sky130A_mr.drc:524
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1067.00M
-"output" in: sky130A_mr.drc:524
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1067.00M
-"enclosing" in: sky130A_mr.drc:532
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1067.00M
-"output" in: sky130A_mr.drc:532
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1067.00M
-"not" in: sky130A_mr.drc:533
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"output" in: sky130A_mr.drc:533
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"enclosing" in: sky130A_mr.drc:535
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1075.00M
-"second_edges" in: sky130A_mr.drc:535
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1067.00M
-"width" in: sky130A_mr.drc:536
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"polygons" in: sky130A_mr.drc:537
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"interacting" in: sky130A_mr.drc:537
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1067.00M
-"output" in: sky130A_mr.drc:538
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-END: 69/44 (via2)
-START: 70/20 (m3)
-"width" in: sky130A_mr.drc:544
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"output" in: sky130A_mr.drc:544
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1067.00M
-"sized" in: sky130A_mr.drc:546
-    Polygons (raw): 43 (flat)  43 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"sized" in: sky130A_mr.drc:546
-    Polygons (raw): 43 (flat)  43 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"snap" in: sky130A_mr.drc:546
-    Polygons (raw): 43 (flat)  43 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"&" in: sky130A_mr.drc:546
-    Polygons (raw): 47 (flat)  47 (hierarchical)
-    Elapsed: 0.020s  Memory: 1067.00M
-"edges" in: sky130A_mr.drc:547
-    Edges: 827 (flat)  827 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"-" in: sky130A_mr.drc:547
-    Edges: 582 (flat)  582 (hierarchical)
-    Elapsed: 0.000s  Memory: 1067.00M
-"edges" in: sky130A_mr.drc:548
-    Edges: 233 (flat)  233 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"merged" in: sky130A_mr.drc:548
-    Polygons (raw): 187 (flat)  187 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"outside_part" in: sky130A_mr.drc:548
-    Edges: 235 (flat)  235 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"space" in: sky130A_mr.drc:550
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1091.00M
-"output" in: sky130A_mr.drc:550
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1067.00M
-"separation" in: sky130A_mr.drc:552
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"space" in: sky130A_mr.drc:552
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1067.00M
-"+" in: sky130A_mr.drc:552
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"output" in: sky130A_mr.drc:552
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1067.00M
-"enclosing" in: sky130A_mr.drc:557
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1067.00M
-"output" in: sky130A_mr.drc:557
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"not" in: sky130A_mr.drc:558
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1083.00M
-"output" in: sky130A_mr.drc:558
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1067.00M
-END: 70/20 (m3)
-START: 70/44 (via3)
-"not" in: sky130A_mr.drc:572
-    Polygons (raw): 5441 (flat)  5441 (hierarchical)
-    Elapsed: 0.000s  Memory: 1067.00M
-"non_rectangles" in: sky130A_mr.drc:573
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.050s  Memory: 1069.00M
-"output" in: sky130A_mr.drc:573
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1069.00M
-"width" in: sky130A_mr.drc:574
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1069.00M
-"output" in: sky130A_mr.drc:574
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1069.00M
-"edges" in: sky130A_mr.drc:575
-    Edges: 21764 (flat)  21764 (hierarchical)
-    Elapsed: 0.030s  Memory: 1069.00M
-"without_length" in: sky130A_mr.drc:575
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.080s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:575
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-"space" in: sky130A_mr.drc:577
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.070s  Memory: 1091.00M
-"output" in: sky130A_mr.drc:577
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"enclosing" in: sky130A_mr.drc:578
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.040s  Memory: 1083.00M
-"output" in: sky130A_mr.drc:578
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"not" in: sky130A_mr.drc:579
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:579
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"enclosing" in: sky130A_mr.drc:581
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.040s  Memory: 1075.00M
-"second_edges" in: sky130A_mr.drc:581
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"width" in: sky130A_mr.drc:582
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1075.00M
-"polygons" in: sky130A_mr.drc:583
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-"interacting" in: sky130A_mr.drc:583
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:584
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-END: 70/44 (via3)
-START: 71/20 (m4)
-"width" in: sky130A_mr.drc:590
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:590
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-"sized" in: sky130A_mr.drc:592
-    Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"sized" in: sky130A_mr.drc:592
-    Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"snap" in: sky130A_mr.drc:592
-    Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-"&" in: sky130A_mr.drc:592
-    Polygons (raw): 18 (flat)  18 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"edges" in: sky130A_mr.drc:593
-    Edges: 122 (flat)  122 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"-" in: sky130A_mr.drc:593
-    Edges: 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"edges" in: sky130A_mr.drc:594
-    Edges: 106 (flat)  106 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"merged" in: sky130A_mr.drc:594
-    Polygons (raw): 9 (flat)  9 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-"outside_part" in: sky130A_mr.drc:594
-    Edges: 108 (flat)  108 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"space" in: sky130A_mr.drc:596
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:596
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"with_area" in: sky130A_mr.drc:598
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:598
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"separation" in: sky130A_mr.drc:600
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"space" in: sky130A_mr.drc:600
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-"+" in: sky130A_mr.drc:600
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:600
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1075.00M
-"enclosing" in: sky130A_mr.drc:605
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.050s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:605
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"not" in: sky130A_mr.drc:606
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1091.00M
-"output" in: sky130A_mr.drc:606
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-END: 71/20 (m4)
-START: 71/44 (via4)
-"not" in: sky130A_mr.drc:619
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-"non_rectangles" in: sky130A_mr.drc:620
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:620
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"width" in: sky130A_mr.drc:621
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:621
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"drc" in: sky130A_mr.drc:622
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:622
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-"space" in: sky130A_mr.drc:624
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"polygons" in: sky130A_mr.drc:624
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:624
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-"enclosing" in: sky130A_mr.drc:632
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:632
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-"not" in: sky130A_mr.drc:633
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:633
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-END: 71/44 (via4)
-START: 72/20 (m5)
-"width" in: sky130A_mr.drc:638
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:638
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-"space" in: sky130A_mr.drc:640
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:640
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-"enclosing" in: sky130A_mr.drc:642
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:642
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"not" in: sky130A_mr.drc:643
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:643
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"with_area" in: sky130A_mr.drc:647
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:647
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
-END: 72/20 (m5)
-START: 76/20 (pad)
-"space" in: sky130A_mr.drc:652
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-"output" in: sky130A_mr.drc:652
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1075.00M
-END: 76/20 (pad)
-Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_beol_check.xml ..
-Total elapsed: 2.980s  Memory: 1075.00M
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_beol_check.total b/precheck_results/20_MAR_2022___23_50_15/logs/klayout_beol_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_beol_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_feol_check.log b/precheck_results/20_MAR_2022___23_50_15/logs/klayout_feol_check.log
deleted file mode 100644
index 4e2d0d1..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_feol_check.log
+++ /dev/null
@@ -1,780 +0,0 @@
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
-"input" in: sky130A_mr.drc:107
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:108
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:109
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:110
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:111
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:112
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:113
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:114
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:115
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:116
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:117
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:118
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:119
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:120
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:121
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:122
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:123
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:124
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:125
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:126
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:127
-    Polygons (raw): 41 (flat)  41 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:129
-    Polygons (raw): 21 (flat)  21 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:130
-    Polygons (raw): 719 (flat)  719 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:132
-    Polygons (raw): 19 (flat)  19 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:133
-    Polygons (raw): 1529 (flat)  1529 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:135
-    Polygons (raw): 500 (flat)  500 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:136
-    Polygons (raw): 1015 (flat)  1015 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:138
-    Polygons (raw): 210 (flat)  210 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:139
-    Polygons (raw): 5441 (flat)  5441 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:141
-    Polygons (raw): 36 (flat)  36 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:142
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:144
-    Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:146
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:147
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:148
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:149
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:150
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:151
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:152
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:153
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:154
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:155
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:156
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:157
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:158
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:159
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:160
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:161
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:162
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:163
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:164
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:165
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:166
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:167
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:168
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:169
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:170
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:171
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:172
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:173
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:174
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:175
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:176
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:177
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:178
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:179
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:180
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:181
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:182
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:183
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:184
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:185
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:186
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:187
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:188
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:189
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:190
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:191
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:192
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:193
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:194
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:195
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:196
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:197
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:198
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-DRC section
-FEOL section
-START: 64/18 (dnwell)
-"width" in: sky130A_mr.drc:224
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: sky130A_mr.drc:224
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-END: 64/18 (dnwell)
-START: 64/20 (nwell)
-"width" in: sky130A_mr.drc:229
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: sky130A_mr.drc:229
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"space" in: sky130A_mr.drc:230
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:230
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"merge" in: sky130A_mr.drc:231
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"holes" in: sky130A_mr.drc:232
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:232
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:232
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 64/20 (nwell)
-START: 78/44 (hvtp)
-"width" in: sky130A_mr.drc:237
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:237
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:238
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:238
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-END: 78/44 (hvtp)
-START: 18/20 (htvr)
-"width" in: sky130A_mr.drc:243
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:243
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"separation" in: sky130A_mr.drc:244
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:244
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"and" in: sky130A_mr.drc:245
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:245
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 18/20 (htvr)
-START: 25/44 (lvtn)
-"width" in: sky130A_mr.drc:250
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:250
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:251
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:251
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 25/44 (lvtn)
-START: 92/44 (ncm)
-"width" in: sky130A_mr.drc:256
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:256
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:257
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:257
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 92/44 (ncm)
-START: 65/20 (diff)
-"or" in: sky130A_mr.drc:262
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"rectangles" in: sky130A_mr.drc:263
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:263
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"polygons" in: sky130A_mr.drc:263
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"edges" in: sky130A_mr.drc:264
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"outside_part" in: sky130A_mr.drc:264
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"outside" in: sky130A_mr.drc:264
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"edges" in: sky130A_mr.drc:264
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:264
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:265
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"outside" in: sky130A_mr.drc:266
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:266
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:266
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 65/20 (diff)
-START: 65/44 (tap)
-"rectangles" in: sky130A_mr.drc:270
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:270
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"polygons" in: sky130A_mr.drc:270
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"edges" in: sky130A_mr.drc:271
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"outside_part" in: sky130A_mr.drc:271
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"outside" in: sky130A_mr.drc:271
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"edges" in: sky130A_mr.drc:271
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:271
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:272
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:273
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:273
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:273
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 65/44 (tap)
-"space" in: sky130A_mr.drc:276
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:276
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-START: 80/20 (tunm)
-"width" in: sky130A_mr.drc:280
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:280
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:281
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:281
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 80/20 (tunm)
-START: 66/20 (poly)
-"width" in: sky130A_mr.drc:286
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:286
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:287
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:287
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:287
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-START: 86/20 (rpm)
-"width" in: sky130A_mr.drc:292
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:292
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:293
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:293
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-END: 86/20 (rpm)
-START: 79/20 (urpm)
-"width" in: sky130A_mr.drc:298
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:298
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:299
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:299
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 79/20 (urpm)
-START: 95/20 (npc)
-"width" in: sky130A_mr.drc:304
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:304
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:305
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:305
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-END: 95/20 (npc)
-START: 93/44 (nsdm)
-"outside" in: sky130A_mr.drc:310
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:310
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:310
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:311
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:311
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:311
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 93/44 (nsdm)
-START: 94/20 (psdm)
-"outside" in: sky130A_mr.drc:316
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:316
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:316
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:317
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:317
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:317
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-END: 94/20 (psdm)
-START: 66/44 (licon)
-"not" in: sky130A_mr.drc:328
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"and" in: sky130A_mr.drc:329
-    Polygons (raw): 38 (flat)  38 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"interacting" in: sky130A_mr.drc:329
-    Polygons (raw): 38 (flat)  38 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:330
-    Polygons (raw): 38 (flat)  38 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"non_rectangles" in: sky130A_mr.drc:331
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:331
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"or" in: sky130A_mr.drc:332
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.020s  Memory: 1065.00M
-"not" in: sky130A_mr.drc:332
-    Polygons (raw): 39 (flat)  39 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"edges" in: sky130A_mr.drc:332
-    Edges: 156 (flat)  156 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"without_length" in: sky130A_mr.drc:332
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:332
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"separation" in: sky130A_mr.drc:333
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:333
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"and" in: sky130A_mr.drc:334
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1073.00M
-"output" in: sky130A_mr.drc:334
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"interacting" in: sky130A_mr.drc:335
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"interacting" in: sky130A_mr.drc:335
-    Polygons (raw): 38 (flat)  38 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"and" in: sky130A_mr.drc:335
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1073.00M
-"output" in: sky130A_mr.drc:335
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 66/44 (licon)
-START: 89/44 (capm)
-"and" in: sky130A_mr.drc:340
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"sized" in: sky130A_mr.drc:340
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:341
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:341
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:342
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:342
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1065.00M
-"interacting" in: sky130A_mr.drc:343
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"isolated" in: sky130A_mr.drc:343
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:343
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"isolated" in: sky130A_mr.drc:344
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:344
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"and" in: sky130A_mr.drc:345
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:345
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:345
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:346
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:346
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:347
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.030s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:347
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"separation" in: sky130A_mr.drc:348
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.040s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:348
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 89/44 (capm)
-START: 97/44 (cap2m)
-"and" in: sky130A_mr.drc:353
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"sized" in: sky130A_mr.drc:353
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:354
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:354
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:355
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1073.00M
-"output" in: sky130A_mr.drc:355
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"interacting" in: sky130A_mr.drc:356
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"isolated" in: sky130A_mr.drc:356
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:356
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"isolated" in: sky130A_mr.drc:358
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:358
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"and" in: sky130A_mr.drc:359
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:359
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:359
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:360
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:360
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"enclosing" in: sky130A_mr.drc:361
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:361
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"separation" in: sky130A_mr.drc:362
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:362
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 97/44 (cap2m)
-FEOL section
-START: 75/20 (hvi)
-"not" in: sky130A_mr.drc:662
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:663
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:663
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:664
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:664
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-END: 75/20 (hvi)
-START: 125/20 (hvntm)
-"not" in: sky130A_mr.drc:669
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"width" in: sky130A_mr.drc:670
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:670
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
-"space" in: sky130A_mr.drc:671
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-"output" in: sky130A_mr.drc:671
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
-END: 125/20 (hvntm)
-Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_feol_check.xml ..
-Total elapsed: 1.800s  Memory: 1065.00M
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_feol_check.total b/precheck_results/20_MAR_2022___23_50_15/logs/klayout_feol_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_feol_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_met_min_ca_density_check.log b/precheck_results/20_MAR_2022___23_50_15/logs/klayout_met_min_ca_density_check.log
deleted file mode 100644
index c378b5b..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_met_min_ca_density_check.log
+++ /dev/null
@@ -1,79 +0,0 @@
-"polygons" in: met_min_ca_density.lydrc:35
-    Polygons (raw): 21 (flat)  21 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:36
-    Polygons (raw): 719 (flat)  719 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:38
-    Polygons (raw): 19 (flat)  19 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:39
-    Polygons (raw): 1529 (flat)  1529 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:41
-    Polygons (raw): 500 (flat)  500 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:42
-    Polygons (raw): 1015 (flat)  1015 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:44
-    Polygons (raw): 211 (flat)  211 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:45
-    Polygons (raw): 5441 (flat)  5441 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:47
-    Polygons (raw): 36 (flat)  36 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:48
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:49
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:51
-    Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"input" in: met_min_ca_density.lydrc:53
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:55
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:59
-    Polygons (raw): 21 (flat)  21 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:59
-    Elapsed: 0.010s  Memory: 521.00M
-li1_ca_density is 0.9998711259923724
-"polygons" in: met_min_ca_density.lydrc:69
-    Polygons (raw): 19 (flat)  19 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:69
-    Elapsed: 0.000s  Memory: 521.00M
-m1_ca_density is 0.9990920514768835
-"polygons" in: met_min_ca_density.lydrc:79
-    Polygons (raw): 500 (flat)  500 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:79
-    Elapsed: 0.010s  Memory: 521.00M
-m2_ca_density is 0.9997768532748288
-"polygons" in: met_min_ca_density.lydrc:89
-    Polygons (raw): 211 (flat)  211 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:89
-    Elapsed: 0.010s  Memory: 521.00M
-m3_ca_density is 0.9960642238188823
-"polygons" in: met_min_ca_density.lydrc:99
-    Polygons (raw): 36 (flat)  36 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:99
-    Elapsed: 0.000s  Memory: 521.00M
-m4_ca_density is 0.9973017730580636
-"polygons" in: met_min_ca_density.lydrc:109
-    Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:109
-    Elapsed: 0.010s  Memory: 521.00M
-m5_ca_density is 0.999817578611457
-Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_met_min_ca_density_check.xml ..
-Total elapsed: 0.200s  Memory: 521.00M
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_met_min_ca_density_check.total b/precheck_results/20_MAR_2022___23_50_15/logs/klayout_met_min_ca_density_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_met_min_ca_density_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_offgrid_check.log b/precheck_results/20_MAR_2022___23_50_15/logs/klayout_offgrid_check.log
deleted file mode 100644
index 32f10a3..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_offgrid_check.log
+++ /dev/null
@@ -1,744 +0,0 @@
-"input" in: offgrid.lydrc:31
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:32
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:33
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:34
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:35
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:36
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:37
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:38
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:39
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:40
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:41
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:42
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:43
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:44
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:45
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:46
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:47
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:48
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:49
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:50
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:51
-    Polygons (raw): 41 (flat)  41 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:53
-    Polygons (raw): 21 (flat)  21 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:54
-    Polygons (raw): 719 (flat)  719 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:56
-    Polygons (raw): 19 (flat)  19 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:57
-    Polygons (raw): 1529 (flat)  1529 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:59
-    Polygons (raw): 500 (flat)  500 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:60
-    Polygons (raw): 1015 (flat)  1015 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:62
-    Polygons (raw): 210 (flat)  210 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:63
-    Polygons (raw): 5441 (flat)  5441 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:65
-    Polygons (raw): 36 (flat)  36 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:66
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:68
-    Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:70
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:71
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:72
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:73
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:74
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:75
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:76
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:77
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:78
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:79
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:80
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:81
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:82
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:83
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:84
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:85
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:86
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:87
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:88
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:89
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:90
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:91
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:92
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:93
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:94
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:95
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:96
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:97
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:98
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:99
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:100
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:101
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:102
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:103
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:104
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:105
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:106
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:107
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:108
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:109
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:110
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:111
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:112
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:113
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:114
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:115
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:116
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:117
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:118
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:119
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:120
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:121
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:122
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-{{ OFFGRID-ANGLES section }}
-"ongrid" in: offgrid.lydrc:127
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:127
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:128
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:128
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:129
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:129
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:130
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:130
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:131
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:131
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:132
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:132
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:133
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:133
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:134
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:134
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:135
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:135
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:136
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:136
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:137
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:137
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:138
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:138
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:139
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:139
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:140
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:140
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:141
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:141
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:142
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:142
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:143
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:143
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:144
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:144
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"and" in: offgrid.lydrc:145
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"not" in: offgrid.lydrc:145
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:145
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:145
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"and" in: offgrid.lydrc:146
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"and" in: offgrid.lydrc:146
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:146
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:146
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"and" in: offgrid.lydrc:147
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"not" in: offgrid.lydrc:147
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:147
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:147
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"and" in: offgrid.lydrc:148
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"and" in: offgrid.lydrc:148
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:148
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:148
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:149
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:149
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:150
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:150
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:151
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:151
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:152
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:152
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:153
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:153
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:154
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:154
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:155
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:155
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:156
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:156
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:157
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:157
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:158
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:158
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:159
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:159
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:160
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:160
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:161
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:161
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:162
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:162
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:163
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:163
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:164
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:164
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:165
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 521.00M
-"output" in: offgrid.lydrc:165
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:166
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:166
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:167
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:167
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:168
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:168
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:169
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:169
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:170
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:170
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:171
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: offgrid.lydrc:171
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: offgrid.lydrc:172
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: offgrid.lydrc:172
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: offgrid.lydrc:173
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: offgrid.lydrc:173
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"with_angle" in: offgrid.lydrc:174
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: offgrid.lydrc:174
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: offgrid.lydrc:175
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: offgrid.lydrc:175
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: offgrid.lydrc:176
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: offgrid.lydrc:176
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: offgrid.lydrc:177
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: offgrid.lydrc:177
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"with_angle" in: offgrid.lydrc:178
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: offgrid.lydrc:178
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: offgrid.lydrc:179
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.040s  Memory: 523.00M
-"output" in: offgrid.lydrc:179
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:180
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:180
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:181
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"output" in: offgrid.lydrc:181
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:182
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"output" in: offgrid.lydrc:182
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:183
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:183
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:184
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:184
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:185
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"output" in: offgrid.lydrc:185
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:186
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:186
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:187
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:187
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:188
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"output" in: offgrid.lydrc:188
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:189
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"output" in: offgrid.lydrc:189
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:190
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:190
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:191
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:191
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:192
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"output" in: offgrid.lydrc:192
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:193
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:193
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:194
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:194
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:195
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"output" in: offgrid.lydrc:195
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:196
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:196
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:197
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:197
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:198
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"output" in: offgrid.lydrc:198
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:199
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:199
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:200
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:200
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:201
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"output" in: offgrid.lydrc:201
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:202
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"output" in: offgrid.lydrc:202
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:203
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:203
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_offgrid_check.xml ..
-Total elapsed: 1.730s  Memory: 523.00M
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_offgrid_check.total b/precheck_results/20_MAR_2022___23_50_15/logs/klayout_offgrid_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_offgrid_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/20_MAR_2022___23_50_15/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
deleted file mode 100644
index 63474e2..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
+++ /dev/null
@@ -1,29 +0,0 @@
-Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
-  deep:true tiled:false threads:4
---- #err|description, table for cell: user_analog_project_wrapper
-NO-Check ----        pwell:64/44/EMP   122/16/EMP    64/59/EMP    44/16/EMP     44/5/EMP
-         ----        nwell:64/20/EMP    64/16/EMP     64/5/EMP
-         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
-         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
-         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
-         ----       licon1:66/44/dat    66/58/EMP
-         ----          li1:67/20/dat    67/16/EMP     67/5/EMP
-         ----         mcon:67/44/dat    67/48/EMP
-         ----         met1:68/20/dat    68/16/EMP     68/5/EMP
-         ----          via:68/44/dat    68/58/EMP
-         ----         met2:69/20/dat    69/16/EMP     69/5/dat
-         ----         via2:69/44/dat    69/58/EMP
-         ----         met3:70/20/dat    70/16/dat     70/5/dat
-         ----         via3:70/44/dat    70/48/EMP
-         ----         met4:71/20/dat    71/16/EMP     71/5/dat
-         ----         via4:71/44/EMP    71/48/EMP
-         ----         met5:72/20/dat    72/16/EMP     72/5/dat
-         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
-         ----          pnp:82/44/EMP    82/59/EMP
-         ----          npn:82/20/EMP     82/5/EMP
-         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
-         ----     inductor:82/24/EMP    82/25/EMP
-       0 total error(s) among 0 error type(s), 33 checks, cell: user_analog_project_wrapper
-Writing report...
-VmPeak:	 1123828 kB
-VmHWM:	  231260 kB
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/20_MAR_2022___23_50_15/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_zeroarea_check.log b/precheck_results/20_MAR_2022___23_50_15/logs/klayout_zeroarea_check.log
deleted file mode 100644
index 535cc03..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_zeroarea_check.log
+++ /dev/null
@@ -1,4 +0,0 @@
-0 zero-area shapes
-writing to /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper_no_zero_areas.gds
-VmPeak:	  536012 kB
-VmHWM:	  231884 kB
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_zeroarea_check.total b/precheck_results/20_MAR_2022___23_50_15/logs/klayout_zeroarea_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/klayout_zeroarea_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/magic_drc_check.log b/precheck_results/20_MAR_2022___23_50_15/logs/magic_drc_check.log
deleted file mode 100644
index 6a4ba35..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/magic_drc_check.log
+++ /dev/null
@@ -1,30 +0,0 @@
-
-Magic 8.3 revision 265 - Compiled on Tue Feb  8 15:12:01 UTC 2022.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-Processing system .magicrc file
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130: scaleFactor=2, multiplier=2
-The following types are not handled by extraction and will be treated as non-electrical types:
-    nnmos obsactive mvobsactive obsli1 obsm1 obsm2 obsm3 obsm4 obsm5 obsmrdl fillblock comment obscomment res0p35 res0p69 res1p41 res2p85 res5p73 
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/home/wisla/mpw_precheck/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
-Warning: Calma reading is not undoable!  I hope that's OK.
-Library written using GDS-II Release 3.0
-Library name: user_analog_project_wrapper
-Reading "sky130_fd_pr__res_xhigh_po_0p35_HDW2JU".
-Reading "detV2".
-Reading "user_analog_project_wrapper".
-[INFO]: Loading user_analog_project_wrapper
-
-DRC style is now "drc(full)"
-Loading DRC CIF style.
-No errors found.
-[INFO]: COUNT: 0
-[INFO]: Should be divided by 3 or 4
-[INFO]: DRC Checking DONE (/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___23_50_15/outputs/reports/magic_drc_check.drc.report)
-[INFO]: Saving mag view with DRC errors(/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper.magic.drc.mag)
-[INFO]: Saved
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/magic_drc_check.total b/precheck_results/20_MAR_2022___23_50_15/logs/magic_drc_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/magic_drc_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/pdks.info b/precheck_results/20_MAR_2022___23_50_15/logs/pdks.info
deleted file mode 100644
index f6e2777..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/pdks.info
+++ /dev/null
@@ -1,2 +0,0 @@
-Open PDKs 32cdb2097fd9a629c91e8ea33e1f6de08ab25946
-Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/precheck.log b/precheck_results/20_MAR_2022___23_50_15/logs/precheck.log
deleted file mode 100644
index 1dc8c23..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/precheck.log
+++ /dev/null
@@ -1,59 +0,0 @@
-2022-03-20 23:50:15 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog
-2022-03-20 23:50:15 - [INFO] - {{Project Type Info}} analog
-2022-03-20 23:50:15 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: 735270981d74439718d4bcd35809d560d980b7ca
-2022-03-20 23:50:15 - [INFO] - {{Tools Info}} KLayout: v0.27.7 | Magic: v8.3.265
-2022-03-20 23:50:15 - [INFO] - {{PDKs Info}} Open PDKs: 32cdb2097fd9a629c91e8ea33e1f6de08ab25946 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
-2022-03-20 23:50:15 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___23_50_15/logs'
-2022-03-20 23:50:15 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
-2022-03-20 23:50:15 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
-2022-03-20 23:50:16 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog.
-2022-03-20 23:50:16 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
-2022-03-20 23:50:17 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog.
-2022-03-20 23:50:18 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog.
-2022-03-20 23:50:18 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
-2022-03-20 23:50:18 - [INFO] - {{SPDX COMPLIANCE CHECK PASSED}} Project is compliant with the SPDX Standard
-2022-03-20 23:50:18 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
-2022-03-20 23:50:18 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
-2022-03-20 23:50:18 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
-2022-03-20 23:50:18 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
-2022-03-20 23:50:19 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
-2022-03-20 23:50:19 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
-2022-03-20 23:50:19 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
-2022-03-20 23:50:19 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
-2022-03-20 23:50:20 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
-2022-03-20 23:50:20 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
-2022-03-20 23:50:20 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
-2022-03-20 23:50:20 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
-2022-03-20 23:50:20 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
-2022-03-20 23:50:20 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
-2022-03-20 23:50:20 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (1 instances). 
-2022-03-20 23:50:20 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
-2022-03-20 23:50:20 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
-2022-03-20 23:50:20 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
-2022-03-20 23:50:20 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
-2022-03-20 23:50:20 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
-2022-03-20 23:50:23 - [INFO] - {{XOR CHECK UPDATE}} Total XOR differences: 0, for more details view /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper.xor.gds
-2022-03-20 23:50:23 - [INFO] - {{XOR CHECK PASSED}} The GDS file has no XOR violations.
-2022-03-20 23:50:23 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
-2022-03-20 23:50:24 - [INFO] - 0 DRC violations
-2022-03-20 23:50:24 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-20 23:50:24 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
-2022-03-20 23:50:27 - [INFO] - No DRC Violations found
-2022-03-20 23:50:27 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-20 23:50:27 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
-2022-03-20 23:50:31 - [INFO] - No DRC Violations found
-2022-03-20 23:50:31 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-20 23:50:31 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
-2022-03-20 23:50:33 - [INFO] - No DRC Violations found
-2022-03-20 23:50:33 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-20 23:50:33 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
-2022-03-20 23:50:35 - [INFO] - No DRC Violations found
-2022-03-20 23:50:35 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-20 23:50:35 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
-2022-03-20 23:50:36 - [INFO] - No DRC Violations found
-2022-03-20 23:50:36 - [INFO] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-20 23:50:36 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
-2022-03-20 23:50:38 - [INFO] - No DRC Violations found
-2022-03-20 23:50:38 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-20 23:50:38 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___23_50_15/logs'
-2022-03-20 23:50:38 - [INFO] - {{SUCCESS}} All Checks Passed !!!
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/tools.info b/precheck_results/20_MAR_2022___23_50_15/logs/tools.info
deleted file mode 100644
index b904646..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/tools.info
+++ /dev/null
@@ -1,2 +0,0 @@
-KLayout: 0.27.7
-Magic: 8.3.265
\ No newline at end of file
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/xor_check.log b/precheck_results/20_MAR_2022___23_50_15/logs/xor_check.log
deleted file mode 100644
index 52ffbad..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/logs/xor_check.log
+++ /dev/null
@@ -1,162 +0,0 @@
-Reading file /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
-dbu=0.001
-cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
-cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
-cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
-cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
-cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
-Done.
-
-Magic 8.3 revision 265 - Compiled on Tue Feb  8 15:12:01 UTC 2022.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-Processing system .magicrc file
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130: scaleFactor=2, multiplier=2
-The following types are not handled by extraction and will be treated as non-electrical types:
-    nnmos obsactive mvobsactive obsli1 obsm1 obsm2 obsm3 obsm4 obsm5 obsmrdl fillblock comment obscomment res0p35 res0p69 res1p41 res2p85 res5p73 
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/home/wisla/mpw_precheck/checks/xor_check/erase_box.tcl" from command line.
-"sky130(vendor)" is not one of the CIF input styles Magic knows.
-The current style is "sky130".
-The CIF input styles are: sky130, vendorimport, waffleimport, rdlimport.
-Warning: Calma reading is not undoable!  I hope that's OK.
-Library written using GDS-II Release 3.0
-Library name: user_analog_project_wrapper
-Reading "sky130_fd_pr__res_xhigh_po_0p35_HDW2JU".
-Reading "detV2".
-Reading "user_analog_project_wrapper".
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  42.880 x 3520.000  (-42.880,  0.000), ( 0.000,  3520.000)  150937.594
-lambda:   4288.00 x 352000.00  (-4288.00,  0.00 ), (  0.00,  352000.00)  1509376000.00
-internal:   8576 x 704000  ( -8576,  0    ), (     0,  704000)  6037504000
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  42.500 x 3520.000  ( 2920.000,  0.000), ( 2962.500,  3520.000)  149600.000
-lambda:   4250.00 x 352000.00  ( 292000.00,  0.00 ), ( 296250.00,  352000.00)  1496000000.00
-internal:   8500 x 704000  ( 584000,  0    ), ( 592500,  704000)  5984000000
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  3005.380 x 37.530  (-42.880, -37.530), ( 2962.500,  0.000)  112791.906
-lambda:   300538.00 x 3753.00  (-4288.00, -3753.00), ( 296250.00,  0.00 )  1127919104.00
-internal: 601076 x 7506    ( -8576, -7506 ), ( 592500,  0    )  4511676456
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  3005.380 x 37.210  (-42.880,  3520.000), ( 2962.500,  3557.210)  111830.188
-lambda:   300538.00 x 3721.00  (-4288.00,  352000.00), ( 296250.00,  355721.00)  1118301952.00
-internal: 601076 x 7442    ( -8576,  704000), ( 592500,  711442)  4473207592
-   Generating output for cell xor_target
-
-Magic 8.3 revision 265 - Compiled on Tue Feb  8 15:12:01 UTC 2022.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-Processing system .magicrc file
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130: scaleFactor=2, multiplier=2
-The following types are not handled by extraction and will be treated as non-electrical types:
-    nnmos obsactive mvobsactive obsli1 obsm1 obsm2 obsm3 obsm4 obsm5 obsmrdl fillblock comment obscomment res0p35 res0p69 res1p41 res2p85 res5p73 
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/home/wisla/mpw_precheck/checks/xor_check/erase_box.tcl" from command line.
-"sky130(vendor)" is not one of the CIF input styles Magic knows.
-The current style is "sky130".
-The CIF input styles are: sky130, vendorimport, waffleimport, rdlimport.
-Warning: Calma reading is not undoable!  I hope that's OK.
-Library written using GDS-II Release 6.0
-Library name: user_analog_project_wrapper_empty
-Reading "user_analog_project_wrapper".
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  42.880 x 3520.000  (-42.880,  0.000), ( 0.000,  3520.000)  150937.594
-lambda:   4288.00 x 352000.00  (-4288.00,  0.00 ), (  0.00,  352000.00)  1509376000.00
-internal:   8576 x 704000  ( -8576,  0    ), (     0,  704000)  6037504000
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  42.500 x 3520.000  ( 2920.000,  0.000), ( 2962.500,  3520.000)  149600.000
-lambda:   4250.00 x 352000.00  ( 292000.00,  0.00 ), ( 296250.00,  352000.00)  1496000000.00
-internal:   8500 x 704000  ( 584000,  0    ), ( 592500,  704000)  5984000000
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  3005.380 x 37.530  (-42.880, -37.530), ( 2962.500,  0.000)  112791.906
-lambda:   300538.00 x 3753.00  (-4288.00, -3753.00), ( 296250.00,  0.00 )  1127919104.00
-internal: 601076 x 7506    ( -8576, -7506 ), ( 592500,  0    )  4511676456
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  3005.380 x 37.210  (-42.880,  3520.000), ( 2962.500,  3557.210)  111830.188
-lambda:   300538.00 x 3721.00  (-4288.00,  352000.00), ( 296250.00,  355721.00)  1118301952.00
-internal: 601076 x 7442    ( -8576,  704000), ( 592500,  711442)  4473207592
-   Generating output for cell xor_target
-Reading /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper_erased.gds ..
-Reading /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper_empty_erased.gds ..
---- Running XOR for 69/20 ---
-"input" in: xor.rb.drc:39
-    Polygons (raw): 494 (flat)  494 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"input" in: xor.rb.drc:39
-    Polygons (raw): 494 (flat)  494 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"^" in: xor.rb.drc:39
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-XOR differences: 0
-"output" in: xor.rb.drc:43
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
---- Running XOR for 70/20 ---
-"input" in: xor.rb.drc:39
-    Polygons (raw): 184 (flat)  184 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"input" in: xor.rb.drc:39
-    Polygons (raw): 184 (flat)  184 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"^" in: xor.rb.drc:39
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-XOR differences: 0
-"output" in: xor.rb.drc:43
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
---- Running XOR for 72/20 ---
-"input" in: xor.rb.drc:39
-    Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"input" in: xor.rb.drc:39
-    Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"^" in: xor.rb.drc:39
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-XOR differences: 0
-"output" in: xor.rb.drc:43
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
---- Running XOR for 81/14 ---
-"input" in: xor.rb.drc:39
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"input" in: xor.rb.drc:39
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"^" in: xor.rb.drc:39
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-XOR differences: 0
-"output" in: xor.rb.drc:43
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-Writing layout file: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper.xor.gds ..
-Total elapsed: 0.110s  Memory: 521.00M
diff --git a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_beol_check.xml b/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_beol_check.xml
deleted file mode 100644
index 766c2e9..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_beol_check.xml
+++ /dev/null
@@ -1,447 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>SKY130 DRC runset</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
-  <category>
-   <name>li.1</name>
-   <description>li.1 : min. li width : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>li.3</name>
-   <description>li.3 : min. li spacing : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>li.5</name>
-   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>li.6</name>
-   <description>li.6 : min. li area : 0.0561um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct.1</name>
-   <description>ct.1: non-ring mcon should be rectangular</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct.1_a</name>
-   <description>ct.1_a : minimum width of mcon : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct.1_b</name>
-   <description>ct.1_b : maximum length of mcon : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct.2</name>
-   <description>ct.2 : min. mcon spacing : 0.19um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct.4</name>
-   <description>ct.4 : mcon should covered by li</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.1</name>
-   <description>m1.1 : min. m1 width : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.2</name>
-   <description>m1.2 : min. m1 spacing : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.3ab</name>
-   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>791_m1.4</name>
-   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.4</name>
-   <description>m1.4 : mcon periphery must be enclosed by m1</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.4a</name>
-   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.4a_a</name>
-   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.6</name>
-   <description>m1.6 : min. m1 area : 0.083um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.7</name>
-   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.5</name>
-   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.1a</name>
-   <description>via.1a : via outside of moduleCut should be rectangular</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.1a_a</name>
-   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.1a_b</name>
-   <description>via.1a_b : maximum length of via : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.2</name>
-   <description>via.2 : min. via spacing : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.4a</name>
-   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.4a_a</name>
-   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.5a</name>
-   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.1</name>
-   <description>m2.1 : min. m2 width : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.2</name>
-   <description>m2.2 : min. m2 spacing : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.3ab</name>
-   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.6</name>
-   <description>m2.6 : min. m2 area : 0.0676um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.7</name>
-   <description>m2.7 : min. m2 holes area : 0.14um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.4</name>
-   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.4_a</name>
-   <description>m2.4_a : via in periphery must be enclosed by met2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.5</name>
-   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.1a</name>
-   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.1a_a</name>
-   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.1a_b</name>
-   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.2</name>
-   <description>via2.2 : min. via2 spacing : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.4</name>
-   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.4_a</name>
-   <description>via2.4_a : via must be enclosed by met2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.5</name>
-   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3.1</name>
-   <description>m3.1 : min. m3 width : 0.3um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3.2</name>
-   <description>m3.2 : min. m3 spacing : 0.3um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3.3cd</name>
-   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3.4</name>
-   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3.4_a</name>
-   <description>m3.4_a : via2 must be enclosed by met3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.1</name>
-   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.1_a</name>
-   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.1_b</name>
-   <description>via3.1_b : maximum length of via3 : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.2</name>
-   <description>via3.2 : min. via3 spacing : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.4</name>
-   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.4_a</name>
-   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.5</name>
-   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.1</name>
-   <description>m4.1 : min. m4 width : 0.3um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.2</name>
-   <description>m4.2 : min. m4 spacing : 0.3um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.4a</name>
-   <description>m4.4a : min. m4 area : 0.240um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.5ab</name>
-   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.3</name>
-   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.3_a</name>
-   <description>m4.3_a : via3 must be enclosed by met4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.1</name>
-   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.1_a</name>
-   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.1_b</name>
-   <description>via4.1_b : maximum length of via4 : 0.8um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.2</name>
-   <description>via4.2 : min. via4 spacing : 0.8um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.4</name>
-   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.4_a</name>
-   <description>via4.4_a : m4 must enclose all via4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5.1</name>
-   <description>m5.1 : min. m5 width : 1.6um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5.2</name>
-   <description>m5.2 : min. m5 spacing : 1.6um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5.3</name>
-   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5.3_a</name>
-   <description>m5.3_a : via must be enclosed by m5</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5.4</name>
-   <description>m5.4 : min. m5 area : 4.0um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pad.2</name>
-   <description>pad.2 : min. pad spacing : 1.27um</description>
-   <categories>
-   </categories>
-  </category>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_feol_check.xml b/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_feol_check.xml
deleted file mode 100644
index 46dfa5b..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_feol_check.xml
+++ /dev/null
@@ -1,363 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>SKY130 DRC runset</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
-  <category>
-   <name>dnwell.2</name>
-   <description>dnwell.2 : min. dnwell width : 3.0um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nwell.1</name>
-   <description>nwell.1 : min. nwell width : 0.84um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nwell.2a</name>
-   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nwell.6</name>
-   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtp.1</name>
-   <description>hvtp.1 : min. hvtp width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtp.2</name>
-   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtr.1</name>
-   <description>hvtr.1 : min. hvtr width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtr.2</name>
-   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtr.2_a</name>
-   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>lvtn.1a</name>
-   <description>lvtn.1a : min. lvtn width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>lvtn.2</name>
-   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ncm.1</name>
-   <description>ncm.1 : min. ncm width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ncm.2a</name>
-   <description>ncm.2a : min. ncm spacing : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>difftap.1</name>
-   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>difftap.1_a</name>
-   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>difftap.1_b</name>
-   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>difftap.1_c</name>
-   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>difftap.3</name>
-   <description>difftap.3 : min. difftap spacing : 0.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tunm.1</name>
-   <description>tunm.1 : min. tunm width : 0.41um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tunm.2</name>
-   <description>tunm.2 : min. tunm spacing : 0.5um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>poly.1a</name>
-   <description>poly.1a : min. poly width : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>poly.2</name>
-   <description>poly.2 : min. poly spacing : 0.21um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>rpm.1a</name>
-   <description>rpm.1a : min. rpm width : 1.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>rpm.2</name>
-   <description>rpm.2 : min. rpm spacing : 0.84um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>urpm.1a</name>
-   <description>urpm.1a : min. rpm width : 1.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>urpm.2</name>
-   <description>urpm.2 : min. rpm spacing : 0.84um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>npc.1</name>
-   <description>npc.1 : min. npc width : 0.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>npc.2</name>
-   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsd.1</name>
-   <description>nsd.1 : min. nsdm width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsd.2</name>
-   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>psd.1</name>
-   <description>psd.1 : min. psdm width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>psd.2</name>
-   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon.1</name>
-   <description>licon.1 : licon should be rectangle</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon.1_a/b</name>
-   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon.13</name>
-   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon.13_a</name>
-   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon.17</name>
-   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.1</name>
-   <description>capm.1 : min. capm width : 1.0um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.2a</name>
-   <description>capm.2a : min. capm spacing : 0.84um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.2b</name>
-   <description>capm.2b : min. capm spacing : 1.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.2b_a</name>
-   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.3</name>
-   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.3_a</name>
-   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.4</name>
-   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.5</name>
-   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.1</name>
-   <description>cap2m.1 : min. cap2m width : 1.0um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.2a</name>
-   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.2b</name>
-   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.2b_a</name>
-   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.3</name>
-   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.3_a</name>
-   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.4</name>
-   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.5</name>
-   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvi.1</name>
-   <description>hvi.1 : min. hvi width : 0.6um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvi.2a</name>
-   <description>hvi.2a : min. hvi spacing : 0.7um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvntm.1</name>
-   <description>hvntm.1 : min. hvntm width : 0.7um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvntm.2</name>
-   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
-   <categories>
-   </categories>
-  </category>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_met_min_ca_density_check.xml
deleted file mode 100644
index 77c069a..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_met_min_ca_density_check.xml
+++ /dev/null
@@ -1,21 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>Density Checks</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_offgrid_check.xml b/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_offgrid_check.xml
deleted file mode 100644
index c1192d7..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_offgrid_check.xml
+++ /dev/null
@@ -1,483 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>SKY130 DRC runset</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/drc_checks/klayout/offgrid.lydrc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
-  <category>
-   <name>dnwell_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on dnwell</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>dnwell_angle</name>
-   <description>x.3a : non 45 degree angle dnwell</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nwell_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on nwell</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nwell_angle</name>
-   <description>x.3a : non 45 degree angle nwell</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwbm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on pwbm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwbm_angle</name>
-   <description>x.3a : non 45 degree angle pwbm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwde_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on pwde</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwde_angle</name>
-   <description>x.3a : non 45 degree angle pwde</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtp_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on hvtp</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtp_angle</name>
-   <description>x.3a : non 45 degree angle hvtp</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtr_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on hvtr</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtr_angle</name>
-   <description>x.3a : non 45 degree angle hvtr</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>lvtn_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on lvtn</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>lvtn_angle</name>
-   <description>x.3a : non 45 degree angle lvtn</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ncm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on ncm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ncm_angle</name>
-   <description>x.3a : non 45 degree angle ncm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>diff_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on diff</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tap_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on tap</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>diff_angle</name>
-   <description>x.2 : non 90 degree angle diff</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>diff_angle</name>
-   <description>x.2c : non 45 degree angle diff</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tap_angle</name>
-   <description>x.2 : non 90 degree angle tap</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tap_angle</name>
-   <description>x.2c : non 45 degree angle tap</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tunm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on tunm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tunm_angle</name>
-   <description>x.3a : non 45 degree angle tunm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>poly_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on poly</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>poly_angle</name>
-   <description>x.2 : non 90 degree angle poly</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>rpm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on rpm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>rpm_angle</name>
-   <description>x.3a : non 45 degree angle rpm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>npc_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on npc</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>npc_angle</name>
-   <description>x.3a : non 45 degree angle npc</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsdm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on nsdm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsdm_angle</name>
-   <description>x.3a : non 45 degree angle nsdm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>psdm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on psdm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>psdm_angle</name>
-   <description>x.3a : non 45 degree angle psdm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on licon</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon_angle</name>
-   <description>x.2 : non 90 degree angle licon</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>li_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on li</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>li_angle</name>
-   <description>x.3a : non 45 degree angle li</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on mcon</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct_angle</name>
-   <description>x.2 : non 90 degree angle mcon</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>vpp_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on vpp</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>vpp_angle</name>
-   <description>x.3a : non 45 degree angle vpp</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on m1</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1_angle</name>
-   <description>x.3a : non 45 degree angle m1</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on via</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via_angle</name>
-   <description>x.2 : non 90 degree angle via</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on m2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2_angle</name>
-   <description>x.3a : non 45 degree angle m2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on via2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2_angle</name>
-   <description>x.2 : non 90 degree angle via2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on m3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3_angle</name>
-   <description>x.3a : non 45 degree angle m3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on via3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3_angle</name>
-   <description>x.2 : non 90 degree angle via3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on nsm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsm_angle</name>
-   <description>x.3a : non 45 degree angle nsm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on m4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4_angle</name>
-   <description>x.3a : non 45 degree angle m4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on via4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4_angle</name>
-   <description>x.2 : non 90 degree angle via4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on m5</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5_angle</name>
-   <description>x.3a : non 45 degree angle m5</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pad_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on pad</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pad_angle</name>
-   <description>x.3a : non 45 degree angle pad</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>mf_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on mf</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>mf_angle</name>
-   <description>x.2 : non 90 degree angle mf</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvi_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on hvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvi_angle</name>
-   <description>x.3a : non 45 degree angle hvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvntm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on hvntm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvntm_angle</name>
-   <description>x.3a : non 45 degree angle hvntm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>vhvi_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on vhvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>vhvi_angle</name>
-   <description>x.3a : non 45 degree angle vhvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>uhvi_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on uhvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>uhvi_angle</name>
-   <description>x.3a : non 45 degree angle uhvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwell_rs_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on pwell_rs</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwell_rs_angle</name>
-   <description>x.3a : non 45 degree angle pwell_rs</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>areaid_re_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on areaid.re</description>
-   <categories>
-   </categories>
-  </category>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
deleted file mode 100644
index 52f5a1c..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+++ /dev/null
@@ -1,21 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_zeroarea_check.xml
deleted file mode 100644
index bd39db2..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/klayout_zeroarea_check.xml
+++ /dev/null
@@ -1,21 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>zero area check</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/magic_drc_check.drc.report b/precheck_results/20_MAR_2022___23_50_15/outputs/reports/magic_drc_check.drc.report
deleted file mode 100644
index 829b9d5..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/magic_drc_check.drc.report
+++ /dev/null
@@ -1,5 +0,0 @@
-user_analog_project_wrapper
-----------------------------------------
-[INFO]: COUNT: 0
-[INFO]: Should be divided by 3 or 4
-
diff --git a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/magic_drc_check.rdb b/precheck_results/20_MAR_2022___23_50_15/outputs/reports/magic_drc_check.rdb
deleted file mode 100644
index 8a882f3..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/magic_drc_check.rdb
+++ /dev/null
@@ -1,2 +0,0 @@
-$user_analog_project_wrapper
- 100
diff --git a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/magic_drc_check.tcl b/precheck_results/20_MAR_2022___23_50_15/outputs/reports/magic_drc_check.tcl
deleted file mode 100644
index e69de29..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/magic_drc_check.tcl
+++ /dev/null
diff --git a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/magic_drc_check.tr b/precheck_results/20_MAR_2022___23_50_15/outputs/reports/magic_drc_check.tr
deleted file mode 100644
index e69de29..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/magic_drc_check.tr
+++ /dev/null
diff --git a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/magic_drc_check.xml b/precheck_results/20_MAR_2022___23_50_15/outputs/reports/magic_drc_check.xml
deleted file mode 100644
index 7161d7c..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/outputs/reports/magic_drc_check.xml
+++ /dev/null
@@ -1,10 +0,0 @@
-<?xml version="1.0" ?>
-<report-database>
-    <categories/>
-    <cells>
-        <cell>
-            <name>user_analog_project_wrapper</name>
-        </cell>
-    </cells>
-    <items/>
-</report-database>
diff --git a/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper.magic.drc.mag
deleted file mode 100644
index 2c2d44f..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper.magic.drc.mag
+++ /dev/null
@@ -1,2207 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1647820224
-<< checkpaint >>
-rect -4732 -4732 588732 708732
-<< locali >>
-rect 442020 542442 444020 554994
-rect 442002 541994 444020 542442
-rect 442002 541765 444016 541994
-rect 442002 540579 442325 541765
-rect 443583 540579 444016 541765
-rect 442002 539980 444016 540579
-<< viali >>
-rect 442325 540579 443583 541765
-<< metal1 >>
-rect 511014 678467 515022 679048
-rect 442008 677996 444008 678240
-rect 511014 677996 511949 678467
-rect 442006 676495 511949 677996
-rect 514049 676495 515022 678467
-rect 442006 675996 515022 676495
-rect 442008 578240 444008 675996
-rect 511014 675962 515022 675996
-rect 442002 541765 444016 542442
-rect 442002 540579 442325 541765
-rect 443583 540579 444016 541765
-rect 442002 539980 444016 540579
-<< via1 >>
-rect 511949 676495 514049 678467
-rect 442352 540602 443556 541742
-<< metal2 >>
-rect 511014 678469 515022 679048
-rect 511014 676493 511931 678469
-rect 514067 676493 515022 678469
-rect 511014 675962 515022 676493
-rect 442002 541760 444016 542442
-rect 442002 540584 442326 541760
-rect 443582 540584 444016 541760
-rect 442002 539980 444016 540584
-rect 524 -800 636 480
-rect 1706 -800 1818 480
-rect 2888 -800 3000 480
-rect 4070 -800 4182 480
-rect 5252 -800 5364 480
-rect 6434 -800 6546 480
-rect 7616 -800 7728 480
-rect 8798 -800 8910 480
-rect 9980 -800 10092 480
-rect 11162 -800 11274 480
-rect 12344 -800 12456 480
-rect 13526 -800 13638 480
-rect 14708 -800 14820 480
-rect 15890 -800 16002 480
-rect 17072 -800 17184 480
-rect 18254 -800 18366 480
-rect 19436 -800 19548 480
-rect 20618 -800 20730 480
-rect 21800 -800 21912 480
-rect 22982 -800 23094 480
-rect 24164 -800 24276 480
-rect 25346 -800 25458 480
-rect 26528 -800 26640 480
-rect 27710 -800 27822 480
-rect 28892 -800 29004 480
-rect 30074 -800 30186 480
-rect 31256 -800 31368 480
-rect 32438 -800 32550 480
-rect 33620 -800 33732 480
-rect 34802 -800 34914 480
-rect 35984 -800 36096 480
-rect 37166 -800 37278 480
-rect 38348 -800 38460 480
-rect 39530 -800 39642 480
-rect 40712 -800 40824 480
-rect 41894 -800 42006 480
-rect 43076 -800 43188 480
-rect 44258 -800 44370 480
-rect 45440 -800 45552 480
-rect 46622 -800 46734 480
-rect 47804 -800 47916 480
-rect 48986 -800 49098 480
-rect 50168 -800 50280 480
-rect 51350 -800 51462 480
-rect 52532 -800 52644 480
-rect 53714 -800 53826 480
-rect 54896 -800 55008 480
-rect 56078 -800 56190 480
-rect 57260 -800 57372 480
-rect 58442 -800 58554 480
-rect 59624 -800 59736 480
-rect 60806 -800 60918 480
-rect 61988 -800 62100 480
-rect 63170 -800 63282 480
-rect 64352 -800 64464 480
-rect 65534 -800 65646 480
-rect 66716 -800 66828 480
-rect 67898 -800 68010 480
-rect 69080 -800 69192 480
-rect 70262 -800 70374 480
-rect 71444 -800 71556 480
-rect 72626 -800 72738 480
-rect 73808 -800 73920 480
-rect 74990 -800 75102 480
-rect 76172 -800 76284 480
-rect 77354 -800 77466 480
-rect 78536 -800 78648 480
-rect 79718 -800 79830 480
-rect 80900 -800 81012 480
-rect 82082 -800 82194 480
-rect 83264 -800 83376 480
-rect 84446 -800 84558 480
-rect 85628 -800 85740 480
-rect 86810 -800 86922 480
-rect 87992 -800 88104 480
-rect 89174 -800 89286 480
-rect 90356 -800 90468 480
-rect 91538 -800 91650 480
-rect 92720 -800 92832 480
-rect 93902 -800 94014 480
-rect 95084 -800 95196 480
-rect 96266 -800 96378 480
-rect 97448 -800 97560 480
-rect 98630 -800 98742 480
-rect 99812 -800 99924 480
-rect 100994 -800 101106 480
-rect 102176 -800 102288 480
-rect 103358 -800 103470 480
-rect 104540 -800 104652 480
-rect 105722 -800 105834 480
-rect 106904 -800 107016 480
-rect 108086 -800 108198 480
-rect 109268 -800 109380 480
-rect 110450 -800 110562 480
-rect 111632 -800 111744 480
-rect 112814 -800 112926 480
-rect 113996 -800 114108 480
-rect 115178 -800 115290 480
-rect 116360 -800 116472 480
-rect 117542 -800 117654 480
-rect 118724 -800 118836 480
-rect 119906 -800 120018 480
-rect 121088 -800 121200 480
-rect 122270 -800 122382 480
-rect 123452 -800 123564 480
-rect 124634 -800 124746 480
-rect 125816 -800 125928 480
-rect 126998 -800 127110 480
-rect 128180 -800 128292 480
-rect 129362 -800 129474 480
-rect 130544 -800 130656 480
-rect 131726 -800 131838 480
-rect 132908 -800 133020 480
-rect 134090 -800 134202 480
-rect 135272 -800 135384 480
-rect 136454 -800 136566 480
-rect 137636 -800 137748 480
-rect 138818 -800 138930 480
-rect 140000 -800 140112 480
-rect 141182 -800 141294 480
-rect 142364 -800 142476 480
-rect 143546 -800 143658 480
-rect 144728 -800 144840 480
-rect 145910 -800 146022 480
-rect 147092 -800 147204 480
-rect 148274 -800 148386 480
-rect 149456 -800 149568 480
-rect 150638 -800 150750 480
-rect 151820 -800 151932 480
-rect 153002 -800 153114 480
-rect 154184 -800 154296 480
-rect 155366 -800 155478 480
-rect 156548 -800 156660 480
-rect 157730 -800 157842 480
-rect 158912 -800 159024 480
-rect 160094 -800 160206 480
-rect 161276 -800 161388 480
-rect 162458 -800 162570 480
-rect 163640 -800 163752 480
-rect 164822 -800 164934 480
-rect 166004 -800 166116 480
-rect 167186 -800 167298 480
-rect 168368 -800 168480 480
-rect 169550 -800 169662 480
-rect 170732 -800 170844 480
-rect 171914 -800 172026 480
-rect 173096 -800 173208 480
-rect 174278 -800 174390 480
-rect 175460 -800 175572 480
-rect 176642 -800 176754 480
-rect 177824 -800 177936 480
-rect 179006 -800 179118 480
-rect 180188 -800 180300 480
-rect 181370 -800 181482 480
-rect 182552 -800 182664 480
-rect 183734 -800 183846 480
-rect 184916 -800 185028 480
-rect 186098 -800 186210 480
-rect 187280 -800 187392 480
-rect 188462 -800 188574 480
-rect 189644 -800 189756 480
-rect 190826 -800 190938 480
-rect 192008 -800 192120 480
-rect 193190 -800 193302 480
-rect 194372 -800 194484 480
-rect 195554 -800 195666 480
-rect 196736 -800 196848 480
-rect 197918 -800 198030 480
-rect 199100 -800 199212 480
-rect 200282 -800 200394 480
-rect 201464 -800 201576 480
-rect 202646 -800 202758 480
-rect 203828 -800 203940 480
-rect 205010 -800 205122 480
-rect 206192 -800 206304 480
-rect 207374 -800 207486 480
-rect 208556 -800 208668 480
-rect 209738 -800 209850 480
-rect 210920 -800 211032 480
-rect 212102 -800 212214 480
-rect 213284 -800 213396 480
-rect 214466 -800 214578 480
-rect 215648 -800 215760 480
-rect 216830 -800 216942 480
-rect 218012 -800 218124 480
-rect 219194 -800 219306 480
-rect 220376 -800 220488 480
-rect 221558 -800 221670 480
-rect 222740 -800 222852 480
-rect 223922 -800 224034 480
-rect 225104 -800 225216 480
-rect 226286 -800 226398 480
-rect 227468 -800 227580 480
-rect 228650 -800 228762 480
-rect 229832 -800 229944 480
-rect 231014 -800 231126 480
-rect 232196 -800 232308 480
-rect 233378 -800 233490 480
-rect 234560 -800 234672 480
-rect 235742 -800 235854 480
-rect 236924 -800 237036 480
-rect 238106 -800 238218 480
-rect 239288 -800 239400 480
-rect 240470 -800 240582 480
-rect 241652 -800 241764 480
-rect 242834 -800 242946 480
-rect 244016 -800 244128 480
-rect 245198 -800 245310 480
-rect 246380 -800 246492 480
-rect 247562 -800 247674 480
-rect 248744 -800 248856 480
-rect 249926 -800 250038 480
-rect 251108 -800 251220 480
-rect 252290 -800 252402 480
-rect 253472 -800 253584 480
-rect 254654 -800 254766 480
-rect 255836 -800 255948 480
-rect 257018 -800 257130 480
-rect 258200 -800 258312 480
-rect 259382 -800 259494 480
-rect 260564 -800 260676 480
-rect 261746 -800 261858 480
-rect 262928 -800 263040 480
-rect 264110 -800 264222 480
-rect 265292 -800 265404 480
-rect 266474 -800 266586 480
-rect 267656 -800 267768 480
-rect 268838 -800 268950 480
-rect 270020 -800 270132 480
-rect 271202 -800 271314 480
-rect 272384 -800 272496 480
-rect 273566 -800 273678 480
-rect 274748 -800 274860 480
-rect 275930 -800 276042 480
-rect 277112 -800 277224 480
-rect 278294 -800 278406 480
-rect 279476 -800 279588 480
-rect 280658 -800 280770 480
-rect 281840 -800 281952 480
-rect 283022 -800 283134 480
-rect 284204 -800 284316 480
-rect 285386 -800 285498 480
-rect 286568 -800 286680 480
-rect 287750 -800 287862 480
-rect 288932 -800 289044 480
-rect 290114 -800 290226 480
-rect 291296 -800 291408 480
-rect 292478 -800 292590 480
-rect 293660 -800 293772 480
-rect 294842 -800 294954 480
-rect 296024 -800 296136 480
-rect 297206 -800 297318 480
-rect 298388 -800 298500 480
-rect 299570 -800 299682 480
-rect 300752 -800 300864 480
-rect 301934 -800 302046 480
-rect 303116 -800 303228 480
-rect 304298 -800 304410 480
-rect 305480 -800 305592 480
-rect 306662 -800 306774 480
-rect 307844 -800 307956 480
-rect 309026 -800 309138 480
-rect 310208 -800 310320 480
-rect 311390 -800 311502 480
-rect 312572 -800 312684 480
-rect 313754 -800 313866 480
-rect 314936 -800 315048 480
-rect 316118 -800 316230 480
-rect 317300 -800 317412 480
-rect 318482 -800 318594 480
-rect 319664 -800 319776 480
-rect 320846 -800 320958 480
-rect 322028 -800 322140 480
-rect 323210 -800 323322 480
-rect 324392 -800 324504 480
-rect 325574 -800 325686 480
-rect 326756 -800 326868 480
-rect 327938 -800 328050 480
-rect 329120 -800 329232 480
-rect 330302 -800 330414 480
-rect 331484 -800 331596 480
-rect 332666 -800 332778 480
-rect 333848 -800 333960 480
-rect 335030 -800 335142 480
-rect 336212 -800 336324 480
-rect 337394 -800 337506 480
-rect 338576 -800 338688 480
-rect 339758 -800 339870 480
-rect 340940 -800 341052 480
-rect 342122 -800 342234 480
-rect 343304 -800 343416 480
-rect 344486 -800 344598 480
-rect 345668 -800 345780 480
-rect 346850 -800 346962 480
-rect 348032 -800 348144 480
-rect 349214 -800 349326 480
-rect 350396 -800 350508 480
-rect 351578 -800 351690 480
-rect 352760 -800 352872 480
-rect 353942 -800 354054 480
-rect 355124 -800 355236 480
-rect 356306 -800 356418 480
-rect 357488 -800 357600 480
-rect 358670 -800 358782 480
-rect 359852 -800 359964 480
-rect 361034 -800 361146 480
-rect 362216 -800 362328 480
-rect 363398 -800 363510 480
-rect 364580 -800 364692 480
-rect 365762 -800 365874 480
-rect 366944 -800 367056 480
-rect 368126 -800 368238 480
-rect 369308 -800 369420 480
-rect 370490 -800 370602 480
-rect 371672 -800 371784 480
-rect 372854 -800 372966 480
-rect 374036 -800 374148 480
-rect 375218 -800 375330 480
-rect 376400 -800 376512 480
-rect 377582 -800 377694 480
-rect 378764 -800 378876 480
-rect 379946 -800 380058 480
-rect 381128 -800 381240 480
-rect 382310 -800 382422 480
-rect 383492 -800 383604 480
-rect 384674 -800 384786 480
-rect 385856 -800 385968 480
-rect 387038 -800 387150 480
-rect 388220 -800 388332 480
-rect 389402 -800 389514 480
-rect 390584 -800 390696 480
-rect 391766 -800 391878 480
-rect 392948 -800 393060 480
-rect 394130 -800 394242 480
-rect 395312 -800 395424 480
-rect 396494 -800 396606 480
-rect 397676 -800 397788 480
-rect 398858 -800 398970 480
-rect 400040 -800 400152 480
-rect 401222 -800 401334 480
-rect 402404 -800 402516 480
-rect 403586 -800 403698 480
-rect 404768 -800 404880 480
-rect 405950 -800 406062 480
-rect 407132 -800 407244 480
-rect 408314 -800 408426 480
-rect 409496 -800 409608 480
-rect 410678 -800 410790 480
-rect 411860 -800 411972 480
-rect 413042 -800 413154 480
-rect 414224 -800 414336 480
-rect 415406 -800 415518 480
-rect 416588 -800 416700 480
-rect 417770 -800 417882 480
-rect 418952 -800 419064 480
-rect 420134 -800 420246 480
-rect 421316 -800 421428 480
-rect 422498 -800 422610 480
-rect 423680 -800 423792 480
-rect 424862 -800 424974 480
-rect 426044 -800 426156 480
-rect 427226 -800 427338 480
-rect 428408 -800 428520 480
-rect 429590 -800 429702 480
-rect 430772 -800 430884 480
-rect 431954 -800 432066 480
-rect 433136 -800 433248 480
-rect 434318 -800 434430 480
-rect 435500 -800 435612 480
-rect 436682 -800 436794 480
-rect 437864 -800 437976 480
-rect 439046 -800 439158 480
-rect 440228 -800 440340 480
-rect 441410 -800 441522 480
-rect 442592 -800 442704 480
-rect 443774 -800 443886 480
-rect 444956 -800 445068 480
-rect 446138 -800 446250 480
-rect 447320 -800 447432 480
-rect 448502 -800 448614 480
-rect 449684 -800 449796 480
-rect 450866 -800 450978 480
-rect 452048 -800 452160 480
-rect 453230 -800 453342 480
-rect 454412 -800 454524 480
-rect 455594 -800 455706 480
-rect 456776 -800 456888 480
-rect 457958 -800 458070 480
-rect 459140 -800 459252 480
-rect 460322 -800 460434 480
-rect 461504 -800 461616 480
-rect 462686 -800 462798 480
-rect 463868 -800 463980 480
-rect 465050 -800 465162 480
-rect 466232 -800 466344 480
-rect 467414 -800 467526 480
-rect 468596 -800 468708 480
-rect 469778 -800 469890 480
-rect 470960 -800 471072 480
-rect 472142 -800 472254 480
-rect 473324 -800 473436 480
-rect 474506 -800 474618 480
-rect 475688 -800 475800 480
-rect 476870 -800 476982 480
-rect 478052 -800 478164 480
-rect 479234 -800 479346 480
-rect 480416 -800 480528 480
-rect 481598 -800 481710 480
-rect 482780 -800 482892 480
-rect 483962 -800 484074 480
-rect 485144 -800 485256 480
-rect 486326 -800 486438 480
-rect 487508 -800 487620 480
-rect 488690 -800 488802 480
-rect 489872 -800 489984 480
-rect 491054 -800 491166 480
-rect 492236 -800 492348 480
-rect 493418 -800 493530 480
-rect 494600 -800 494712 480
-rect 495782 -800 495894 480
-rect 496964 -800 497076 480
-rect 498146 -800 498258 480
-rect 499328 -800 499440 480
-rect 500510 -800 500622 480
-rect 501692 -800 501804 480
-rect 502874 -800 502986 480
-rect 504056 -800 504168 480
-rect 505238 -800 505350 480
-rect 506420 -800 506532 480
-rect 507602 -800 507714 480
-rect 508784 -800 508896 480
-rect 509966 -800 510078 480
-rect 511148 -800 511260 480
-rect 512330 -800 512442 480
-rect 513512 -800 513624 480
-rect 514694 -800 514806 480
-rect 515876 -800 515988 480
-rect 517058 -800 517170 480
-rect 518240 -800 518352 480
-rect 519422 -800 519534 480
-rect 520604 -800 520716 480
-rect 521786 -800 521898 480
-rect 522968 -800 523080 480
-rect 524150 -800 524262 480
-rect 525332 -800 525444 480
-rect 526514 -800 526626 480
-rect 527696 -800 527808 480
-rect 528878 -800 528990 480
-rect 530060 -800 530172 480
-rect 531242 -800 531354 480
-rect 532424 -800 532536 480
-rect 533606 -800 533718 480
-rect 534788 -800 534900 480
-rect 535970 -800 536082 480
-rect 537152 -800 537264 480
-rect 538334 -800 538446 480
-rect 539516 -800 539628 480
-rect 540698 -800 540810 480
-rect 541880 -800 541992 480
-rect 543062 -800 543174 480
-rect 544244 -800 544356 480
-rect 545426 -800 545538 480
-rect 546608 -800 546720 480
-rect 547790 -800 547902 480
-rect 548972 -800 549084 480
-rect 550154 -800 550266 480
-rect 551336 -800 551448 480
-rect 552518 -800 552630 480
-rect 553700 -800 553812 480
-rect 554882 -800 554994 480
-rect 556064 -800 556176 480
-rect 557246 -800 557358 480
-rect 558428 -800 558540 480
-rect 559610 -800 559722 480
-rect 560792 -800 560904 480
-rect 561974 -800 562086 480
-rect 563156 -800 563268 480
-rect 564338 -800 564450 480
-rect 565520 -800 565632 480
-rect 566702 -800 566814 480
-rect 567884 -800 567996 480
-rect 569066 -800 569178 480
-rect 570248 -800 570360 480
-rect 571430 -800 571542 480
-rect 572612 -800 572724 480
-rect 573794 -800 573906 480
-rect 574976 -800 575088 480
-rect 576158 -800 576270 480
-rect 577340 -800 577452 480
-rect 578522 -800 578634 480
-rect 579704 -800 579816 480
-rect 580886 -800 580998 480
-rect 582068 -800 582180 480
-rect 583250 -800 583362 480
-<< via2 >>
-rect 511931 678467 514067 678469
-rect 511931 676495 511949 678467
-rect 511949 676495 514049 678467
-rect 514049 676495 514067 678467
-rect 511931 676493 514067 676495
-rect 442326 541742 443582 541760
-rect 442326 540602 442352 541742
-rect 442352 540602 443556 541742
-rect 443556 540602 443582 541742
-rect 442326 540584 443582 540602
-<< metal3 >>
-rect 16194 702300 21194 704800
-rect 68194 702300 73194 704800
-rect 120194 702300 125194 704800
-rect 165594 702300 170594 704800
-rect 170894 702300 173094 704800
-rect 173394 702300 175594 704800
-rect 175894 702300 180894 704800
-rect 217294 702300 222294 704800
-rect 222594 702300 224794 704800
-rect 225094 702300 227294 704800
-rect 227594 702300 232594 704800
-rect 318994 702300 323994 704800
-rect 324294 702300 326494 704800
-rect 326794 702300 328994 704800
-rect 329294 702300 334294 704800
-rect 413394 702300 418394 704800
-rect 465394 702300 470394 704800
-rect 510594 702340 515394 704800
-rect 520594 702340 525394 704800
-rect -800 680242 1700 685242
-rect 414998 668038 416998 702300
-rect 414996 667139 416998 668038
-rect 414996 664995 415507 667139
-rect 416531 666982 416998 667139
-rect 416531 664995 416990 666982
-rect 414996 663620 416990 664995
-rect 467004 650004 469004 702300
-rect 512000 679048 514000 702340
-rect 511014 678986 515022 679048
-rect 521998 678986 523998 702340
-rect 566594 702300 571594 704800
-rect 511014 678469 523998 678986
-rect 511014 676493 511931 678469
-rect 514067 678004 523998 678469
-rect 514067 676986 523974 678004
-rect 514067 676493 515022 676986
-rect 511014 675962 515022 676493
-rect 567992 668996 569992 702300
-rect 582300 677984 584800 682984
-rect 499990 668678 569992 668996
-rect 499990 667334 500323 668678
-rect 501667 667334 569992 668678
-rect 499990 666996 569992 667334
-rect 467004 649288 469006 650004
-rect -800 643842 1660 648642
-rect 467004 647422 467490 649288
-rect 467006 646584 467490 647422
-rect 468434 646584 469006 649288
-rect 467006 646004 469006 646584
-rect 582340 639784 584800 644584
-rect -800 633842 1660 638642
-rect 582340 629784 584800 634584
-rect 583520 589472 584800 589584
-rect 583520 588290 584800 588402
-rect 583520 587108 584800 587220
-rect 583520 585926 584800 586038
-rect 583520 584744 584800 584856
-rect 583520 583562 584800 583674
-rect -800 559442 1660 564242
-rect -800 549442 1660 554242
-rect 582340 550562 584800 555362
-rect 442002 541764 444016 542442
-rect 442002 540580 442322 541764
-rect 443586 540580 444016 541764
-rect 442002 539980 444016 540580
-rect 582340 540562 584800 545362
-rect -800 511530 480 511642
-rect -800 510348 480 510460
-rect -800 509166 480 509278
-rect -800 507984 480 508096
-rect -800 506802 480 506914
-rect -800 505620 480 505732
-rect 583520 500050 584800 500162
-rect 583520 498868 584800 498980
-rect 583520 497686 584800 497798
-rect 583520 496504 584800 496616
-rect 583520 495322 584800 495434
-rect 583520 494140 584800 494252
-rect -800 468308 480 468420
-rect -800 467126 480 467238
-rect -800 465944 480 466056
-rect -800 464762 480 464874
-rect -800 463580 480 463692
-rect -800 462398 480 462510
-rect 583520 455628 584800 455740
-rect 583520 454446 584800 454558
-rect 583520 453264 584800 453376
-rect 583520 452082 584800 452194
-rect 583520 450900 584800 451012
-rect 583520 449718 584800 449830
-rect -800 425086 480 425198
-rect -800 423904 480 424016
-rect -800 422722 480 422834
-rect -800 421540 480 421652
-rect -800 420358 480 420470
-rect -800 419176 480 419288
-rect 583520 411206 584800 411318
-rect 583520 410024 584800 410136
-rect 583520 408842 584800 408954
-rect 583520 407660 584800 407772
-rect 583520 406478 584800 406590
-rect 583520 405296 584800 405408
-rect -800 381864 480 381976
-rect -800 380682 480 380794
-rect -800 379500 480 379612
-rect -800 378318 480 378430
-rect -800 377136 480 377248
-rect -800 375954 480 376066
-rect 583520 364784 584800 364896
-rect 583520 363602 584800 363714
-rect 583520 362420 584800 362532
-rect 583520 361238 584800 361350
-rect 583520 360056 584800 360168
-rect 583520 358874 584800 358986
-rect -800 338642 480 338754
-rect -800 337460 480 337572
-rect -800 336278 480 336390
-rect -800 335096 480 335208
-rect -800 333914 480 334026
-rect -800 332732 480 332844
-rect 583520 319562 584800 319674
-rect 583520 318380 584800 318492
-rect 583520 317198 584800 317310
-rect 583520 316016 584800 316128
-rect 583520 314834 584800 314946
-rect 583520 313652 584800 313764
-rect -800 295420 480 295532
-rect -800 294238 480 294350
-rect -800 293056 480 293168
-rect -800 291874 480 291986
-rect -800 290692 480 290804
-rect -800 289510 480 289622
-rect 583520 275140 584800 275252
-rect 583520 273958 584800 274070
-rect 583520 272776 584800 272888
-rect 583520 271594 584800 271706
-rect 583520 270412 584800 270524
-rect 583520 269230 584800 269342
-rect -800 252398 480 252510
-rect -800 251216 480 251328
-rect -800 250034 480 250146
-rect -800 248852 480 248964
-rect -800 247670 480 247782
-rect -800 246488 480 246600
-rect 582340 235230 584800 240030
-rect 582340 225230 584800 230030
-rect -800 214888 1660 219688
-rect -800 204888 1660 209688
-rect 582340 191430 584800 196230
-rect 582340 181430 584800 186230
-rect -800 172888 1660 177688
-rect -800 162888 1660 167688
-rect 582340 146830 584800 151630
-rect 582340 136830 584800 141630
-rect -800 124776 480 124888
-rect -800 123594 480 123706
-rect -800 122412 480 122524
-rect -800 121230 480 121342
-rect -800 120048 480 120160
-rect -800 118866 480 118978
-rect 583520 95118 584800 95230
-rect 583520 93936 584800 94048
-rect 583520 92754 584800 92866
-rect 583520 91572 584800 91684
-rect -800 81554 480 81666
-rect -800 80372 480 80484
-rect -800 79190 480 79302
-rect -800 78008 480 78120
-rect -800 76826 480 76938
-rect -800 75644 480 75756
-rect 583520 50460 584800 50572
-rect 583520 49278 584800 49390
-rect 583520 48096 584800 48208
-rect 583520 46914 584800 47026
-rect -800 38332 480 38444
-rect -800 37150 480 37262
-rect -800 35968 480 36080
-rect -800 34786 480 34898
-rect -800 33604 480 33716
-rect -800 32422 480 32534
-rect 583520 24002 584800 24114
-rect 583520 22820 584800 22932
-rect 583520 21638 584800 21750
-rect 583520 20456 584800 20568
-rect 583520 19274 584800 19386
-rect 583520 18092 584800 18204
-rect -800 16910 480 17022
-rect 583520 16910 584800 17022
-rect -800 15728 480 15840
-rect 583520 15728 584800 15840
-rect -800 14546 480 14658
-rect 583520 14546 584800 14658
-rect -800 13364 480 13476
-rect 583520 13364 584800 13476
-rect -800 12182 480 12294
-rect 583520 12182 584800 12294
-rect -800 11000 480 11112
-rect 583520 11000 584800 11112
-rect -800 9818 480 9930
-rect 583520 9818 584800 9930
-rect -800 8636 480 8748
-rect 583520 8636 584800 8748
-rect -800 7454 480 7566
-rect 583520 7454 584800 7566
-rect -800 6272 480 6384
-rect 583520 6272 584800 6384
-rect -800 5090 480 5202
-rect 583520 5090 584800 5202
-rect -800 3908 480 4020
-rect 583520 3908 584800 4020
-rect -800 2726 480 2838
-rect 583520 2726 584800 2838
-rect -800 1544 480 1656
-rect 583520 1544 584800 1656
-<< via3 >>
-rect 415507 664995 416531 667139
-rect 500323 667334 501667 668678
-rect 467490 646584 468434 649288
-rect 442322 541760 443586 541764
-rect 442322 540584 442326 541760
-rect 442326 540584 443582 541760
-rect 443582 540584 443586 541760
-rect 442322 540580 443586 540584
-<< metal4 >>
-rect 165594 702300 170594 704800
-rect 175894 702300 180894 704800
-rect 217294 702300 222294 704800
-rect 227594 702300 232594 704800
-rect 318994 702300 323994 704800
-rect 329294 702300 334294 704800
-rect 499990 668678 501990 669004
-rect 414996 667139 416990 668038
-rect 414996 664995 415507 667139
-rect 416531 664995 416990 667139
-rect 414996 663978 416990 664995
-rect 499990 667334 500323 668678
-rect 501667 667334 501990 668678
-rect 414994 548238 416994 663978
-rect 467006 649288 469006 650004
-rect 467006 646584 467490 649288
-rect 468434 647988 469006 649288
-rect 468434 646584 469010 647988
-rect 467006 646004 469010 646584
-rect 467010 579988 469004 646004
-rect 499990 610278 501990 667334
-rect 499990 609004 501996 610278
-rect 414994 547982 417044 548238
-rect 427434 547982 429434 555982
-rect 414994 545982 429434 547982
-rect 450004 547988 452004 555988
-rect 467010 547988 469010 579988
-rect 499996 556056 501996 609004
-rect 499996 551970 502018 556056
-rect 450004 545988 469010 547988
-rect 466008 545980 467016 545988
-rect 500018 542558 502018 551970
-rect 442002 541994 444016 542442
-rect 500016 542056 502018 542558
-rect 500016 541996 502000 542056
-rect 461000 541994 502000 541996
-rect 442002 541764 502000 541994
-rect 442002 540580 442322 541764
-rect 443586 540580 502000 541764
-rect 442002 539996 502000 540580
-rect 442002 539994 462020 539996
-rect 442002 539980 444016 539994
-<< metal5 >>
-rect 165594 702300 170594 704800
-rect 175894 702300 180894 704800
-rect 217294 702300 222294 704800
-rect 227594 702300 232594 704800
-rect 318994 702300 323994 704800
-rect 329294 702300 334294 704800
-use detV2  detV2_0
-timestamp 1647787158
-transform 1 0 488900 0 1 581290
-box -64974 -26942 -25500 -2992
-<< labels >>
-flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1400 0 0 0 gpio_analog[0]
-port 1 nsew
-flabel metal3 s -800 381864 480 381976 0 FreeSans 1400 0 0 0 gpio_analog[10]
-port 2 nsew
-flabel metal3 s -800 338642 480 338754 0 FreeSans 1400 0 0 0 gpio_analog[11]
-port 3 nsew
-flabel metal3 s -800 295420 480 295532 0 FreeSans 1400 0 0 0 gpio_analog[12]
-port 4 nsew
-flabel metal3 s -800 252398 480 252510 0 FreeSans 1400 0 0 0 gpio_analog[13]
-port 5 nsew
-flabel metal3 s -800 124776 480 124888 0 FreeSans 1400 0 0 0 gpio_analog[14]
-port 6 nsew
-flabel metal3 s -800 81554 480 81666 0 FreeSans 1400 0 0 0 gpio_analog[15]
-port 7 nsew
-flabel metal3 s -800 38332 480 38444 0 FreeSans 1400 0 0 0 gpio_analog[16]
-port 8 nsew
-flabel metal3 s -800 16910 480 17022 0 FreeSans 1400 0 0 0 gpio_analog[17]
-port 9 nsew
-flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1400 0 0 0 gpio_analog[1]
-port 10 nsew
-flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1400 0 0 0 gpio_analog[2]
-port 11 nsew
-flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1400 0 0 0 gpio_analog[3]
-port 12 nsew
-flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1400 0 0 0 gpio_analog[4]
-port 13 nsew
-flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1400 0 0 0 gpio_analog[5]
-port 14 nsew
-flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1400 0 0 0 gpio_analog[6]
-port 15 nsew
-flabel metal3 s -800 511530 480 511642 0 FreeSans 1400 0 0 0 gpio_analog[7]
-port 16 nsew
-flabel metal3 s -800 468308 480 468420 0 FreeSans 1400 0 0 0 gpio_analog[8]
-port 17 nsew
-flabel metal3 s -800 425086 480 425198 0 FreeSans 1400 0 0 0 gpio_analog[9]
-port 18 nsew
-flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1400 0 0 0 gpio_noesd[0]
-port 19 nsew
-flabel metal3 s -800 380682 480 380794 0 FreeSans 1400 0 0 0 gpio_noesd[10]
-port 20 nsew
-flabel metal3 s -800 337460 480 337572 0 FreeSans 1400 0 0 0 gpio_noesd[11]
-port 21 nsew
-flabel metal3 s -800 294238 480 294350 0 FreeSans 1400 0 0 0 gpio_noesd[12]
-port 22 nsew
-flabel metal3 s -800 251216 480 251328 0 FreeSans 1400 0 0 0 gpio_noesd[13]
-port 23 nsew
-flabel metal3 s -800 123594 480 123706 0 FreeSans 1400 0 0 0 gpio_noesd[14]
-port 24 nsew
-flabel metal3 s -800 80372 480 80484 0 FreeSans 1400 0 0 0 gpio_noesd[15]
-port 25 nsew
-flabel metal3 s -800 37150 480 37262 0 FreeSans 1400 0 0 0 gpio_noesd[16]
-port 26 nsew
-flabel metal3 s -800 15728 480 15840 0 FreeSans 1400 0 0 0 gpio_noesd[17]
-port 27 nsew
-flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1400 0 0 0 gpio_noesd[1]
-port 28 nsew
-flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1400 0 0 0 gpio_noesd[2]
-port 29 nsew
-flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1400 0 0 0 gpio_noesd[3]
-port 30 nsew
-flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1400 0 0 0 gpio_noesd[4]
-port 31 nsew
-flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1400 0 0 0 gpio_noesd[5]
-port 32 nsew
-flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1400 0 0 0 gpio_noesd[6]
-port 33 nsew
-flabel metal3 s -800 510348 480 510460 0 FreeSans 1400 0 0 0 gpio_noesd[7]
-port 34 nsew
-flabel metal3 s -800 467126 480 467238 0 FreeSans 1400 0 0 0 gpio_noesd[8]
-port 35 nsew
-flabel metal3 s -800 423904 480 424016 0 FreeSans 1400 0 0 0 gpio_noesd[9]
-port 36 nsew
-flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1400 0 0 0 io_analog[0]
-port 37 nsew
-flabel metal3 s 0 680242 1700 685242 0 FreeSans 1400 0 0 0 io_analog[10]
-port 38 nsew
-flabel metal3 s 566594 702300 571594 704800 0 FreeSans 2400 180 0 0 io_analog[1]
-port 39 nsew
-flabel metal3 s 465394 702300 470394 704800 0 FreeSans 2400 180 0 0 io_analog[2]
-port 40 nsew
-flabel metal3 s 413394 702300 418394 704800 0 FreeSans 2400 180 0 0 io_analog[3]
-port 41 nsew
-flabel metal3 s 329294 702300 334294 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal4 s 329294 702300 334294 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal5 s 329294 702300 334294 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal3 s 227594 702300 232594 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal4 s 227594 702300 232594 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal5 s 227594 702300 232594 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal3 s 175894 702300 180894 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal4 s 175894 702300 180894 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal5 s 175894 702300 180894 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal3 s 120194 702300 125194 704800 0 FreeSans 2400 180 0 0 io_analog[7]
-port 45 nsew
-flabel metal3 s 68194 702300 73194 704800 0 FreeSans 2400 180 0 0 io_analog[8]
-port 46 nsew
-flabel metal3 s 16194 702300 21194 704800 0 FreeSans 2400 180 0 0 io_analog[9]
-port 47 nsew
-flabel metal3 s 318994 702300 323994 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal4 s 318994 702300 323994 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal5 s 318994 702300 323994 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal3 s 217294 702300 222294 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal4 s 217294 702300 222294 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal5 s 217294 702300 222294 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal3 s 165594 702300 170594 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal4 s 165594 702300 170594 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal5 s 165594 702300 170594 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal3 s 326794 702300 328994 704800 0 FreeSans 2400 180 0 0 io_clamp_high[0]
-port 48 nsew
-flabel metal3 s 225094 702300 227294 704800 0 FreeSans 2400 180 0 0 io_clamp_high[1]
-port 49 nsew
-flabel metal3 s 173394 702300 175594 704800 0 FreeSans 2400 180 0 0 io_clamp_high[2]
-port 50 nsew
-flabel metal3 s 324294 702300 326494 704800 0 FreeSans 2400 180 0 0 io_clamp_low[0]
-port 51 nsew
-flabel metal3 s 222594 702300 224794 704800 0 FreeSans 2400 180 0 0 io_clamp_low[1]
-port 52 nsew
-flabel metal3 s 170894 702300 173094 704800 0 FreeSans 2400 180 0 0 io_clamp_low[2]
-port 53 nsew
-flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1400 0 0 0 io_in[0]
-port 54 nsew
-flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1400 0 0 0 io_in[10]
-port 55 nsew
-flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1400 0 0 0 io_in[11]
-port 56 nsew
-flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1400 0 0 0 io_in[12]
-port 57 nsew
-flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1400 0 0 0 io_in[13]
-port 58 nsew
-flabel metal3 s -800 507984 480 508096 0 FreeSans 1400 0 0 0 io_in[14]
-port 59 nsew
-flabel metal3 s -800 464762 480 464874 0 FreeSans 1400 0 0 0 io_in[15]
-port 60 nsew
-flabel metal3 s -800 421540 480 421652 0 FreeSans 1400 0 0 0 io_in[16]
-port 61 nsew
-flabel metal3 s -800 378318 480 378430 0 FreeSans 1400 0 0 0 io_in[17]
-port 62 nsew
-flabel metal3 s -800 335096 480 335208 0 FreeSans 1400 0 0 0 io_in[18]
-port 63 nsew
-flabel metal3 s -800 291874 480 291986 0 FreeSans 1400 0 0 0 io_in[19]
-port 64 nsew
-flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1400 0 0 0 io_in[1]
-port 65 nsew
-flabel metal3 s -800 248852 480 248964 0 FreeSans 1400 0 0 0 io_in[20]
-port 66 nsew
-flabel metal3 s -800 121230 480 121342 0 FreeSans 1400 0 0 0 io_in[21]
-port 67 nsew
-flabel metal3 s -800 78008 480 78120 0 FreeSans 1400 0 0 0 io_in[22]
-port 68 nsew
-flabel metal3 s -800 34786 480 34898 0 FreeSans 1400 0 0 0 io_in[23]
-port 69 nsew
-flabel metal3 s -800 13364 480 13476 0 FreeSans 1400 0 0 0 io_in[24]
-port 70 nsew
-flabel metal3 s -800 8636 480 8748 0 FreeSans 1400 0 0 0 io_in[25]
-port 71 nsew
-flabel metal3 s -800 3908 480 4020 0 FreeSans 1400 0 0 0 io_in[26]
-port 72 nsew
-flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1400 0 0 0 io_in[2]
-port 73 nsew
-flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1400 0 0 0 io_in[3]
-port 74 nsew
-flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1400 0 0 0 io_in[4]
-port 75 nsew
-flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1400 0 0 0 io_in[5]
-port 76 nsew
-flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1400 0 0 0 io_in[6]
-port 77 nsew
-flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1400 0 0 0 io_in[7]
-port 78 nsew
-flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1400 0 0 0 io_in[8]
-port 79 nsew
-flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1400 0 0 0 io_in[9]
-port 80 nsew
-flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1400 0 0 0 io_in_3v3[0]
-port 81 nsew
-flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1400 0 0 0 io_in_3v3[10]
-port 82 nsew
-flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1400 0 0 0 io_in_3v3[11]
-port 83 nsew
-flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1400 0 0 0 io_in_3v3[12]
-port 84 nsew
-flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1400 0 0 0 io_in_3v3[13]
-port 85 nsew
-flabel metal3 s -800 509166 480 509278 0 FreeSans 1400 0 0 0 io_in_3v3[14]
-port 86 nsew
-flabel metal3 s -800 465944 480 466056 0 FreeSans 1400 0 0 0 io_in_3v3[15]
-port 87 nsew
-flabel metal3 s -800 422722 480 422834 0 FreeSans 1400 0 0 0 io_in_3v3[16]
-port 88 nsew
-flabel metal3 s -800 379500 480 379612 0 FreeSans 1400 0 0 0 io_in_3v3[17]
-port 89 nsew
-flabel metal3 s -800 336278 480 336390 0 FreeSans 1400 0 0 0 io_in_3v3[18]
-port 90 nsew
-flabel metal3 s -800 293056 480 293168 0 FreeSans 1400 0 0 0 io_in_3v3[19]
-port 91 nsew
-flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1400 0 0 0 io_in_3v3[1]
-port 92 nsew
-flabel metal3 s -800 250034 480 250146 0 FreeSans 1400 0 0 0 io_in_3v3[20]
-port 93 nsew
-flabel metal3 s -800 122412 480 122524 0 FreeSans 1400 0 0 0 io_in_3v3[21]
-port 94 nsew
-flabel metal3 s -800 79190 480 79302 0 FreeSans 1400 0 0 0 io_in_3v3[22]
-port 95 nsew
-flabel metal3 s -800 35968 480 36080 0 FreeSans 1400 0 0 0 io_in_3v3[23]
-port 96 nsew
-flabel metal3 s -800 14546 480 14658 0 FreeSans 1400 0 0 0 io_in_3v3[24]
-port 97 nsew
-flabel metal3 s -800 9818 480 9930 0 FreeSans 1400 0 0 0 io_in_3v3[25]
-port 98 nsew
-flabel metal3 s -800 5090 480 5202 0 FreeSans 1400 0 0 0 io_in_3v3[26]
-port 99 nsew
-flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1400 0 0 0 io_in_3v3[2]
-port 100 nsew
-flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1400 0 0 0 io_in_3v3[3]
-port 101 nsew
-flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1400 0 0 0 io_in_3v3[4]
-port 102 nsew
-flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1400 0 0 0 io_in_3v3[5]
-port 103 nsew
-flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1400 0 0 0 io_in_3v3[6]
-port 104 nsew
-flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1400 0 0 0 io_in_3v3[7]
-port 105 nsew
-flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1400 0 0 0 io_in_3v3[8]
-port 106 nsew
-flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1400 0 0 0 io_in_3v3[9]
-port 107 nsew
-flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1400 0 0 0 io_oeb[0]
-port 108 nsew
-flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1400 0 0 0 io_oeb[10]
-port 109 nsew
-flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1400 0 0 0 io_oeb[11]
-port 110 nsew
-flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1400 0 0 0 io_oeb[12]
-port 111 nsew
-flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1400 0 0 0 io_oeb[13]
-port 112 nsew
-flabel metal3 s -800 505620 480 505732 0 FreeSans 1400 0 0 0 io_oeb[14]
-port 113 nsew
-flabel metal3 s -800 462398 480 462510 0 FreeSans 1400 0 0 0 io_oeb[15]
-port 114 nsew
-flabel metal3 s -800 419176 480 419288 0 FreeSans 1400 0 0 0 io_oeb[16]
-port 115 nsew
-flabel metal3 s -800 375954 480 376066 0 FreeSans 1400 0 0 0 io_oeb[17]
-port 116 nsew
-flabel metal3 s -800 332732 480 332844 0 FreeSans 1400 0 0 0 io_oeb[18]
-port 117 nsew
-flabel metal3 s -800 289510 480 289622 0 FreeSans 1400 0 0 0 io_oeb[19]
-port 118 nsew
-flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1400 0 0 0 io_oeb[1]
-port 119 nsew
-flabel metal3 s -800 246488 480 246600 0 FreeSans 1400 0 0 0 io_oeb[20]
-port 120 nsew
-flabel metal3 s -800 118866 480 118978 0 FreeSans 1400 0 0 0 io_oeb[21]
-port 121 nsew
-flabel metal3 s -800 75644 480 75756 0 FreeSans 1400 0 0 0 io_oeb[22]
-port 122 nsew
-flabel metal3 s -800 32422 480 32534 0 FreeSans 1400 0 0 0 io_oeb[23]
-port 123 nsew
-flabel metal3 s -800 11000 480 11112 0 FreeSans 1400 0 0 0 io_oeb[24]
-port 124 nsew
-flabel metal3 s -800 6272 480 6384 0 FreeSans 1400 0 0 0 io_oeb[25]
-port 125 nsew
-flabel metal3 s -800 1544 480 1656 0 FreeSans 1400 0 0 0 io_oeb[26]
-port 126 nsew
-flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1400 0 0 0 io_oeb[2]
-port 127 nsew
-flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1400 0 0 0 io_oeb[3]
-port 128 nsew
-flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1400 0 0 0 io_oeb[4]
-port 129 nsew
-flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1400 0 0 0 io_oeb[5]
-port 130 nsew
-flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1400 0 0 0 io_oeb[6]
-port 131 nsew
-flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1400 0 0 0 io_oeb[7]
-port 132 nsew
-flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1400 0 0 0 io_oeb[8]
-port 133 nsew
-flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1400 0 0 0 io_oeb[9]
-port 134 nsew
-flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1400 0 0 0 io_out[0]
-port 135 nsew
-flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1400 0 0 0 io_out[10]
-port 136 nsew
-flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1400 0 0 0 io_out[11]
-port 137 nsew
-flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1400 0 0 0 io_out[12]
-port 138 nsew
-flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1400 0 0 0 io_out[13]
-port 139 nsew
-flabel metal3 s -800 506802 480 506914 0 FreeSans 1400 0 0 0 io_out[14]
-port 140 nsew
-flabel metal3 s -800 463580 480 463692 0 FreeSans 1400 0 0 0 io_out[15]
-port 141 nsew
-flabel metal3 s -800 420358 480 420470 0 FreeSans 1400 0 0 0 io_out[16]
-port 142 nsew
-flabel metal3 s -800 377136 480 377248 0 FreeSans 1400 0 0 0 io_out[17]
-port 143 nsew
-flabel metal3 s -800 333914 480 334026 0 FreeSans 1400 0 0 0 io_out[18]
-port 144 nsew
-flabel metal3 s -800 290692 480 290804 0 FreeSans 1400 0 0 0 io_out[19]
-port 145 nsew
-flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1400 0 0 0 io_out[1]
-port 146 nsew
-flabel metal3 s -800 247670 480 247782 0 FreeSans 1400 0 0 0 io_out[20]
-port 147 nsew
-flabel metal3 s -800 120048 480 120160 0 FreeSans 1400 0 0 0 io_out[21]
-port 148 nsew
-flabel metal3 s -800 76826 480 76938 0 FreeSans 1400 0 0 0 io_out[22]
-port 149 nsew
-flabel metal3 s -800 33604 480 33716 0 FreeSans 1400 0 0 0 io_out[23]
-port 150 nsew
-flabel metal3 s -800 12182 480 12294 0 FreeSans 1400 0 0 0 io_out[24]
-port 151 nsew
-flabel metal3 s -800 7454 480 7566 0 FreeSans 1400 0 0 0 io_out[25]
-port 152 nsew
-flabel metal3 s -800 2726 480 2838 0 FreeSans 1400 0 0 0 io_out[26]
-port 153 nsew
-flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1400 0 0 0 io_out[2]
-port 154 nsew
-flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1400 0 0 0 io_out[3]
-port 155 nsew
-flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1400 0 0 0 io_out[4]
-port 156 nsew
-flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1400 0 0 0 io_out[5]
-port 157 nsew
-flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1400 0 0 0 io_out[6]
-port 158 nsew
-flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1400 0 0 0 io_out[7]
-port 159 nsew
-flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1400 0 0 0 io_out[8]
-port 160 nsew
-flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1400 0 0 0 io_out[9]
-port 161 nsew
-flabel metal2 s 125816 -800 125928 480 0 FreeSans 1400 90 0 0 la_data_in[0]
-port 162 nsew
-flabel metal2 s 480416 -800 480528 480 0 FreeSans 1400 90 0 0 la_data_in[100]
-port 163 nsew
-flabel metal2 s 483962 -800 484074 480 0 FreeSans 1400 90 0 0 la_data_in[101]
-port 164 nsew
-flabel metal2 s 487508 -800 487620 480 0 FreeSans 1400 90 0 0 la_data_in[102]
-port 165 nsew
-flabel metal2 s 491054 -800 491166 480 0 FreeSans 1400 90 0 0 la_data_in[103]
-port 166 nsew
-flabel metal2 s 494600 -800 494712 480 0 FreeSans 1400 90 0 0 la_data_in[104]
-port 167 nsew
-flabel metal2 s 498146 -800 498258 480 0 FreeSans 1400 90 0 0 la_data_in[105]
-port 168 nsew
-flabel metal2 s 501692 -800 501804 480 0 FreeSans 1400 90 0 0 la_data_in[106]
-port 169 nsew
-flabel metal2 s 505238 -800 505350 480 0 FreeSans 1400 90 0 0 la_data_in[107]
-port 170 nsew
-flabel metal2 s 508784 -800 508896 480 0 FreeSans 1400 90 0 0 la_data_in[108]
-port 171 nsew
-flabel metal2 s 512330 -800 512442 480 0 FreeSans 1400 90 0 0 la_data_in[109]
-port 172 nsew
-flabel metal2 s 161276 -800 161388 480 0 FreeSans 1400 90 0 0 la_data_in[10]
-port 173 nsew
-flabel metal2 s 515876 -800 515988 480 0 FreeSans 1400 90 0 0 la_data_in[110]
-port 174 nsew
-flabel metal2 s 519422 -800 519534 480 0 FreeSans 1400 90 0 0 la_data_in[111]
-port 175 nsew
-flabel metal2 s 522968 -800 523080 480 0 FreeSans 1400 90 0 0 la_data_in[112]
-port 176 nsew
-flabel metal2 s 526514 -800 526626 480 0 FreeSans 1400 90 0 0 la_data_in[113]
-port 177 nsew
-flabel metal2 s 530060 -800 530172 480 0 FreeSans 1400 90 0 0 la_data_in[114]
-port 178 nsew
-flabel metal2 s 533606 -800 533718 480 0 FreeSans 1400 90 0 0 la_data_in[115]
-port 179 nsew
-flabel metal2 s 537152 -800 537264 480 0 FreeSans 1400 90 0 0 la_data_in[116]
-port 180 nsew
-flabel metal2 s 540698 -800 540810 480 0 FreeSans 1400 90 0 0 la_data_in[117]
-port 181 nsew
-flabel metal2 s 544244 -800 544356 480 0 FreeSans 1400 90 0 0 la_data_in[118]
-port 182 nsew
-flabel metal2 s 547790 -800 547902 480 0 FreeSans 1400 90 0 0 la_data_in[119]
-port 183 nsew
-flabel metal2 s 164822 -800 164934 480 0 FreeSans 1400 90 0 0 la_data_in[11]
-port 184 nsew
-flabel metal2 s 551336 -800 551448 480 0 FreeSans 1400 90 0 0 la_data_in[120]
-port 185 nsew
-flabel metal2 s 554882 -800 554994 480 0 FreeSans 1400 90 0 0 la_data_in[121]
-port 186 nsew
-flabel metal2 s 558428 -800 558540 480 0 FreeSans 1400 90 0 0 la_data_in[122]
-port 187 nsew
-flabel metal2 s 561974 -800 562086 480 0 FreeSans 1400 90 0 0 la_data_in[123]
-port 188 nsew
-flabel metal2 s 565520 -800 565632 480 0 FreeSans 1400 90 0 0 la_data_in[124]
-port 189 nsew
-flabel metal2 s 569066 -800 569178 480 0 FreeSans 1400 90 0 0 la_data_in[125]
-port 190 nsew
-flabel metal2 s 572612 -800 572724 480 0 FreeSans 1400 90 0 0 la_data_in[126]
-port 191 nsew
-flabel metal2 s 576158 -800 576270 480 0 FreeSans 1400 90 0 0 la_data_in[127]
-port 192 nsew
-flabel metal2 s 168368 -800 168480 480 0 FreeSans 1400 90 0 0 la_data_in[12]
-port 193 nsew
-flabel metal2 s 171914 -800 172026 480 0 FreeSans 1400 90 0 0 la_data_in[13]
-port 194 nsew
-flabel metal2 s 175460 -800 175572 480 0 FreeSans 1400 90 0 0 la_data_in[14]
-port 195 nsew
-flabel metal2 s 179006 -800 179118 480 0 FreeSans 1400 90 0 0 la_data_in[15]
-port 196 nsew
-flabel metal2 s 182552 -800 182664 480 0 FreeSans 1400 90 0 0 la_data_in[16]
-port 197 nsew
-flabel metal2 s 186098 -800 186210 480 0 FreeSans 1400 90 0 0 la_data_in[17]
-port 198 nsew
-flabel metal2 s 189644 -800 189756 480 0 FreeSans 1400 90 0 0 la_data_in[18]
-port 199 nsew
-flabel metal2 s 193190 -800 193302 480 0 FreeSans 1400 90 0 0 la_data_in[19]
-port 200 nsew
-flabel metal2 s 129362 -800 129474 480 0 FreeSans 1400 90 0 0 la_data_in[1]
-port 201 nsew
-flabel metal2 s 196736 -800 196848 480 0 FreeSans 1400 90 0 0 la_data_in[20]
-port 202 nsew
-flabel metal2 s 200282 -800 200394 480 0 FreeSans 1400 90 0 0 la_data_in[21]
-port 203 nsew
-flabel metal2 s 203828 -800 203940 480 0 FreeSans 1400 90 0 0 la_data_in[22]
-port 204 nsew
-flabel metal2 s 207374 -800 207486 480 0 FreeSans 1400 90 0 0 la_data_in[23]
-port 205 nsew
-flabel metal2 s 210920 -800 211032 480 0 FreeSans 1400 90 0 0 la_data_in[24]
-port 206 nsew
-flabel metal2 s 214466 -800 214578 480 0 FreeSans 1400 90 0 0 la_data_in[25]
-port 207 nsew
-flabel metal2 s 218012 -800 218124 480 0 FreeSans 1400 90 0 0 la_data_in[26]
-port 208 nsew
-flabel metal2 s 221558 -800 221670 480 0 FreeSans 1400 90 0 0 la_data_in[27]
-port 209 nsew
-flabel metal2 s 225104 -800 225216 480 0 FreeSans 1400 90 0 0 la_data_in[28]
-port 210 nsew
-flabel metal2 s 228650 -800 228762 480 0 FreeSans 1400 90 0 0 la_data_in[29]
-port 211 nsew
-flabel metal2 s 132908 -800 133020 480 0 FreeSans 1400 90 0 0 la_data_in[2]
-port 212 nsew
-flabel metal2 s 232196 -800 232308 480 0 FreeSans 1400 90 0 0 la_data_in[30]
-port 213 nsew
-flabel metal2 s 235742 -800 235854 480 0 FreeSans 1400 90 0 0 la_data_in[31]
-port 214 nsew
-flabel metal2 s 239288 -800 239400 480 0 FreeSans 1400 90 0 0 la_data_in[32]
-port 215 nsew
-flabel metal2 s 242834 -800 242946 480 0 FreeSans 1400 90 0 0 la_data_in[33]
-port 216 nsew
-flabel metal2 s 246380 -800 246492 480 0 FreeSans 1400 90 0 0 la_data_in[34]
-port 217 nsew
-flabel metal2 s 249926 -800 250038 480 0 FreeSans 1400 90 0 0 la_data_in[35]
-port 218 nsew
-flabel metal2 s 253472 -800 253584 480 0 FreeSans 1400 90 0 0 la_data_in[36]
-port 219 nsew
-flabel metal2 s 257018 -800 257130 480 0 FreeSans 1400 90 0 0 la_data_in[37]
-port 220 nsew
-flabel metal2 s 260564 -800 260676 480 0 FreeSans 1400 90 0 0 la_data_in[38]
-port 221 nsew
-flabel metal2 s 264110 -800 264222 480 0 FreeSans 1400 90 0 0 la_data_in[39]
-port 222 nsew
-flabel metal2 s 136454 -800 136566 480 0 FreeSans 1400 90 0 0 la_data_in[3]
-port 223 nsew
-flabel metal2 s 267656 -800 267768 480 0 FreeSans 1400 90 0 0 la_data_in[40]
-port 224 nsew
-flabel metal2 s 271202 -800 271314 480 0 FreeSans 1400 90 0 0 la_data_in[41]
-port 225 nsew
-flabel metal2 s 274748 -800 274860 480 0 FreeSans 1400 90 0 0 la_data_in[42]
-port 226 nsew
-flabel metal2 s 278294 -800 278406 480 0 FreeSans 1400 90 0 0 la_data_in[43]
-port 227 nsew
-flabel metal2 s 281840 -800 281952 480 0 FreeSans 1400 90 0 0 la_data_in[44]
-port 228 nsew
-flabel metal2 s 285386 -800 285498 480 0 FreeSans 1400 90 0 0 la_data_in[45]
-port 229 nsew
-flabel metal2 s 288932 -800 289044 480 0 FreeSans 1400 90 0 0 la_data_in[46]
-port 230 nsew
-flabel metal2 s 292478 -800 292590 480 0 FreeSans 1400 90 0 0 la_data_in[47]
-port 231 nsew
-flabel metal2 s 296024 -800 296136 480 0 FreeSans 1400 90 0 0 la_data_in[48]
-port 232 nsew
-flabel metal2 s 299570 -800 299682 480 0 FreeSans 1400 90 0 0 la_data_in[49]
-port 233 nsew
-flabel metal2 s 140000 -800 140112 480 0 FreeSans 1400 90 0 0 la_data_in[4]
-port 234 nsew
-flabel metal2 s 303116 -800 303228 480 0 FreeSans 1400 90 0 0 la_data_in[50]
-port 235 nsew
-flabel metal2 s 306662 -800 306774 480 0 FreeSans 1400 90 0 0 la_data_in[51]
-port 236 nsew
-flabel metal2 s 310208 -800 310320 480 0 FreeSans 1400 90 0 0 la_data_in[52]
-port 237 nsew
-flabel metal2 s 313754 -800 313866 480 0 FreeSans 1400 90 0 0 la_data_in[53]
-port 238 nsew
-flabel metal2 s 317300 -800 317412 480 0 FreeSans 1400 90 0 0 la_data_in[54]
-port 239 nsew
-flabel metal2 s 320846 -800 320958 480 0 FreeSans 1400 90 0 0 la_data_in[55]
-port 240 nsew
-flabel metal2 s 324392 -800 324504 480 0 FreeSans 1400 90 0 0 la_data_in[56]
-port 241 nsew
-flabel metal2 s 327938 -800 328050 480 0 FreeSans 1400 90 0 0 la_data_in[57]
-port 242 nsew
-flabel metal2 s 331484 -800 331596 480 0 FreeSans 1400 90 0 0 la_data_in[58]
-port 243 nsew
-flabel metal2 s 335030 -800 335142 480 0 FreeSans 1400 90 0 0 la_data_in[59]
-port 244 nsew
-flabel metal2 s 143546 -800 143658 480 0 FreeSans 1400 90 0 0 la_data_in[5]
-port 245 nsew
-flabel metal2 s 338576 -800 338688 480 0 FreeSans 1400 90 0 0 la_data_in[60]
-port 246 nsew
-flabel metal2 s 342122 -800 342234 480 0 FreeSans 1400 90 0 0 la_data_in[61]
-port 247 nsew
-flabel metal2 s 345668 -800 345780 480 0 FreeSans 1400 90 0 0 la_data_in[62]
-port 248 nsew
-flabel metal2 s 349214 -800 349326 480 0 FreeSans 1400 90 0 0 la_data_in[63]
-port 249 nsew
-flabel metal2 s 352760 -800 352872 480 0 FreeSans 1400 90 0 0 la_data_in[64]
-port 250 nsew
-flabel metal2 s 356306 -800 356418 480 0 FreeSans 1400 90 0 0 la_data_in[65]
-port 251 nsew
-flabel metal2 s 359852 -800 359964 480 0 FreeSans 1400 90 0 0 la_data_in[66]
-port 252 nsew
-flabel metal2 s 363398 -800 363510 480 0 FreeSans 1400 90 0 0 la_data_in[67]
-port 253 nsew
-flabel metal2 s 366944 -800 367056 480 0 FreeSans 1400 90 0 0 la_data_in[68]
-port 254 nsew
-flabel metal2 s 370490 -800 370602 480 0 FreeSans 1400 90 0 0 la_data_in[69]
-port 255 nsew
-flabel metal2 s 147092 -800 147204 480 0 FreeSans 1400 90 0 0 la_data_in[6]
-port 256 nsew
-flabel metal2 s 374036 -800 374148 480 0 FreeSans 1400 90 0 0 la_data_in[70]
-port 257 nsew
-flabel metal2 s 377582 -800 377694 480 0 FreeSans 1400 90 0 0 la_data_in[71]
-port 258 nsew
-flabel metal2 s 381128 -800 381240 480 0 FreeSans 1400 90 0 0 la_data_in[72]
-port 259 nsew
-flabel metal2 s 384674 -800 384786 480 0 FreeSans 1400 90 0 0 la_data_in[73]
-port 260 nsew
-flabel metal2 s 388220 -800 388332 480 0 FreeSans 1400 90 0 0 la_data_in[74]
-port 261 nsew
-flabel metal2 s 391766 -800 391878 480 0 FreeSans 1400 90 0 0 la_data_in[75]
-port 262 nsew
-flabel metal2 s 395312 -800 395424 480 0 FreeSans 1400 90 0 0 la_data_in[76]
-port 263 nsew
-flabel metal2 s 398858 -800 398970 480 0 FreeSans 1400 90 0 0 la_data_in[77]
-port 264 nsew
-flabel metal2 s 402404 -800 402516 480 0 FreeSans 1400 90 0 0 la_data_in[78]
-port 265 nsew
-flabel metal2 s 405950 -800 406062 480 0 FreeSans 1400 90 0 0 la_data_in[79]
-port 266 nsew
-flabel metal2 s 150638 -800 150750 480 0 FreeSans 1400 90 0 0 la_data_in[7]
-port 267 nsew
-flabel metal2 s 409496 -800 409608 480 0 FreeSans 1400 90 0 0 la_data_in[80]
-port 268 nsew
-flabel metal2 s 413042 -800 413154 480 0 FreeSans 1400 90 0 0 la_data_in[81]
-port 269 nsew
-flabel metal2 s 416588 -800 416700 480 0 FreeSans 1400 90 0 0 la_data_in[82]
-port 270 nsew
-flabel metal2 s 420134 -800 420246 480 0 FreeSans 1400 90 0 0 la_data_in[83]
-port 271 nsew
-flabel metal2 s 423680 -800 423792 480 0 FreeSans 1400 90 0 0 la_data_in[84]
-port 272 nsew
-flabel metal2 s 427226 -800 427338 480 0 FreeSans 1400 90 0 0 la_data_in[85]
-port 273 nsew
-flabel metal2 s 430772 -800 430884 480 0 FreeSans 1400 90 0 0 la_data_in[86]
-port 274 nsew
-flabel metal2 s 434318 -800 434430 480 0 FreeSans 1400 90 0 0 la_data_in[87]
-port 275 nsew
-flabel metal2 s 437864 -800 437976 480 0 FreeSans 1400 90 0 0 la_data_in[88]
-port 276 nsew
-flabel metal2 s 441410 -800 441522 480 0 FreeSans 1400 90 0 0 la_data_in[89]
-port 277 nsew
-flabel metal2 s 154184 -800 154296 480 0 FreeSans 1400 90 0 0 la_data_in[8]
-port 278 nsew
-flabel metal2 s 444956 -800 445068 480 0 FreeSans 1400 90 0 0 la_data_in[90]
-port 279 nsew
-flabel metal2 s 448502 -800 448614 480 0 FreeSans 1400 90 0 0 la_data_in[91]
-port 280 nsew
-flabel metal2 s 452048 -800 452160 480 0 FreeSans 1400 90 0 0 la_data_in[92]
-port 281 nsew
-flabel metal2 s 455594 -800 455706 480 0 FreeSans 1400 90 0 0 la_data_in[93]
-port 282 nsew
-flabel metal2 s 459140 -800 459252 480 0 FreeSans 1400 90 0 0 la_data_in[94]
-port 283 nsew
-flabel metal2 s 462686 -800 462798 480 0 FreeSans 1400 90 0 0 la_data_in[95]
-port 284 nsew
-flabel metal2 s 466232 -800 466344 480 0 FreeSans 1400 90 0 0 la_data_in[96]
-port 285 nsew
-flabel metal2 s 469778 -800 469890 480 0 FreeSans 1400 90 0 0 la_data_in[97]
-port 286 nsew
-flabel metal2 s 473324 -800 473436 480 0 FreeSans 1400 90 0 0 la_data_in[98]
-port 287 nsew
-flabel metal2 s 476870 -800 476982 480 0 FreeSans 1400 90 0 0 la_data_in[99]
-port 288 nsew
-flabel metal2 s 157730 -800 157842 480 0 FreeSans 1400 90 0 0 la_data_in[9]
-port 289 nsew
-flabel metal2 s 126998 -800 127110 480 0 FreeSans 1400 90 0 0 la_data_out[0]
-port 290 nsew
-flabel metal2 s 481598 -800 481710 480 0 FreeSans 1400 90 0 0 la_data_out[100]
-port 291 nsew
-flabel metal2 s 485144 -800 485256 480 0 FreeSans 1400 90 0 0 la_data_out[101]
-port 292 nsew
-flabel metal2 s 488690 -800 488802 480 0 FreeSans 1400 90 0 0 la_data_out[102]
-port 293 nsew
-flabel metal2 s 492236 -800 492348 480 0 FreeSans 1400 90 0 0 la_data_out[103]
-port 294 nsew
-flabel metal2 s 495782 -800 495894 480 0 FreeSans 1400 90 0 0 la_data_out[104]
-port 295 nsew
-flabel metal2 s 499328 -800 499440 480 0 FreeSans 1400 90 0 0 la_data_out[105]
-port 296 nsew
-flabel metal2 s 502874 -800 502986 480 0 FreeSans 1400 90 0 0 la_data_out[106]
-port 297 nsew
-flabel metal2 s 506420 -800 506532 480 0 FreeSans 1400 90 0 0 la_data_out[107]
-port 298 nsew
-flabel metal2 s 509966 -800 510078 480 0 FreeSans 1400 90 0 0 la_data_out[108]
-port 299 nsew
-flabel metal2 s 513512 -800 513624 480 0 FreeSans 1400 90 0 0 la_data_out[109]
-port 300 nsew
-flabel metal2 s 162458 -800 162570 480 0 FreeSans 1400 90 0 0 la_data_out[10]
-port 301 nsew
-flabel metal2 s 517058 -800 517170 480 0 FreeSans 1400 90 0 0 la_data_out[110]
-port 302 nsew
-flabel metal2 s 520604 -800 520716 480 0 FreeSans 1400 90 0 0 la_data_out[111]
-port 303 nsew
-flabel metal2 s 524150 -800 524262 480 0 FreeSans 1400 90 0 0 la_data_out[112]
-port 304 nsew
-flabel metal2 s 527696 -800 527808 480 0 FreeSans 1400 90 0 0 la_data_out[113]
-port 305 nsew
-flabel metal2 s 531242 -800 531354 480 0 FreeSans 1400 90 0 0 la_data_out[114]
-port 306 nsew
-flabel metal2 s 534788 -800 534900 480 0 FreeSans 1400 90 0 0 la_data_out[115]
-port 307 nsew
-flabel metal2 s 538334 -800 538446 480 0 FreeSans 1400 90 0 0 la_data_out[116]
-port 308 nsew
-flabel metal2 s 541880 -800 541992 480 0 FreeSans 1400 90 0 0 la_data_out[117]
-port 309 nsew
-flabel metal2 s 545426 -800 545538 480 0 FreeSans 1400 90 0 0 la_data_out[118]
-port 310 nsew
-flabel metal2 s 548972 -800 549084 480 0 FreeSans 1400 90 0 0 la_data_out[119]
-port 311 nsew
-flabel metal2 s 166004 -800 166116 480 0 FreeSans 1400 90 0 0 la_data_out[11]
-port 312 nsew
-flabel metal2 s 552518 -800 552630 480 0 FreeSans 1400 90 0 0 la_data_out[120]
-port 313 nsew
-flabel metal2 s 556064 -800 556176 480 0 FreeSans 1400 90 0 0 la_data_out[121]
-port 314 nsew
-flabel metal2 s 559610 -800 559722 480 0 FreeSans 1400 90 0 0 la_data_out[122]
-port 315 nsew
-flabel metal2 s 563156 -800 563268 480 0 FreeSans 1400 90 0 0 la_data_out[123]
-port 316 nsew
-flabel metal2 s 566702 -800 566814 480 0 FreeSans 1400 90 0 0 la_data_out[124]
-port 317 nsew
-flabel metal2 s 570248 -800 570360 480 0 FreeSans 1400 90 0 0 la_data_out[125]
-port 318 nsew
-flabel metal2 s 573794 -800 573906 480 0 FreeSans 1400 90 0 0 la_data_out[126]
-port 319 nsew
-flabel metal2 s 577340 -800 577452 480 0 FreeSans 1400 90 0 0 la_data_out[127]
-port 320 nsew
-flabel metal2 s 169550 -800 169662 480 0 FreeSans 1400 90 0 0 la_data_out[12]
-port 321 nsew
-flabel metal2 s 173096 -800 173208 480 0 FreeSans 1400 90 0 0 la_data_out[13]
-port 322 nsew
-flabel metal2 s 176642 -800 176754 480 0 FreeSans 1400 90 0 0 la_data_out[14]
-port 323 nsew
-flabel metal2 s 180188 -800 180300 480 0 FreeSans 1400 90 0 0 la_data_out[15]
-port 324 nsew
-flabel metal2 s 183734 -800 183846 480 0 FreeSans 1400 90 0 0 la_data_out[16]
-port 325 nsew
-flabel metal2 s 187280 -800 187392 480 0 FreeSans 1400 90 0 0 la_data_out[17]
-port 326 nsew
-flabel metal2 s 190826 -800 190938 480 0 FreeSans 1400 90 0 0 la_data_out[18]
-port 327 nsew
-flabel metal2 s 194372 -800 194484 480 0 FreeSans 1400 90 0 0 la_data_out[19]
-port 328 nsew
-flabel metal2 s 130544 -800 130656 480 0 FreeSans 1400 90 0 0 la_data_out[1]
-port 329 nsew
-flabel metal2 s 197918 -800 198030 480 0 FreeSans 1400 90 0 0 la_data_out[20]
-port 330 nsew
-flabel metal2 s 201464 -800 201576 480 0 FreeSans 1400 90 0 0 la_data_out[21]
-port 331 nsew
-flabel metal2 s 205010 -800 205122 480 0 FreeSans 1400 90 0 0 la_data_out[22]
-port 332 nsew
-flabel metal2 s 208556 -800 208668 480 0 FreeSans 1400 90 0 0 la_data_out[23]
-port 333 nsew
-flabel metal2 s 212102 -800 212214 480 0 FreeSans 1400 90 0 0 la_data_out[24]
-port 334 nsew
-flabel metal2 s 215648 -800 215760 480 0 FreeSans 1400 90 0 0 la_data_out[25]
-port 335 nsew
-flabel metal2 s 219194 -800 219306 480 0 FreeSans 1400 90 0 0 la_data_out[26]
-port 336 nsew
-flabel metal2 s 222740 -800 222852 480 0 FreeSans 1400 90 0 0 la_data_out[27]
-port 337 nsew
-flabel metal2 s 226286 -800 226398 480 0 FreeSans 1400 90 0 0 la_data_out[28]
-port 338 nsew
-flabel metal2 s 229832 -800 229944 480 0 FreeSans 1400 90 0 0 la_data_out[29]
-port 339 nsew
-flabel metal2 s 134090 -800 134202 480 0 FreeSans 1400 90 0 0 la_data_out[2]
-port 340 nsew
-flabel metal2 s 233378 -800 233490 480 0 FreeSans 1400 90 0 0 la_data_out[30]
-port 341 nsew
-flabel metal2 s 236924 -800 237036 480 0 FreeSans 1400 90 0 0 la_data_out[31]
-port 342 nsew
-flabel metal2 s 240470 -800 240582 480 0 FreeSans 1400 90 0 0 la_data_out[32]
-port 343 nsew
-flabel metal2 s 244016 -800 244128 480 0 FreeSans 1400 90 0 0 la_data_out[33]
-port 344 nsew
-flabel metal2 s 247562 -800 247674 480 0 FreeSans 1400 90 0 0 la_data_out[34]
-port 345 nsew
-flabel metal2 s 251108 -800 251220 480 0 FreeSans 1400 90 0 0 la_data_out[35]
-port 346 nsew
-flabel metal2 s 254654 -800 254766 480 0 FreeSans 1400 90 0 0 la_data_out[36]
-port 347 nsew
-flabel metal2 s 258200 -800 258312 480 0 FreeSans 1400 90 0 0 la_data_out[37]
-port 348 nsew
-flabel metal2 s 261746 -800 261858 480 0 FreeSans 1400 90 0 0 la_data_out[38]
-port 349 nsew
-flabel metal2 s 265292 -800 265404 480 0 FreeSans 1400 90 0 0 la_data_out[39]
-port 350 nsew
-flabel metal2 s 137636 -800 137748 480 0 FreeSans 1400 90 0 0 la_data_out[3]
-port 351 nsew
-flabel metal2 s 268838 -800 268950 480 0 FreeSans 1400 90 0 0 la_data_out[40]
-port 352 nsew
-flabel metal2 s 272384 -800 272496 480 0 FreeSans 1400 90 0 0 la_data_out[41]
-port 353 nsew
-flabel metal2 s 275930 -800 276042 480 0 FreeSans 1400 90 0 0 la_data_out[42]
-port 354 nsew
-flabel metal2 s 279476 -800 279588 480 0 FreeSans 1400 90 0 0 la_data_out[43]
-port 355 nsew
-flabel metal2 s 283022 -800 283134 480 0 FreeSans 1400 90 0 0 la_data_out[44]
-port 356 nsew
-flabel metal2 s 286568 -800 286680 480 0 FreeSans 1400 90 0 0 la_data_out[45]
-port 357 nsew
-flabel metal2 s 290114 -800 290226 480 0 FreeSans 1400 90 0 0 la_data_out[46]
-port 358 nsew
-flabel metal2 s 293660 -800 293772 480 0 FreeSans 1400 90 0 0 la_data_out[47]
-port 359 nsew
-flabel metal2 s 297206 -800 297318 480 0 FreeSans 1400 90 0 0 la_data_out[48]
-port 360 nsew
-flabel metal2 s 300752 -800 300864 480 0 FreeSans 1400 90 0 0 la_data_out[49]
-port 361 nsew
-flabel metal2 s 141182 -800 141294 480 0 FreeSans 1400 90 0 0 la_data_out[4]
-port 362 nsew
-flabel metal2 s 304298 -800 304410 480 0 FreeSans 1400 90 0 0 la_data_out[50]
-port 363 nsew
-flabel metal2 s 307844 -800 307956 480 0 FreeSans 1400 90 0 0 la_data_out[51]
-port 364 nsew
-flabel metal2 s 311390 -800 311502 480 0 FreeSans 1400 90 0 0 la_data_out[52]
-port 365 nsew
-flabel metal2 s 314936 -800 315048 480 0 FreeSans 1400 90 0 0 la_data_out[53]
-port 366 nsew
-flabel metal2 s 318482 -800 318594 480 0 FreeSans 1400 90 0 0 la_data_out[54]
-port 367 nsew
-flabel metal2 s 322028 -800 322140 480 0 FreeSans 1400 90 0 0 la_data_out[55]
-port 368 nsew
-flabel metal2 s 325574 -800 325686 480 0 FreeSans 1400 90 0 0 la_data_out[56]
-port 369 nsew
-flabel metal2 s 329120 -800 329232 480 0 FreeSans 1400 90 0 0 la_data_out[57]
-port 370 nsew
-flabel metal2 s 332666 -800 332778 480 0 FreeSans 1400 90 0 0 la_data_out[58]
-port 371 nsew
-flabel metal2 s 336212 -800 336324 480 0 FreeSans 1400 90 0 0 la_data_out[59]
-port 372 nsew
-flabel metal2 s 144728 -800 144840 480 0 FreeSans 1400 90 0 0 la_data_out[5]
-port 373 nsew
-flabel metal2 s 339758 -800 339870 480 0 FreeSans 1400 90 0 0 la_data_out[60]
-port 374 nsew
-flabel metal2 s 343304 -800 343416 480 0 FreeSans 1400 90 0 0 la_data_out[61]
-port 375 nsew
-flabel metal2 s 346850 -800 346962 480 0 FreeSans 1400 90 0 0 la_data_out[62]
-port 376 nsew
-flabel metal2 s 350396 -800 350508 480 0 FreeSans 1400 90 0 0 la_data_out[63]
-port 377 nsew
-flabel metal2 s 353942 -800 354054 480 0 FreeSans 1400 90 0 0 la_data_out[64]
-port 378 nsew
-flabel metal2 s 357488 -800 357600 480 0 FreeSans 1400 90 0 0 la_data_out[65]
-port 379 nsew
-flabel metal2 s 361034 -800 361146 480 0 FreeSans 1400 90 0 0 la_data_out[66]
-port 380 nsew
-flabel metal2 s 364580 -800 364692 480 0 FreeSans 1400 90 0 0 la_data_out[67]
-port 381 nsew
-flabel metal2 s 368126 -800 368238 480 0 FreeSans 1400 90 0 0 la_data_out[68]
-port 382 nsew
-flabel metal2 s 371672 -800 371784 480 0 FreeSans 1400 90 0 0 la_data_out[69]
-port 383 nsew
-flabel metal2 s 148274 -800 148386 480 0 FreeSans 1400 90 0 0 la_data_out[6]
-port 384 nsew
-flabel metal2 s 375218 -800 375330 480 0 FreeSans 1400 90 0 0 la_data_out[70]
-port 385 nsew
-flabel metal2 s 378764 -800 378876 480 0 FreeSans 1400 90 0 0 la_data_out[71]
-port 386 nsew
-flabel metal2 s 382310 -800 382422 480 0 FreeSans 1400 90 0 0 la_data_out[72]
-port 387 nsew
-flabel metal2 s 385856 -800 385968 480 0 FreeSans 1400 90 0 0 la_data_out[73]
-port 388 nsew
-flabel metal2 s 389402 -800 389514 480 0 FreeSans 1400 90 0 0 la_data_out[74]
-port 389 nsew
-flabel metal2 s 392948 -800 393060 480 0 FreeSans 1400 90 0 0 la_data_out[75]
-port 390 nsew
-flabel metal2 s 396494 -800 396606 480 0 FreeSans 1400 90 0 0 la_data_out[76]
-port 391 nsew
-flabel metal2 s 400040 -800 400152 480 0 FreeSans 1400 90 0 0 la_data_out[77]
-port 392 nsew
-flabel metal2 s 403586 -800 403698 480 0 FreeSans 1400 90 0 0 la_data_out[78]
-port 393 nsew
-flabel metal2 s 407132 -800 407244 480 0 FreeSans 1400 90 0 0 la_data_out[79]
-port 394 nsew
-flabel metal2 s 151820 -800 151932 480 0 FreeSans 1400 90 0 0 la_data_out[7]
-port 395 nsew
-flabel metal2 s 410678 -800 410790 480 0 FreeSans 1400 90 0 0 la_data_out[80]
-port 396 nsew
-flabel metal2 s 414224 -800 414336 480 0 FreeSans 1400 90 0 0 la_data_out[81]
-port 397 nsew
-flabel metal2 s 417770 -800 417882 480 0 FreeSans 1400 90 0 0 la_data_out[82]
-port 398 nsew
-flabel metal2 s 421316 -800 421428 480 0 FreeSans 1400 90 0 0 la_data_out[83]
-port 399 nsew
-flabel metal2 s 424862 -800 424974 480 0 FreeSans 1400 90 0 0 la_data_out[84]
-port 400 nsew
-flabel metal2 s 428408 -800 428520 480 0 FreeSans 1400 90 0 0 la_data_out[85]
-port 401 nsew
-flabel metal2 s 431954 -800 432066 480 0 FreeSans 1400 90 0 0 la_data_out[86]
-port 402 nsew
-flabel metal2 s 435500 -800 435612 480 0 FreeSans 1400 90 0 0 la_data_out[87]
-port 403 nsew
-flabel metal2 s 439046 -800 439158 480 0 FreeSans 1400 90 0 0 la_data_out[88]
-port 404 nsew
-flabel metal2 s 442592 -800 442704 480 0 FreeSans 1400 90 0 0 la_data_out[89]
-port 405 nsew
-flabel metal2 s 155366 -800 155478 480 0 FreeSans 1400 90 0 0 la_data_out[8]
-port 406 nsew
-flabel metal2 s 446138 -800 446250 480 0 FreeSans 1400 90 0 0 la_data_out[90]
-port 407 nsew
-flabel metal2 s 449684 -800 449796 480 0 FreeSans 1400 90 0 0 la_data_out[91]
-port 408 nsew
-flabel metal2 s 453230 -800 453342 480 0 FreeSans 1400 90 0 0 la_data_out[92]
-port 409 nsew
-flabel metal2 s 456776 -800 456888 480 0 FreeSans 1400 90 0 0 la_data_out[93]
-port 410 nsew
-flabel metal2 s 460322 -800 460434 480 0 FreeSans 1400 90 0 0 la_data_out[94]
-port 411 nsew
-flabel metal2 s 463868 -800 463980 480 0 FreeSans 1400 90 0 0 la_data_out[95]
-port 412 nsew
-flabel metal2 s 467414 -800 467526 480 0 FreeSans 1400 90 0 0 la_data_out[96]
-port 413 nsew
-flabel metal2 s 470960 -800 471072 480 0 FreeSans 1400 90 0 0 la_data_out[97]
-port 414 nsew
-flabel metal2 s 474506 -800 474618 480 0 FreeSans 1400 90 0 0 la_data_out[98]
-port 415 nsew
-flabel metal2 s 478052 -800 478164 480 0 FreeSans 1400 90 0 0 la_data_out[99]
-port 416 nsew
-flabel metal2 s 158912 -800 159024 480 0 FreeSans 1400 90 0 0 la_data_out[9]
-port 417 nsew
-flabel metal2 s 128180 -800 128292 480 0 FreeSans 1400 90 0 0 la_oenb[0]
-port 418 nsew
-flabel metal2 s 482780 -800 482892 480 0 FreeSans 1400 90 0 0 la_oenb[100]
-port 419 nsew
-flabel metal2 s 486326 -800 486438 480 0 FreeSans 1400 90 0 0 la_oenb[101]
-port 420 nsew
-flabel metal2 s 489872 -800 489984 480 0 FreeSans 1400 90 0 0 la_oenb[102]
-port 421 nsew
-flabel metal2 s 493418 -800 493530 480 0 FreeSans 1400 90 0 0 la_oenb[103]
-port 422 nsew
-flabel metal2 s 496964 -800 497076 480 0 FreeSans 1400 90 0 0 la_oenb[104]
-port 423 nsew
-flabel metal2 s 500510 -800 500622 480 0 FreeSans 1400 90 0 0 la_oenb[105]
-port 424 nsew
-flabel metal2 s 504056 -800 504168 480 0 FreeSans 1400 90 0 0 la_oenb[106]
-port 425 nsew
-flabel metal2 s 507602 -800 507714 480 0 FreeSans 1400 90 0 0 la_oenb[107]
-port 426 nsew
-flabel metal2 s 511148 -800 511260 480 0 FreeSans 1400 90 0 0 la_oenb[108]
-port 427 nsew
-flabel metal2 s 514694 -800 514806 480 0 FreeSans 1400 90 0 0 la_oenb[109]
-port 428 nsew
-flabel metal2 s 163640 -800 163752 480 0 FreeSans 1400 90 0 0 la_oenb[10]
-port 429 nsew
-flabel metal2 s 518240 -800 518352 480 0 FreeSans 1400 90 0 0 la_oenb[110]
-port 430 nsew
-flabel metal2 s 521786 -800 521898 480 0 FreeSans 1400 90 0 0 la_oenb[111]
-port 431 nsew
-flabel metal2 s 525332 -800 525444 480 0 FreeSans 1400 90 0 0 la_oenb[112]
-port 432 nsew
-flabel metal2 s 528878 -800 528990 480 0 FreeSans 1400 90 0 0 la_oenb[113]
-port 433 nsew
-flabel metal2 s 532424 -800 532536 480 0 FreeSans 1400 90 0 0 la_oenb[114]
-port 434 nsew
-flabel metal2 s 535970 -800 536082 480 0 FreeSans 1400 90 0 0 la_oenb[115]
-port 435 nsew
-flabel metal2 s 539516 -800 539628 480 0 FreeSans 1400 90 0 0 la_oenb[116]
-port 436 nsew
-flabel metal2 s 543062 -800 543174 480 0 FreeSans 1400 90 0 0 la_oenb[117]
-port 437 nsew
-flabel metal2 s 546608 -800 546720 480 0 FreeSans 1400 90 0 0 la_oenb[118]
-port 438 nsew
-flabel metal2 s 550154 -800 550266 480 0 FreeSans 1400 90 0 0 la_oenb[119]
-port 439 nsew
-flabel metal2 s 167186 -800 167298 480 0 FreeSans 1400 90 0 0 la_oenb[11]
-port 440 nsew
-flabel metal2 s 553700 -800 553812 480 0 FreeSans 1400 90 0 0 la_oenb[120]
-port 441 nsew
-flabel metal2 s 557246 -800 557358 480 0 FreeSans 1400 90 0 0 la_oenb[121]
-port 442 nsew
-flabel metal2 s 560792 -800 560904 480 0 FreeSans 1400 90 0 0 la_oenb[122]
-port 443 nsew
-flabel metal2 s 564338 -800 564450 480 0 FreeSans 1400 90 0 0 la_oenb[123]
-port 444 nsew
-flabel metal2 s 567884 -800 567996 480 0 FreeSans 1400 90 0 0 la_oenb[124]
-port 445 nsew
-flabel metal2 s 571430 -800 571542 480 0 FreeSans 1400 90 0 0 la_oenb[125]
-port 446 nsew
-flabel metal2 s 574976 -800 575088 480 0 FreeSans 1400 90 0 0 la_oenb[126]
-port 447 nsew
-flabel metal2 s 578522 -800 578634 480 0 FreeSans 1400 90 0 0 la_oenb[127]
-port 448 nsew
-flabel metal2 s 170732 -800 170844 480 0 FreeSans 1400 90 0 0 la_oenb[12]
-port 449 nsew
-flabel metal2 s 174278 -800 174390 480 0 FreeSans 1400 90 0 0 la_oenb[13]
-port 450 nsew
-flabel metal2 s 177824 -800 177936 480 0 FreeSans 1400 90 0 0 la_oenb[14]
-port 451 nsew
-flabel metal2 s 181370 -800 181482 480 0 FreeSans 1400 90 0 0 la_oenb[15]
-port 452 nsew
-flabel metal2 s 184916 -800 185028 480 0 FreeSans 1400 90 0 0 la_oenb[16]
-port 453 nsew
-flabel metal2 s 188462 -800 188574 480 0 FreeSans 1400 90 0 0 la_oenb[17]
-port 454 nsew
-flabel metal2 s 192008 -800 192120 480 0 FreeSans 1400 90 0 0 la_oenb[18]
-port 455 nsew
-flabel metal2 s 195554 -800 195666 480 0 FreeSans 1400 90 0 0 la_oenb[19]
-port 456 nsew
-flabel metal2 s 131726 -800 131838 480 0 FreeSans 1400 90 0 0 la_oenb[1]
-port 457 nsew
-flabel metal2 s 199100 -800 199212 480 0 FreeSans 1400 90 0 0 la_oenb[20]
-port 458 nsew
-flabel metal2 s 202646 -800 202758 480 0 FreeSans 1400 90 0 0 la_oenb[21]
-port 459 nsew
-flabel metal2 s 206192 -800 206304 480 0 FreeSans 1400 90 0 0 la_oenb[22]
-port 460 nsew
-flabel metal2 s 209738 -800 209850 480 0 FreeSans 1400 90 0 0 la_oenb[23]
-port 461 nsew
-flabel metal2 s 213284 -800 213396 480 0 FreeSans 1400 90 0 0 la_oenb[24]
-port 462 nsew
-flabel metal2 s 216830 -800 216942 480 0 FreeSans 1400 90 0 0 la_oenb[25]
-port 463 nsew
-flabel metal2 s 220376 -800 220488 480 0 FreeSans 1400 90 0 0 la_oenb[26]
-port 464 nsew
-flabel metal2 s 223922 -800 224034 480 0 FreeSans 1400 90 0 0 la_oenb[27]
-port 465 nsew
-flabel metal2 s 227468 -800 227580 480 0 FreeSans 1400 90 0 0 la_oenb[28]
-port 466 nsew
-flabel metal2 s 231014 -800 231126 480 0 FreeSans 1400 90 0 0 la_oenb[29]
-port 467 nsew
-flabel metal2 s 135272 -800 135384 480 0 FreeSans 1400 90 0 0 la_oenb[2]
-port 468 nsew
-flabel metal2 s 234560 -800 234672 480 0 FreeSans 1400 90 0 0 la_oenb[30]
-port 469 nsew
-flabel metal2 s 238106 -800 238218 480 0 FreeSans 1400 90 0 0 la_oenb[31]
-port 470 nsew
-flabel metal2 s 241652 -800 241764 480 0 FreeSans 1400 90 0 0 la_oenb[32]
-port 471 nsew
-flabel metal2 s 245198 -800 245310 480 0 FreeSans 1400 90 0 0 la_oenb[33]
-port 472 nsew
-flabel metal2 s 248744 -800 248856 480 0 FreeSans 1400 90 0 0 la_oenb[34]
-port 473 nsew
-flabel metal2 s 252290 -800 252402 480 0 FreeSans 1400 90 0 0 la_oenb[35]
-port 474 nsew
-flabel metal2 s 255836 -800 255948 480 0 FreeSans 1400 90 0 0 la_oenb[36]
-port 475 nsew
-flabel metal2 s 259382 -800 259494 480 0 FreeSans 1400 90 0 0 la_oenb[37]
-port 476 nsew
-flabel metal2 s 262928 -800 263040 480 0 FreeSans 1400 90 0 0 la_oenb[38]
-port 477 nsew
-flabel metal2 s 266474 -800 266586 480 0 FreeSans 1400 90 0 0 la_oenb[39]
-port 478 nsew
-flabel metal2 s 138818 -800 138930 480 0 FreeSans 1400 90 0 0 la_oenb[3]
-port 479 nsew
-flabel metal2 s 270020 -800 270132 480 0 FreeSans 1400 90 0 0 la_oenb[40]
-port 480 nsew
-flabel metal2 s 273566 -800 273678 480 0 FreeSans 1400 90 0 0 la_oenb[41]
-port 481 nsew
-flabel metal2 s 277112 -800 277224 480 0 FreeSans 1400 90 0 0 la_oenb[42]
-port 482 nsew
-flabel metal2 s 280658 -800 280770 480 0 FreeSans 1400 90 0 0 la_oenb[43]
-port 483 nsew
-flabel metal2 s 284204 -800 284316 480 0 FreeSans 1400 90 0 0 la_oenb[44]
-port 484 nsew
-flabel metal2 s 287750 -800 287862 480 0 FreeSans 1400 90 0 0 la_oenb[45]
-port 485 nsew
-flabel metal2 s 291296 -800 291408 480 0 FreeSans 1400 90 0 0 la_oenb[46]
-port 486 nsew
-flabel metal2 s 294842 -800 294954 480 0 FreeSans 1400 90 0 0 la_oenb[47]
-port 487 nsew
-flabel metal2 s 298388 -800 298500 480 0 FreeSans 1400 90 0 0 la_oenb[48]
-port 488 nsew
-flabel metal2 s 301934 -800 302046 480 0 FreeSans 1400 90 0 0 la_oenb[49]
-port 489 nsew
-flabel metal2 s 142364 -800 142476 480 0 FreeSans 1400 90 0 0 la_oenb[4]
-port 490 nsew
-flabel metal2 s 305480 -800 305592 480 0 FreeSans 1400 90 0 0 la_oenb[50]
-port 491 nsew
-flabel metal2 s 309026 -800 309138 480 0 FreeSans 1400 90 0 0 la_oenb[51]
-port 492 nsew
-flabel metal2 s 312572 -800 312684 480 0 FreeSans 1400 90 0 0 la_oenb[52]
-port 493 nsew
-flabel metal2 s 316118 -800 316230 480 0 FreeSans 1400 90 0 0 la_oenb[53]
-port 494 nsew
-flabel metal2 s 319664 -800 319776 480 0 FreeSans 1400 90 0 0 la_oenb[54]
-port 495 nsew
-flabel metal2 s 323210 -800 323322 480 0 FreeSans 1400 90 0 0 la_oenb[55]
-port 496 nsew
-flabel metal2 s 326756 -800 326868 480 0 FreeSans 1400 90 0 0 la_oenb[56]
-port 497 nsew
-flabel metal2 s 330302 -800 330414 480 0 FreeSans 1400 90 0 0 la_oenb[57]
-port 498 nsew
-flabel metal2 s 333848 -800 333960 480 0 FreeSans 1400 90 0 0 la_oenb[58]
-port 499 nsew
-flabel metal2 s 337394 -800 337506 480 0 FreeSans 1400 90 0 0 la_oenb[59]
-port 500 nsew
-flabel metal2 s 145910 -800 146022 480 0 FreeSans 1400 90 0 0 la_oenb[5]
-port 501 nsew
-flabel metal2 s 340940 -800 341052 480 0 FreeSans 1400 90 0 0 la_oenb[60]
-port 502 nsew
-flabel metal2 s 344486 -800 344598 480 0 FreeSans 1400 90 0 0 la_oenb[61]
-port 503 nsew
-flabel metal2 s 348032 -800 348144 480 0 FreeSans 1400 90 0 0 la_oenb[62]
-port 504 nsew
-flabel metal2 s 351578 -800 351690 480 0 FreeSans 1400 90 0 0 la_oenb[63]
-port 505 nsew
-flabel metal2 s 355124 -800 355236 480 0 FreeSans 1400 90 0 0 la_oenb[64]
-port 506 nsew
-flabel metal2 s 358670 -800 358782 480 0 FreeSans 1400 90 0 0 la_oenb[65]
-port 507 nsew
-flabel metal2 s 362216 -800 362328 480 0 FreeSans 1400 90 0 0 la_oenb[66]
-port 508 nsew
-flabel metal2 s 365762 -800 365874 480 0 FreeSans 1400 90 0 0 la_oenb[67]
-port 509 nsew
-flabel metal2 s 369308 -800 369420 480 0 FreeSans 1400 90 0 0 la_oenb[68]
-port 510 nsew
-flabel metal2 s 372854 -800 372966 480 0 FreeSans 1400 90 0 0 la_oenb[69]
-port 511 nsew
-flabel metal2 s 149456 -800 149568 480 0 FreeSans 1400 90 0 0 la_oenb[6]
-port 512 nsew
-flabel metal2 s 376400 -800 376512 480 0 FreeSans 1400 90 0 0 la_oenb[70]
-port 513 nsew
-flabel metal2 s 379946 -800 380058 480 0 FreeSans 1400 90 0 0 la_oenb[71]
-port 514 nsew
-flabel metal2 s 383492 -800 383604 480 0 FreeSans 1400 90 0 0 la_oenb[72]
-port 515 nsew
-flabel metal2 s 387038 -800 387150 480 0 FreeSans 1400 90 0 0 la_oenb[73]
-port 516 nsew
-flabel metal2 s 390584 -800 390696 480 0 FreeSans 1400 90 0 0 la_oenb[74]
-port 517 nsew
-flabel metal2 s 394130 -800 394242 480 0 FreeSans 1400 90 0 0 la_oenb[75]
-port 518 nsew
-flabel metal2 s 397676 -800 397788 480 0 FreeSans 1400 90 0 0 la_oenb[76]
-port 519 nsew
-flabel metal2 s 401222 -800 401334 480 0 FreeSans 1400 90 0 0 la_oenb[77]
-port 520 nsew
-flabel metal2 s 404768 -800 404880 480 0 FreeSans 1400 90 0 0 la_oenb[78]
-port 521 nsew
-flabel metal2 s 408314 -800 408426 480 0 FreeSans 1400 90 0 0 la_oenb[79]
-port 522 nsew
-flabel metal2 s 153002 -800 153114 480 0 FreeSans 1400 90 0 0 la_oenb[7]
-port 523 nsew
-flabel metal2 s 411860 -800 411972 480 0 FreeSans 1400 90 0 0 la_oenb[80]
-port 524 nsew
-flabel metal2 s 415406 -800 415518 480 0 FreeSans 1400 90 0 0 la_oenb[81]
-port 525 nsew
-flabel metal2 s 418952 -800 419064 480 0 FreeSans 1400 90 0 0 la_oenb[82]
-port 526 nsew
-flabel metal2 s 422498 -800 422610 480 0 FreeSans 1400 90 0 0 la_oenb[83]
-port 527 nsew
-flabel metal2 s 426044 -800 426156 480 0 FreeSans 1400 90 0 0 la_oenb[84]
-port 528 nsew
-flabel metal2 s 429590 -800 429702 480 0 FreeSans 1400 90 0 0 la_oenb[85]
-port 529 nsew
-flabel metal2 s 433136 -800 433248 480 0 FreeSans 1400 90 0 0 la_oenb[86]
-port 530 nsew
-flabel metal2 s 436682 -800 436794 480 0 FreeSans 1400 90 0 0 la_oenb[87]
-port 531 nsew
-flabel metal2 s 440228 -800 440340 480 0 FreeSans 1400 90 0 0 la_oenb[88]
-port 532 nsew
-flabel metal2 s 443774 -800 443886 480 0 FreeSans 1400 90 0 0 la_oenb[89]
-port 533 nsew
-flabel metal2 s 156548 -800 156660 480 0 FreeSans 1400 90 0 0 la_oenb[8]
-port 534 nsew
-flabel metal2 s 447320 -800 447432 480 0 FreeSans 1400 90 0 0 la_oenb[90]
-port 535 nsew
-flabel metal2 s 450866 -800 450978 480 0 FreeSans 1400 90 0 0 la_oenb[91]
-port 536 nsew
-flabel metal2 s 454412 -800 454524 480 0 FreeSans 1400 90 0 0 la_oenb[92]
-port 537 nsew
-flabel metal2 s 457958 -800 458070 480 0 FreeSans 1400 90 0 0 la_oenb[93]
-port 538 nsew
-flabel metal2 s 461504 -800 461616 480 0 FreeSans 1400 90 0 0 la_oenb[94]
-port 539 nsew
-flabel metal2 s 465050 -800 465162 480 0 FreeSans 1400 90 0 0 la_oenb[95]
-port 540 nsew
-flabel metal2 s 468596 -800 468708 480 0 FreeSans 1400 90 0 0 la_oenb[96]
-port 541 nsew
-flabel metal2 s 472142 -800 472254 480 0 FreeSans 1400 90 0 0 la_oenb[97]
-port 542 nsew
-flabel metal2 s 475688 -800 475800 480 0 FreeSans 1400 90 0 0 la_oenb[98]
-port 543 nsew
-flabel metal2 s 479234 -800 479346 480 0 FreeSans 1400 90 0 0 la_oenb[99]
-port 544 nsew
-flabel metal2 s 160094 -800 160206 480 0 FreeSans 1400 90 0 0 la_oenb[9]
-port 545 nsew
-flabel metal2 s 579704 -800 579816 480 0 FreeSans 1400 90 0 0 user_clock2
-port 546 nsew
-flabel metal2 s 580886 -800 580998 480 0 FreeSans 1400 90 0 0 user_irq[0]
-port 547 nsew
-flabel metal2 s 582068 -800 582180 480 0 FreeSans 1400 90 0 0 user_irq[1]
-port 548 nsew
-flabel metal2 s 583250 -800 583362 480 0 FreeSans 1400 90 0 0 user_irq[2]
-port 549 nsew
-flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1400 0 0 0 vccd1
-port 550 nsew
-flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1400 0 0 0 vccd1
-port 550 nsew
-flabel metal3 s 0 643842 1660 648642 0 FreeSans 1400 0 0 0 vccd2
-port 551 nsew
-flabel metal3 s 0 633842 1660 638642 0 FreeSans 1400 0 0 0 vccd2
-port 551 nsew
-flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1400 0 0 0 vdda1
-port 552 nsew
-flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1400 0 0 0 vdda1
-port 552 nsew
-flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1400 0 0 0 vdda1
-port 552 nsew
-flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1400 0 0 0 vdda1
-port 552 nsew
-flabel metal3 s 0 204888 1660 209688 0 FreeSans 1400 0 0 0 vdda2
-port 553 nsew
-flabel metal3 s 0 214888 1660 219688 0 FreeSans 1400 0 0 0 vdda2
-port 553 nsew
-flabel metal3 s 520594 702340 525394 704800 0 FreeSans 2400 180 0 0 vssa1
-port 554 nsew
-flabel metal3 s 510594 702340 515394 704800 0 FreeSans 2400 180 0 0 vssa1
-port 554 nsew
-flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1400 0 0 0 vssa1
-port 554 nsew
-flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1400 0 0 0 vssa1
-port 554 nsew
-flabel metal3 s 0 559442 1660 564242 0 FreeSans 1400 0 0 0 vssa2
-port 555 nsew
-flabel metal3 s 0 549442 1660 554242 0 FreeSans 1400 0 0 0 vssa2
-port 555 nsew
-flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1400 0 0 0 vssd1
-port 556 nsew
-flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1400 0 0 0 vssd1
-port 556 nsew
-flabel metal3 s 0 172888 1660 177688 0 FreeSans 1400 0 0 0 vssd2
-port 557 nsew
-flabel metal3 s 0 162888 1660 167688 0 FreeSans 1400 0 0 0 vssd2
-port 557 nsew
-flabel metal2 s 524 -800 636 480 0 FreeSans 1400 90 0 0 wb_clk_i
-port 558 nsew
-flabel metal2 s 1706 -800 1818 480 0 FreeSans 1400 90 0 0 wb_rst_i
-port 559 nsew
-flabel metal2 s 2888 -800 3000 480 0 FreeSans 1400 90 0 0 wbs_ack_o
-port 560 nsew
-flabel metal2 s 7616 -800 7728 480 0 FreeSans 1400 90 0 0 wbs_adr_i[0]
-port 561 nsew
-flabel metal2 s 47804 -800 47916 480 0 FreeSans 1400 90 0 0 wbs_adr_i[10]
-port 562 nsew
-flabel metal2 s 51350 -800 51462 480 0 FreeSans 1400 90 0 0 wbs_adr_i[11]
-port 563 nsew
-flabel metal2 s 54896 -800 55008 480 0 FreeSans 1400 90 0 0 wbs_adr_i[12]
-port 564 nsew
-flabel metal2 s 58442 -800 58554 480 0 FreeSans 1400 90 0 0 wbs_adr_i[13]
-port 565 nsew
-flabel metal2 s 61988 -800 62100 480 0 FreeSans 1400 90 0 0 wbs_adr_i[14]
-port 566 nsew
-flabel metal2 s 65534 -800 65646 480 0 FreeSans 1400 90 0 0 wbs_adr_i[15]
-port 567 nsew
-flabel metal2 s 69080 -800 69192 480 0 FreeSans 1400 90 0 0 wbs_adr_i[16]
-port 568 nsew
-flabel metal2 s 72626 -800 72738 480 0 FreeSans 1400 90 0 0 wbs_adr_i[17]
-port 569 nsew
-flabel metal2 s 76172 -800 76284 480 0 FreeSans 1400 90 0 0 wbs_adr_i[18]
-port 570 nsew
-flabel metal2 s 79718 -800 79830 480 0 FreeSans 1400 90 0 0 wbs_adr_i[19]
-port 571 nsew
-flabel metal2 s 12344 -800 12456 480 0 FreeSans 1400 90 0 0 wbs_adr_i[1]
-port 572 nsew
-flabel metal2 s 83264 -800 83376 480 0 FreeSans 1400 90 0 0 wbs_adr_i[20]
-port 573 nsew
-flabel metal2 s 86810 -800 86922 480 0 FreeSans 1400 90 0 0 wbs_adr_i[21]
-port 574 nsew
-flabel metal2 s 90356 -800 90468 480 0 FreeSans 1400 90 0 0 wbs_adr_i[22]
-port 575 nsew
-flabel metal2 s 93902 -800 94014 480 0 FreeSans 1400 90 0 0 wbs_adr_i[23]
-port 576 nsew
-flabel metal2 s 97448 -800 97560 480 0 FreeSans 1400 90 0 0 wbs_adr_i[24]
-port 577 nsew
-flabel metal2 s 100994 -800 101106 480 0 FreeSans 1400 90 0 0 wbs_adr_i[25]
-port 578 nsew
-flabel metal2 s 104540 -800 104652 480 0 FreeSans 1400 90 0 0 wbs_adr_i[26]
-port 579 nsew
-flabel metal2 s 108086 -800 108198 480 0 FreeSans 1400 90 0 0 wbs_adr_i[27]
-port 580 nsew
-flabel metal2 s 111632 -800 111744 480 0 FreeSans 1400 90 0 0 wbs_adr_i[28]
-port 581 nsew
-flabel metal2 s 115178 -800 115290 480 0 FreeSans 1400 90 0 0 wbs_adr_i[29]
-port 582 nsew
-flabel metal2 s 17072 -800 17184 480 0 FreeSans 1400 90 0 0 wbs_adr_i[2]
-port 583 nsew
-flabel metal2 s 118724 -800 118836 480 0 FreeSans 1400 90 0 0 wbs_adr_i[30]
-port 584 nsew
-flabel metal2 s 122270 -800 122382 480 0 FreeSans 1400 90 0 0 wbs_adr_i[31]
-port 585 nsew
-flabel metal2 s 21800 -800 21912 480 0 FreeSans 1400 90 0 0 wbs_adr_i[3]
-port 586 nsew
-flabel metal2 s 26528 -800 26640 480 0 FreeSans 1400 90 0 0 wbs_adr_i[4]
-port 587 nsew
-flabel metal2 s 30074 -800 30186 480 0 FreeSans 1400 90 0 0 wbs_adr_i[5]
-port 588 nsew
-flabel metal2 s 33620 -800 33732 480 0 FreeSans 1400 90 0 0 wbs_adr_i[6]
-port 589 nsew
-flabel metal2 s 37166 -800 37278 480 0 FreeSans 1400 90 0 0 wbs_adr_i[7]
-port 590 nsew
-flabel metal2 s 40712 -800 40824 480 0 FreeSans 1400 90 0 0 wbs_adr_i[8]
-port 591 nsew
-flabel metal2 s 44258 -800 44370 480 0 FreeSans 1400 90 0 0 wbs_adr_i[9]
-port 592 nsew
-flabel metal2 s 4070 -800 4182 480 0 FreeSans 1400 90 0 0 wbs_cyc_i
-port 593 nsew
-flabel metal2 s 8798 -800 8910 480 0 FreeSans 1400 90 0 0 wbs_dat_i[0]
-port 594 nsew
-flabel metal2 s 48986 -800 49098 480 0 FreeSans 1400 90 0 0 wbs_dat_i[10]
-port 595 nsew
-flabel metal2 s 52532 -800 52644 480 0 FreeSans 1400 90 0 0 wbs_dat_i[11]
-port 596 nsew
-flabel metal2 s 56078 -800 56190 480 0 FreeSans 1400 90 0 0 wbs_dat_i[12]
-port 597 nsew
-flabel metal2 s 59624 -800 59736 480 0 FreeSans 1400 90 0 0 wbs_dat_i[13]
-port 598 nsew
-flabel metal2 s 63170 -800 63282 480 0 FreeSans 1400 90 0 0 wbs_dat_i[14]
-port 599 nsew
-flabel metal2 s 66716 -800 66828 480 0 FreeSans 1400 90 0 0 wbs_dat_i[15]
-port 600 nsew
-flabel metal2 s 70262 -800 70374 480 0 FreeSans 1400 90 0 0 wbs_dat_i[16]
-port 601 nsew
-flabel metal2 s 73808 -800 73920 480 0 FreeSans 1400 90 0 0 wbs_dat_i[17]
-port 602 nsew
-flabel metal2 s 77354 -800 77466 480 0 FreeSans 1400 90 0 0 wbs_dat_i[18]
-port 603 nsew
-flabel metal2 s 80900 -800 81012 480 0 FreeSans 1400 90 0 0 wbs_dat_i[19]
-port 604 nsew
-flabel metal2 s 13526 -800 13638 480 0 FreeSans 1400 90 0 0 wbs_dat_i[1]
-port 605 nsew
-flabel metal2 s 84446 -800 84558 480 0 FreeSans 1400 90 0 0 wbs_dat_i[20]
-port 606 nsew
-flabel metal2 s 87992 -800 88104 480 0 FreeSans 1400 90 0 0 wbs_dat_i[21]
-port 607 nsew
-flabel metal2 s 91538 -800 91650 480 0 FreeSans 1400 90 0 0 wbs_dat_i[22]
-port 608 nsew
-flabel metal2 s 95084 -800 95196 480 0 FreeSans 1400 90 0 0 wbs_dat_i[23]
-port 609 nsew
-flabel metal2 s 98630 -800 98742 480 0 FreeSans 1400 90 0 0 wbs_dat_i[24]
-port 610 nsew
-flabel metal2 s 102176 -800 102288 480 0 FreeSans 1400 90 0 0 wbs_dat_i[25]
-port 611 nsew
-flabel metal2 s 105722 -800 105834 480 0 FreeSans 1400 90 0 0 wbs_dat_i[26]
-port 612 nsew
-flabel metal2 s 109268 -800 109380 480 0 FreeSans 1400 90 0 0 wbs_dat_i[27]
-port 613 nsew
-flabel metal2 s 112814 -800 112926 480 0 FreeSans 1400 90 0 0 wbs_dat_i[28]
-port 614 nsew
-flabel metal2 s 116360 -800 116472 480 0 FreeSans 1400 90 0 0 wbs_dat_i[29]
-port 615 nsew
-flabel metal2 s 18254 -800 18366 480 0 FreeSans 1400 90 0 0 wbs_dat_i[2]
-port 616 nsew
-flabel metal2 s 119906 -800 120018 480 0 FreeSans 1400 90 0 0 wbs_dat_i[30]
-port 617 nsew
-flabel metal2 s 123452 -800 123564 480 0 FreeSans 1400 90 0 0 wbs_dat_i[31]
-port 618 nsew
-flabel metal2 s 22982 -800 23094 480 0 FreeSans 1400 90 0 0 wbs_dat_i[3]
-port 619 nsew
-flabel metal2 s 27710 -800 27822 480 0 FreeSans 1400 90 0 0 wbs_dat_i[4]
-port 620 nsew
-flabel metal2 s 31256 -800 31368 480 0 FreeSans 1400 90 0 0 wbs_dat_i[5]
-port 621 nsew
-flabel metal2 s 34802 -800 34914 480 0 FreeSans 1400 90 0 0 wbs_dat_i[6]
-port 622 nsew
-flabel metal2 s 38348 -800 38460 480 0 FreeSans 1400 90 0 0 wbs_dat_i[7]
-port 623 nsew
-flabel metal2 s 41894 -800 42006 480 0 FreeSans 1400 90 0 0 wbs_dat_i[8]
-port 624 nsew
-flabel metal2 s 45440 -800 45552 480 0 FreeSans 1400 90 0 0 wbs_dat_i[9]
-port 625 nsew
-flabel metal2 s 9980 -800 10092 480 0 FreeSans 1400 90 0 0 wbs_dat_o[0]
-port 626 nsew
-flabel metal2 s 50168 -800 50280 480 0 FreeSans 1400 90 0 0 wbs_dat_o[10]
-port 627 nsew
-flabel metal2 s 53714 -800 53826 480 0 FreeSans 1400 90 0 0 wbs_dat_o[11]
-port 628 nsew
-flabel metal2 s 57260 -800 57372 480 0 FreeSans 1400 90 0 0 wbs_dat_o[12]
-port 629 nsew
-flabel metal2 s 60806 -800 60918 480 0 FreeSans 1400 90 0 0 wbs_dat_o[13]
-port 630 nsew
-flabel metal2 s 64352 -800 64464 480 0 FreeSans 1400 90 0 0 wbs_dat_o[14]
-port 631 nsew
-flabel metal2 s 67898 -800 68010 480 0 FreeSans 1400 90 0 0 wbs_dat_o[15]
-port 632 nsew
-flabel metal2 s 71444 -800 71556 480 0 FreeSans 1400 90 0 0 wbs_dat_o[16]
-port 633 nsew
-flabel metal2 s 74990 -800 75102 480 0 FreeSans 1400 90 0 0 wbs_dat_o[17]
-port 634 nsew
-flabel metal2 s 78536 -800 78648 480 0 FreeSans 1400 90 0 0 wbs_dat_o[18]
-port 635 nsew
-flabel metal2 s 82082 -800 82194 480 0 FreeSans 1400 90 0 0 wbs_dat_o[19]
-port 636 nsew
-flabel metal2 s 14708 -800 14820 480 0 FreeSans 1400 90 0 0 wbs_dat_o[1]
-port 637 nsew
-flabel metal2 s 85628 -800 85740 480 0 FreeSans 1400 90 0 0 wbs_dat_o[20]
-port 638 nsew
-flabel metal2 s 89174 -800 89286 480 0 FreeSans 1400 90 0 0 wbs_dat_o[21]
-port 639 nsew
-flabel metal2 s 92720 -800 92832 480 0 FreeSans 1400 90 0 0 wbs_dat_o[22]
-port 640 nsew
-flabel metal2 s 96266 -800 96378 480 0 FreeSans 1400 90 0 0 wbs_dat_o[23]
-port 641 nsew
-flabel metal2 s 99812 -800 99924 480 0 FreeSans 1400 90 0 0 wbs_dat_o[24]
-port 642 nsew
-flabel metal2 s 103358 -800 103470 480 0 FreeSans 1400 90 0 0 wbs_dat_o[25]
-port 643 nsew
-flabel metal2 s 106904 -800 107016 480 0 FreeSans 1400 90 0 0 wbs_dat_o[26]
-port 644 nsew
-flabel metal2 s 110450 -800 110562 480 0 FreeSans 1400 90 0 0 wbs_dat_o[27]
-port 645 nsew
-flabel metal2 s 113996 -800 114108 480 0 FreeSans 1400 90 0 0 wbs_dat_o[28]
-port 646 nsew
-flabel metal2 s 117542 -800 117654 480 0 FreeSans 1400 90 0 0 wbs_dat_o[29]
-port 647 nsew
-flabel metal2 s 19436 -800 19548 480 0 FreeSans 1400 90 0 0 wbs_dat_o[2]
-port 648 nsew
-flabel metal2 s 121088 -800 121200 480 0 FreeSans 1400 90 0 0 wbs_dat_o[30]
-port 649 nsew
-flabel metal2 s 124634 -800 124746 480 0 FreeSans 1400 90 0 0 wbs_dat_o[31]
-port 650 nsew
-flabel metal2 s 24164 -800 24276 480 0 FreeSans 1400 90 0 0 wbs_dat_o[3]
-port 651 nsew
-flabel metal2 s 28892 -800 29004 480 0 FreeSans 1400 90 0 0 wbs_dat_o[4]
-port 652 nsew
-flabel metal2 s 32438 -800 32550 480 0 FreeSans 1400 90 0 0 wbs_dat_o[5]
-port 653 nsew
-flabel metal2 s 35984 -800 36096 480 0 FreeSans 1400 90 0 0 wbs_dat_o[6]
-port 654 nsew
-flabel metal2 s 39530 -800 39642 480 0 FreeSans 1400 90 0 0 wbs_dat_o[7]
-port 655 nsew
-flabel metal2 s 43076 -800 43188 480 0 FreeSans 1400 90 0 0 wbs_dat_o[8]
-port 656 nsew
-flabel metal2 s 46622 -800 46734 480 0 FreeSans 1400 90 0 0 wbs_dat_o[9]
-port 657 nsew
-flabel metal2 s 11162 -800 11274 480 0 FreeSans 1400 90 0 0 wbs_sel_i[0]
-port 658 nsew
-flabel metal2 s 15890 -800 16002 480 0 FreeSans 1400 90 0 0 wbs_sel_i[1]
-port 659 nsew
-flabel metal2 s 20618 -800 20730 480 0 FreeSans 1400 90 0 0 wbs_sel_i[2]
-port 660 nsew
-flabel metal2 s 25346 -800 25458 480 0 FreeSans 1400 90 0 0 wbs_sel_i[3]
-port 661 nsew
-flabel metal2 s 5252 -800 5364 480 0 FreeSans 1400 90 0 0 wbs_stb_i
-port 662 nsew
-flabel metal2 s 6434 -800 6546 480 0 FreeSans 1400 90 0 0 wbs_we_i
-port 663 nsew
-<< properties >>
-string FIXED_BBOX 0 0 584000 704000
-<< end >>
diff --git a/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper.xor.gds b/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper.xor.gds
deleted file mode 100644
index 3183d07..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper.xor.gds
+++ /dev/null
Binary files differ
diff --git a/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper_empty_erased.gds
deleted file mode 100644
index a7df704..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper_empty_erased.gds
+++ /dev/null
Binary files differ
diff --git a/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper_no_zero_areas.gds
deleted file mode 100644
index 672d9a4..0000000
--- a/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper_no_zero_areas.gds
+++ /dev/null
Binary files differ
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/gds.info b/precheck_results/22_MAR_2022___00_12_27/logs/gds.info
deleted file mode 100644
index 7b8fbfd..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/logs/gds.info
+++ /dev/null
@@ -1 +0,0 @@
-user_analog_project_wrapper.gds: 8ebdb52a108ac8fba614c1d69e24ab6cd9697fee
\ No newline at end of file
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_beol_check.total b/precheck_results/22_MAR_2022___00_12_27/logs/klayout_beol_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_beol_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_feol_check.total b/precheck_results/22_MAR_2022___00_12_27/logs/klayout_feol_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_feol_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_met_min_ca_density_check.total b/precheck_results/22_MAR_2022___00_12_27/logs/klayout_met_min_ca_density_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_met_min_ca_density_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_offgrid_check.log b/precheck_results/22_MAR_2022___00_12_27/logs/klayout_offgrid_check.log
deleted file mode 100644
index bed9891..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_offgrid_check.log
+++ /dev/null
@@ -1,744 +0,0 @@
-"input" in: offgrid.lydrc:31
-    Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:32
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:33
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:34
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:35
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:36
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:37
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:38
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:39
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:40
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:41
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:42
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:43
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:44
-    Polygons (raw): 15 (flat)  15 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:45
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:46
-    Polygons (raw): 5 (flat)  5 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:47
-    Polygons (raw): 7 (flat)  7 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:48
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:49
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:50
-    Polygons (raw): 8 (flat)  8 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:51
-    Polygons (raw): 71 (flat)  71 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:53
-    Polygons (raw): 77 (flat)  77 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:54
-    Polygons (raw): 1026 (flat)  1026 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:56
-    Polygons (raw): 50 (flat)  50 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:57
-    Polygons (raw): 1198 (flat)  1198 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:59
-    Polygons (raw): 512 (flat)  512 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:60
-    Polygons (raw): 1166 (flat)  1166 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:62
-    Polygons (raw): 260 (flat)  260 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:63
-    Polygons (raw): 7197 (flat)  7197 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:65
-    Polygons (raw): 58 (flat)  58 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:66
-    Polygons (raw): 21 (flat)  21 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:68
-    Polygons (raw): 14 (flat)  14 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:70
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:71
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:72
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:73
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:74
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:75
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:76
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:77
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:78
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:79
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:80
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:81
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:82
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:83
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:84
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:85
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:86
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:87
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:88
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:89
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:90
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:91
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:92
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:93
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:94
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:95
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:96
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:97
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:98
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:99
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:100
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:101
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:102
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:103
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:104
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:105
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:106
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:107
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:108
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:109
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:110
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:111
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:112
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:113
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:114
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:115
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:116
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:117
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:118
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:119
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:120
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:121
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:122
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-{{ OFFGRID-ANGLES section }}
-"ongrid" in: offgrid.lydrc:127
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:127
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:128
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:128
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:129
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:129
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:130
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:130
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:131
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:131
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:132
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:132
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:133
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:133
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:134
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:134
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:135
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:135
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:136
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:136
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:137
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:137
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:138
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:138
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:139
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:139
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:140
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:140
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:141
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:141
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:142
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:142
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:143
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:143
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:144
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:144
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"and" in: offgrid.lydrc:145
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"not" in: offgrid.lydrc:145
-    Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:145
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:145
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"and" in: offgrid.lydrc:146
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"and" in: offgrid.lydrc:146
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:146
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:146
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"and" in: offgrid.lydrc:147
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"not" in: offgrid.lydrc:147
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:147
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:147
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"and" in: offgrid.lydrc:148
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"and" in: offgrid.lydrc:148
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:148
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:148
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:149
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:149
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:150
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:150
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:151
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:151
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:152
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:152
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:153
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:153
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:154
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:154
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:155
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:155
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:156
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:156
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:157
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:157
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:158
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:158
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:159
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:159
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:160
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:160
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:161
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:161
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:162
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:162
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:163
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:163
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:164
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:164
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:165
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:165
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:166
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:166
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:167
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:167
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:168
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:168
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:169
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:169
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:170
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:170
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:171
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 521.00M
-"output" in: offgrid.lydrc:171
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:172
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:172
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:173
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:173
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:174
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:174
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:175
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 521.00M
-"output" in: offgrid.lydrc:175
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:176
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:176
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:177
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"output" in: offgrid.lydrc:177
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"with_angle" in: offgrid.lydrc:178
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"output" in: offgrid.lydrc:178
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"ongrid" in: offgrid.lydrc:179
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.060s  Memory: 523.00M
-"output" in: offgrid.lydrc:179
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:180
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:180
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:181
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"output" in: offgrid.lydrc:181
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:182
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:182
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:183
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:183
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:184
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:184
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:185
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:185
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:186
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"output" in: offgrid.lydrc:186
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:187
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:187
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:188
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:188
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:189
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"output" in: offgrid.lydrc:189
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:190
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:190
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:191
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"output" in: offgrid.lydrc:191
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:192
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:192
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:193
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:193
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:194
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:194
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:195
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:195
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:196
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:196
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:197
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:197
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:198
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"output" in: offgrid.lydrc:198
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:199
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:199
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:200
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:200
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:201
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:201
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"with_angle" in: offgrid.lydrc:202
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"output" in: offgrid.lydrc:202
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-"ongrid" in: offgrid.lydrc:203
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
-"output" in: offgrid.lydrc:203
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
-Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_offgrid_check.xml ..
-Total elapsed: 1.810s  Memory: 523.00M
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_offgrid_check.total b/precheck_results/22_MAR_2022___00_12_27/logs/klayout_offgrid_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_offgrid_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/22_MAR_2022___00_12_27/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_zeroarea_check.log b/precheck_results/22_MAR_2022___00_12_27/logs/klayout_zeroarea_check.log
deleted file mode 100644
index 9b55662..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_zeroarea_check.log
+++ /dev/null
@@ -1,4 +0,0 @@
-0 zero-area shapes
-writing to /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper_no_zero_areas.gds
-VmPeak:	  536664 kB
-VmHWM:	  232368 kB
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_zeroarea_check.total b/precheck_results/22_MAR_2022___00_12_27/logs/klayout_zeroarea_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_zeroarea_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/magic_drc_check.total b/precheck_results/22_MAR_2022___00_12_27/logs/magic_drc_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/logs/magic_drc_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/pdks.info b/precheck_results/22_MAR_2022___00_12_27/logs/pdks.info
deleted file mode 100644
index f6e2777..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/logs/pdks.info
+++ /dev/null
@@ -1,2 +0,0 @@
-Open PDKs 32cdb2097fd9a629c91e8ea33e1f6de08ab25946
-Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/precheck.log b/precheck_results/22_MAR_2022___00_12_27/logs/precheck.log
deleted file mode 100644
index 4bd9fed..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/logs/precheck.log
+++ /dev/null
@@ -1,61 +0,0 @@
-2022-03-22 00:12:27 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip
-2022-03-22 00:12:27 - [INFO] - {{Project Type Info}} analog
-2022-03-22 00:12:27 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: 8ebdb52a108ac8fba614c1d69e24ab6cd9697fee
-2022-03-22 00:12:27 - [INFO] - {{Tools Info}} KLayout: v0.27.7 | Magic: v8.3.265
-2022-03-22 00:12:27 - [INFO] - {{PDKs Info}} Open PDKs: 32cdb2097fd9a629c91e8ea33e1f6de08ab25946 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
-2022-03-22 00:12:27 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/logs'
-2022-03-22 00:12:27 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
-2022-03-22 00:12:27 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
-2022-03-22 00:12:29 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/wisla/sky130_skel/Myschematics/Receptor/my_chip.
-2022-03-22 00:12:29 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
-2022-03-22 00:12:30 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/wisla/sky130_skel/Myschematics/Receptor/my_chip.
-2022-03-22 00:12:31 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/wisla/sky130_skel/Myschematics/Receptor/my_chip.
-2022-03-22 00:12:31 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
-2022-03-22 00:12:31 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 68 non-compliant file(s) with the SPDX Standard.
-2022-03-22 00:12:31 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/Makefile', '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/Pos-Layout/sky130_fd_pr__res_xhigh_po_0p35_HDW2JU.ext', '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/Pos-Layout/user_analog_project_wrapper.ext', '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/Pos-Layout/user_analog_project_wrapper_empty.ext', '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/docs/Makefile', '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/docs/environment.yml', '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/docs/source/conf.py', '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/docs/source/index.rst', '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/mag/.magicrc', '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/mag/sky130_fd_pr__res_xhigh_po_0p35_HDW2JU.ext', '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/mag/user_analog_project_wrapper.ext', '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/mag/detV2.ext', '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/mag/otaV5.ext', '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/mag/user_analog_project_wrapper.sim', '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/netgen/run_lvs_por.sh']
-2022-03-22 00:12:31 - [INFO] - For the full SPDX compliance report check: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/logs/spdx_compliance_report.log
-2022-03-22 00:12:31 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
-2022-03-22 00:12:31 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
-2022-03-22 00:12:31 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
-2022-03-22 00:12:31 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
-2022-03-22 00:12:31 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
-2022-03-22 00:12:31 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
-2022-03-22 00:12:31 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
-2022-03-22 00:12:31 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
-2022-03-22 00:12:33 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
-2022-03-22 00:12:33 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
-2022-03-22 00:12:33 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
-2022-03-22 00:12:33 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
-2022-03-22 00:12:33 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
-2022-03-22 00:12:33 - [WARNING] - PORTS CHECK FAILED: user_analog_project_wrapper ports do not match the golden wrapper ports. Mismatching ports are : ['vssa1']
-2022-03-22 00:12:33 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (2 instances). 
-2022-03-22 00:12:33 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
-2022-03-22 00:12:33 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
-2022-03-22 00:12:33 - [WARNING] - {{NETLIST CONSISTENCY CHECK FAILED}} user_analog_project_wrapper netlist failed 1 consistency check(s): ['PORTS'].
-2022-03-22 00:12:33 - [WARNING] - {{CONSISTENCY CHECK FAILED}} The user netlist and the top netlist are not valid.
-2022-03-22 00:12:33 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
-2022-03-22 00:12:36 - [INFO] - {{XOR CHECK UPDATE}} Total XOR differences: 0, for more details view /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper.xor.gds
-2022-03-22 00:12:36 - [INFO] - {{XOR CHECK PASSED}} The GDS file has no XOR violations.
-2022-03-22 00:12:36 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
-2022-03-22 00:12:36 - [INFO] - 0 DRC violations
-2022-03-22 00:12:36 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-22 00:12:36 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
-2022-03-22 00:12:39 - [INFO] - No DRC Violations found
-2022-03-22 00:12:39 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-22 00:12:39 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
-2022-03-22 00:12:43 - [INFO] - No DRC Violations found
-2022-03-22 00:12:43 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-22 00:12:43 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
-2022-03-22 00:12:46 - [INFO] - No DRC Violations found
-2022-03-22 00:12:46 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-22 00:12:46 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
-2022-03-22 00:12:48 - [INFO] - No DRC Violations found
-2022-03-22 00:12:48 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-22 00:12:48 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
-2022-03-22 00:12:50 - [INFO] - No DRC Violations found
-2022-03-22 00:12:50 - [INFO] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-22 00:12:50 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
-2022-03-22 00:12:51 - [INFO] - No DRC Violations found
-2022-03-22 00:12:51 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-03-22 00:12:51 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/logs'
-2022-03-22 00:12:51 - [CRITICAL] - {{FAILURE}} 1 Check(s) Failed: ['Consistency'] !!!
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/spdx_compliance_report.log b/precheck_results/22_MAR_2022___00_12_27/logs/spdx_compliance_report.log
deleted file mode 100644
index b935ab0..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/logs/spdx_compliance_report.log
+++ /dev/null
@@ -1,68 +0,0 @@
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/Makefile
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/Pos-Layout/sky130_fd_pr__res_xhigh_po_0p35_HDW2JU.ext
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/Pos-Layout/user_analog_project_wrapper.ext
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/Pos-Layout/user_analog_project_wrapper_empty.ext
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/docs/Makefile
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/docs/environment.yml
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/docs/source/conf.py
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/docs/source/index.rst
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/mag/.magicrc
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/mag/sky130_fd_pr__res_xhigh_po_0p35_HDW2JU.ext
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/mag/user_analog_project_wrapper.ext
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/mag/detV2.ext
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/mag/otaV5.ext
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/mag/user_analog_project_wrapper.sim
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/netgen/run_lvs_por.sh
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/netgen/run_lvs_wrapper_verilog.sh
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/netgen/run_lvs_wrapper_xschem.sh
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/netgen/setup.tcl
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/netgen/run_lvs_ota.sh
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_03_33/logs/gds.info
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_03_33/logs/tools.info
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_03_33/logs/pdks.info
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_03_33/logs/xor_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_03_33/logs/magic_drc_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_03_33/logs/klayout_feol_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_03_33/logs/klayout_beol_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_03_33/logs/klayout_offgrid_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_03_33/logs/klayout_met_min_ca_density_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_03_33/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_03_33/logs/klayout_zeroarea_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_03_33/outputs/reports/magic_drc_check.drc.report
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_07_13/logs/gds.info
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_07_13/logs/tools.info
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_07_13/logs/pdks.info
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_07_13/logs/xor_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_07_13/logs/magic_drc_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_07_13/logs/klayout_feol_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_07_13/logs/klayout_beol_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_07_13/logs/klayout_offgrid_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_07_13/logs/klayout_met_min_ca_density_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_07_13/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_07_13/logs/klayout_zeroarea_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___22_07_13/outputs/reports/magic_drc_check.drc.report
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___23_35_43/logs/gds.info
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___23_35_43/logs/tools.info
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___23_35_43/logs/pdks.info
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___23_35_43/logs/xor_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___23_35_43/logs/magic_drc_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___23_35_43/logs/klayout_feol_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___23_35_43/logs/klayout_beol_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___23_35_43/logs/klayout_offgrid_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___23_35_43/logs/klayout_met_min_ca_density_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___23_35_43/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___23_35_43/logs/klayout_zeroarea_check.total
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/21_MAR_2022___23_35_43/outputs/reports/magic_drc_check.drc.report
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/logs/gds.info
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/logs/tools.info
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/logs/pdks.info
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/xschem/.spiceinit
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/xschem/analog_wrapper_tb.sch
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/xschem/user_analog_project_wrapper.sch
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/xschem/user_analog_project_wrapper.sym
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/xschem/xschemrc
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/xschem/detector.sch
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/xschem/detector.sym
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/xschem/OTA.sch
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/xschem/OTA.sym
-/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/xschem/Tb_OTA.sch
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/tools.info b/precheck_results/22_MAR_2022___00_12_27/logs/tools.info
deleted file mode 100644
index b904646..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/logs/tools.info
+++ /dev/null
@@ -1,2 +0,0 @@
-KLayout: 0.27.7
-Magic: 8.3.265
\ No newline at end of file
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/xor_check.total b/precheck_results/22_MAR_2022___00_12_27/logs/xor_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/logs/xor_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_beol_check.xml b/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_beol_check.xml
deleted file mode 100644
index 766c2e9..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_beol_check.xml
+++ /dev/null
@@ -1,447 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>SKY130 DRC runset</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
-  <category>
-   <name>li.1</name>
-   <description>li.1 : min. li width : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>li.3</name>
-   <description>li.3 : min. li spacing : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>li.5</name>
-   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>li.6</name>
-   <description>li.6 : min. li area : 0.0561um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct.1</name>
-   <description>ct.1: non-ring mcon should be rectangular</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct.1_a</name>
-   <description>ct.1_a : minimum width of mcon : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct.1_b</name>
-   <description>ct.1_b : maximum length of mcon : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct.2</name>
-   <description>ct.2 : min. mcon spacing : 0.19um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct.4</name>
-   <description>ct.4 : mcon should covered by li</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.1</name>
-   <description>m1.1 : min. m1 width : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.2</name>
-   <description>m1.2 : min. m1 spacing : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.3ab</name>
-   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>791_m1.4</name>
-   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.4</name>
-   <description>m1.4 : mcon periphery must be enclosed by m1</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.4a</name>
-   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.4a_a</name>
-   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.6</name>
-   <description>m1.6 : min. m1 area : 0.083um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.7</name>
-   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.5</name>
-   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.1a</name>
-   <description>via.1a : via outside of moduleCut should be rectangular</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.1a_a</name>
-   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.1a_b</name>
-   <description>via.1a_b : maximum length of via : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.2</name>
-   <description>via.2 : min. via spacing : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.4a</name>
-   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.4a_a</name>
-   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.5a</name>
-   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.1</name>
-   <description>m2.1 : min. m2 width : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.2</name>
-   <description>m2.2 : min. m2 spacing : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.3ab</name>
-   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.6</name>
-   <description>m2.6 : min. m2 area : 0.0676um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.7</name>
-   <description>m2.7 : min. m2 holes area : 0.14um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.4</name>
-   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.4_a</name>
-   <description>m2.4_a : via in periphery must be enclosed by met2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.5</name>
-   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.1a</name>
-   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.1a_a</name>
-   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.1a_b</name>
-   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.2</name>
-   <description>via2.2 : min. via2 spacing : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.4</name>
-   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.4_a</name>
-   <description>via2.4_a : via must be enclosed by met2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.5</name>
-   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3.1</name>
-   <description>m3.1 : min. m3 width : 0.3um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3.2</name>
-   <description>m3.2 : min. m3 spacing : 0.3um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3.3cd</name>
-   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3.4</name>
-   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3.4_a</name>
-   <description>m3.4_a : via2 must be enclosed by met3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.1</name>
-   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.1_a</name>
-   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.1_b</name>
-   <description>via3.1_b : maximum length of via3 : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.2</name>
-   <description>via3.2 : min. via3 spacing : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.4</name>
-   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.4_a</name>
-   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.5</name>
-   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.1</name>
-   <description>m4.1 : min. m4 width : 0.3um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.2</name>
-   <description>m4.2 : min. m4 spacing : 0.3um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.4a</name>
-   <description>m4.4a : min. m4 area : 0.240um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.5ab</name>
-   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.3</name>
-   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.3_a</name>
-   <description>m4.3_a : via3 must be enclosed by met4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.1</name>
-   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.1_a</name>
-   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.1_b</name>
-   <description>via4.1_b : maximum length of via4 : 0.8um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.2</name>
-   <description>via4.2 : min. via4 spacing : 0.8um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.4</name>
-   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.4_a</name>
-   <description>via4.4_a : m4 must enclose all via4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5.1</name>
-   <description>m5.1 : min. m5 width : 1.6um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5.2</name>
-   <description>m5.2 : min. m5 spacing : 1.6um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5.3</name>
-   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5.3_a</name>
-   <description>m5.3_a : via must be enclosed by m5</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5.4</name>
-   <description>m5.4 : min. m5 area : 4.0um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pad.2</name>
-   <description>pad.2 : min. pad spacing : 1.27um</description>
-   <categories>
-   </categories>
-  </category>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_feol_check.xml b/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_feol_check.xml
deleted file mode 100644
index 46dfa5b..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_feol_check.xml
+++ /dev/null
@@ -1,363 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>SKY130 DRC runset</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
-  <category>
-   <name>dnwell.2</name>
-   <description>dnwell.2 : min. dnwell width : 3.0um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nwell.1</name>
-   <description>nwell.1 : min. nwell width : 0.84um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nwell.2a</name>
-   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nwell.6</name>
-   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtp.1</name>
-   <description>hvtp.1 : min. hvtp width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtp.2</name>
-   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtr.1</name>
-   <description>hvtr.1 : min. hvtr width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtr.2</name>
-   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtr.2_a</name>
-   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>lvtn.1a</name>
-   <description>lvtn.1a : min. lvtn width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>lvtn.2</name>
-   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ncm.1</name>
-   <description>ncm.1 : min. ncm width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ncm.2a</name>
-   <description>ncm.2a : min. ncm spacing : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>difftap.1</name>
-   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>difftap.1_a</name>
-   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>difftap.1_b</name>
-   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>difftap.1_c</name>
-   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>difftap.3</name>
-   <description>difftap.3 : min. difftap spacing : 0.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tunm.1</name>
-   <description>tunm.1 : min. tunm width : 0.41um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tunm.2</name>
-   <description>tunm.2 : min. tunm spacing : 0.5um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>poly.1a</name>
-   <description>poly.1a : min. poly width : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>poly.2</name>
-   <description>poly.2 : min. poly spacing : 0.21um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>rpm.1a</name>
-   <description>rpm.1a : min. rpm width : 1.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>rpm.2</name>
-   <description>rpm.2 : min. rpm spacing : 0.84um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>urpm.1a</name>
-   <description>urpm.1a : min. rpm width : 1.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>urpm.2</name>
-   <description>urpm.2 : min. rpm spacing : 0.84um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>npc.1</name>
-   <description>npc.1 : min. npc width : 0.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>npc.2</name>
-   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsd.1</name>
-   <description>nsd.1 : min. nsdm width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsd.2</name>
-   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>psd.1</name>
-   <description>psd.1 : min. psdm width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>psd.2</name>
-   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon.1</name>
-   <description>licon.1 : licon should be rectangle</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon.1_a/b</name>
-   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon.13</name>
-   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon.13_a</name>
-   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon.17</name>
-   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.1</name>
-   <description>capm.1 : min. capm width : 1.0um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.2a</name>
-   <description>capm.2a : min. capm spacing : 0.84um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.2b</name>
-   <description>capm.2b : min. capm spacing : 1.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.2b_a</name>
-   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.3</name>
-   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.3_a</name>
-   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.4</name>
-   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.5</name>
-   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.1</name>
-   <description>cap2m.1 : min. cap2m width : 1.0um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.2a</name>
-   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.2b</name>
-   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.2b_a</name>
-   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.3</name>
-   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.3_a</name>
-   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.4</name>
-   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.5</name>
-   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvi.1</name>
-   <description>hvi.1 : min. hvi width : 0.6um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvi.2a</name>
-   <description>hvi.2a : min. hvi spacing : 0.7um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvntm.1</name>
-   <description>hvntm.1 : min. hvntm width : 0.7um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvntm.2</name>
-   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
-   <categories>
-   </categories>
-  </category>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_met_min_ca_density_check.xml
deleted file mode 100644
index 77c069a..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_met_min_ca_density_check.xml
+++ /dev/null
@@ -1,21 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>Density Checks</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_offgrid_check.xml b/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_offgrid_check.xml
deleted file mode 100644
index c1192d7..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_offgrid_check.xml
+++ /dev/null
@@ -1,483 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>SKY130 DRC runset</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/drc_checks/klayout/offgrid.lydrc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
-  <category>
-   <name>dnwell_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on dnwell</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>dnwell_angle</name>
-   <description>x.3a : non 45 degree angle dnwell</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nwell_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on nwell</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nwell_angle</name>
-   <description>x.3a : non 45 degree angle nwell</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwbm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on pwbm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwbm_angle</name>
-   <description>x.3a : non 45 degree angle pwbm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwde_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on pwde</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwde_angle</name>
-   <description>x.3a : non 45 degree angle pwde</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtp_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on hvtp</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtp_angle</name>
-   <description>x.3a : non 45 degree angle hvtp</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtr_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on hvtr</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtr_angle</name>
-   <description>x.3a : non 45 degree angle hvtr</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>lvtn_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on lvtn</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>lvtn_angle</name>
-   <description>x.3a : non 45 degree angle lvtn</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ncm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on ncm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ncm_angle</name>
-   <description>x.3a : non 45 degree angle ncm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>diff_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on diff</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tap_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on tap</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>diff_angle</name>
-   <description>x.2 : non 90 degree angle diff</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>diff_angle</name>
-   <description>x.2c : non 45 degree angle diff</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tap_angle</name>
-   <description>x.2 : non 90 degree angle tap</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tap_angle</name>
-   <description>x.2c : non 45 degree angle tap</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tunm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on tunm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tunm_angle</name>
-   <description>x.3a : non 45 degree angle tunm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>poly_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on poly</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>poly_angle</name>
-   <description>x.2 : non 90 degree angle poly</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>rpm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on rpm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>rpm_angle</name>
-   <description>x.3a : non 45 degree angle rpm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>npc_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on npc</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>npc_angle</name>
-   <description>x.3a : non 45 degree angle npc</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsdm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on nsdm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsdm_angle</name>
-   <description>x.3a : non 45 degree angle nsdm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>psdm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on psdm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>psdm_angle</name>
-   <description>x.3a : non 45 degree angle psdm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on licon</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon_angle</name>
-   <description>x.2 : non 90 degree angle licon</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>li_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on li</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>li_angle</name>
-   <description>x.3a : non 45 degree angle li</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on mcon</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct_angle</name>
-   <description>x.2 : non 90 degree angle mcon</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>vpp_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on vpp</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>vpp_angle</name>
-   <description>x.3a : non 45 degree angle vpp</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on m1</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1_angle</name>
-   <description>x.3a : non 45 degree angle m1</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on via</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via_angle</name>
-   <description>x.2 : non 90 degree angle via</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on m2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2_angle</name>
-   <description>x.3a : non 45 degree angle m2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on via2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2_angle</name>
-   <description>x.2 : non 90 degree angle via2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on m3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3_angle</name>
-   <description>x.3a : non 45 degree angle m3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on via3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3_angle</name>
-   <description>x.2 : non 90 degree angle via3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on nsm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsm_angle</name>
-   <description>x.3a : non 45 degree angle nsm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on m4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4_angle</name>
-   <description>x.3a : non 45 degree angle m4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on via4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4_angle</name>
-   <description>x.2 : non 90 degree angle via4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on m5</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5_angle</name>
-   <description>x.3a : non 45 degree angle m5</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pad_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on pad</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pad_angle</name>
-   <description>x.3a : non 45 degree angle pad</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>mf_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on mf</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>mf_angle</name>
-   <description>x.2 : non 90 degree angle mf</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvi_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on hvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvi_angle</name>
-   <description>x.3a : non 45 degree angle hvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvntm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on hvntm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvntm_angle</name>
-   <description>x.3a : non 45 degree angle hvntm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>vhvi_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on vhvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>vhvi_angle</name>
-   <description>x.3a : non 45 degree angle vhvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>uhvi_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on uhvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>uhvi_angle</name>
-   <description>x.3a : non 45 degree angle uhvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwell_rs_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on pwell_rs</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwell_rs_angle</name>
-   <description>x.3a : non 45 degree angle pwell_rs</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>areaid_re_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on areaid.re</description>
-   <categories>
-   </categories>
-  </category>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_zeroarea_check.xml
deleted file mode 100644
index bd39db2..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_zeroarea_check.xml
+++ /dev/null
@@ -1,21 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>zero area check</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/magic_drc_check.drc.report b/precheck_results/22_MAR_2022___00_12_27/outputs/reports/magic_drc_check.drc.report
deleted file mode 100644
index 829b9d5..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/magic_drc_check.drc.report
+++ /dev/null
@@ -1,5 +0,0 @@
-user_analog_project_wrapper
-----------------------------------------
-[INFO]: COUNT: 0
-[INFO]: Should be divided by 3 or 4
-
diff --git a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/magic_drc_check.rdb b/precheck_results/22_MAR_2022___00_12_27/outputs/reports/magic_drc_check.rdb
deleted file mode 100644
index 8a882f3..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/magic_drc_check.rdb
+++ /dev/null
@@ -1,2 +0,0 @@
-$user_analog_project_wrapper
- 100
diff --git a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/magic_drc_check.tcl b/precheck_results/22_MAR_2022___00_12_27/outputs/reports/magic_drc_check.tcl
deleted file mode 100644
index e69de29..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/magic_drc_check.tcl
+++ /dev/null
diff --git a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/magic_drc_check.tr b/precheck_results/22_MAR_2022___00_12_27/outputs/reports/magic_drc_check.tr
deleted file mode 100644
index e69de29..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/magic_drc_check.tr
+++ /dev/null
diff --git a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/magic_drc_check.xml b/precheck_results/22_MAR_2022___00_12_27/outputs/reports/magic_drc_check.xml
deleted file mode 100644
index 7161d7c..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/magic_drc_check.xml
+++ /dev/null
@@ -1,10 +0,0 @@
-<?xml version="1.0" ?>
-<report-database>
-    <categories/>
-    <cells>
-        <cell>
-            <name>user_analog_project_wrapper</name>
-        </cell>
-    </cells>
-    <items/>
-</report-database>
diff --git a/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper.xor.gds b/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper.xor.gds
deleted file mode 100644
index 1c02231..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper.xor.gds
+++ /dev/null
Binary files differ
diff --git a/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper_empty_erased.gds
deleted file mode 100644
index 83cb227..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper_empty_erased.gds
+++ /dev/null
Binary files differ
diff --git a/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper_erased.gds
deleted file mode 100644
index 83cb227..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper_erased.gds
+++ /dev/null
Binary files differ
diff --git a/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper_no_zero_areas.gds
deleted file mode 100644
index 5944a0f..0000000
--- a/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper_no_zero_areas.gds
+++ /dev/null
Binary files differ
diff --git a/precheck_results/25_MAR_2022___03_35_21/logs/gds.info b/precheck_results/25_MAR_2022___03_35_21/logs/gds.info
new file mode 100644
index 0000000..ba33373
--- /dev/null
+++ b/precheck_results/25_MAR_2022___03_35_21/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: e71e6368d05ddb5613d5df60fa954b176d01eaa5
\ No newline at end of file
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_beol_check.log b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_beol_check.log
similarity index 77%
rename from precheck_results/22_MAR_2022___00_12_27/logs/klayout_beol_check.log
rename to precheck_results/25_MAR_2022___03_35_21/logs/klayout_beol_check.log
index 5b8cee0..0be36a0 100644
--- a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_beol_check.log
+++ b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_beol_check.log
@@ -13,52 +13,52 @@
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:108
     Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:109
     Polygons (raw): 1 (flat)  1 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:110
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:111
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:112
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:113
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:114
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:115
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:116
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:117
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:118
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:119
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:120
     Polygons (raw): 15 (flat)  15 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:121
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:122
     Polygons (raw): 5 (flat)  5 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:123
     Polygons (raw): 7 (flat)  7 (hierarchical)
-    Elapsed: 0.020s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:124
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.000s  Memory: 521.00M
@@ -69,256 +69,256 @@
     Polygons (raw): 8 (flat)  8 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:127
-    Polygons (raw): 71 (flat)  71 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:129
-    Polygons (raw): 77 (flat)  77 (hierarchical)
+    Polygons (raw): 78 (flat)  78 (hierarchical)
     Elapsed: 0.000s  Memory: 521.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 80 (flat)  80 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:130
-    Polygons (raw): 1026 (flat)  1026 (hierarchical)
+    Polygons (raw): 764 (flat)  764 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:132
     Polygons (raw): 50 (flat)  50 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:133
-    Polygons (raw): 1198 (flat)  1198 (hierarchical)
+    Polygons (raw): 1388 (flat)  1388 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:135
-    Polygons (raw): 512 (flat)  512 (hierarchical)
+    Polygons (raw): 509 (flat)  509 (hierarchical)
     Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:136
-    Polygons (raw): 1166 (flat)  1166 (hierarchical)
+    Polygons (raw): 1008 (flat)  1008 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:138
-    Polygons (raw): 260 (flat)  260 (hierarchical)
+    Polygons (raw): 269 (flat)  269 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:139
-    Polygons (raw): 7197 (flat)  7197 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Polygons (raw): 9183 (flat)  9183 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:141
-    Polygons (raw): 58 (flat)  58 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Polygons (raw): 86 (flat)  86 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:142
-    Polygons (raw): 21 (flat)  21 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Polygons (raw): 95 (flat)  95 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:144
-    Polygons (raw): 14 (flat)  14 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Polygons (raw): 27 (flat)  27 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:146
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:147
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:148
     Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:149
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:150
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:151
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:152
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:153
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:154
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:155
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:156
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:157
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:158
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:159
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:160
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:161
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:162
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:163
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:164
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:165
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:166
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:167
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:168
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:169
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:170
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:171
     Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:172
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:173
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:174
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:175
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:176
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:177
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:178
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:179
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:180
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:181
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:182
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:183
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:184
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:185
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:186
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:187
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:188
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:189
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:190
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:191
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:192
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:193
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:194
     Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:195
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:196
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:197
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:198
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 DRC section
 BEOL section
 START: 67/20 (li)
 "not" in: sky130A_mr.drc:371
-    Polygons (raw): 77 (flat)  77 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Polygons (raw): 80 (flat)  80 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
 "width" in: sky130A_mr.drc:372
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "output" in: sky130A_mr.drc:372
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "edges" in: sky130A_mr.drc:374
-    Edges: 277 (flat)  277 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Edges: 291 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:374
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:374
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "not" in: sky130A_mr.drc:375
-    Polygons (raw): 71 (flat)  71 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Polygons (raw): 78 (flat)  78 (hierarchical)
+    Elapsed: 0.010s  Memory: 1066.00M
 "enclosing" in: sky130A_mr.drc:376
     Edge pairs: 5 (flat)  5 (hierarchical)
-    Elapsed: 0.020s  Memory: 1065.00M
+    Elapsed: 0.020s  Memory: 1066.00M
 "second_edges" in: sky130A_mr.drc:376
     Edges: 5 (flat)  5 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "width" in: sky130A_mr.drc:377
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "polygons" in: sky130A_mr.drc:378
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "interacting" in: sky130A_mr.drc:378
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:379
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "with_area" in: sky130A_mr.drc:380
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:380
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 END: 67/20 (li)
 START: 67/44 (mcon)
 "not" in: sky130A_mr.drc:385
-    Polygons (raw): 1026 (flat)  1026 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Polygons (raw): 764 (flat)  764 (hierarchical)
+    Elapsed: 0.000s  Memory: 1066.00M
 "not" in: sky130A_mr.drc:392
-    Polygons (raw): 1026 (flat)  1026 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Polygons (raw): 764 (flat)  764 (hierarchical)
+    Elapsed: 0.010s  Memory: 1066.00M
 "non_rectangles" in: sky130A_mr.drc:393
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
@@ -327,7 +327,7 @@
     Elapsed: 0.010s  Memory: 1066.00M
 "drc" in: sky130A_mr.drc:395
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:395
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
@@ -336,10 +336,10 @@
     Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:396
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:397
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:397
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
@@ -348,39 +348,39 @@
     Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:403
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 END: 67/44 (mcon)
 START: 68/20 (m1)
 "width" in: sky130A_mr.drc:408
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:408
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 "sized" in: sky130A_mr.drc:409
     Polygons (raw): 5 (flat)  5 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "sized" in: sky130A_mr.drc:409
     Polygons (raw): 5 (flat)  5 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 "snap" in: sky130A_mr.drc:409
     Polygons (raw): 5 (flat)  5 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "&" in: sky130A_mr.drc:409
     Polygons (raw): 7 (flat)  7 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 "edges" in: sky130A_mr.drc:410
-    Edges: 179 (flat)  179 (hierarchical)
+    Edges: 180 (flat)  180 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 "-" in: sky130A_mr.drc:410
-    Edges: 146 (flat)  146 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
+    Edges: 147 (flat)  147 (hierarchical)
+    Elapsed: 0.000s  Memory: 1066.00M
 "edges" in: sky130A_mr.drc:411
     Edges: 28 (flat)  28 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"merged" in: sky130A_mr.drc:411
-    Polygons (raw): 14 (flat)  14 (hierarchical)
     Elapsed: 0.000s  Memory: 1066.00M
+"merged" in: sky130A_mr.drc:411
+    Polygons (raw): 15 (flat)  15 (hierarchical)
+    Elapsed: 0.010s  Memory: 1066.00M
 "outside_part" in: sky130A_mr.drc:411
     Edges: 31 (flat)  31 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
@@ -389,10 +389,10 @@
     Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:413
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "separation" in: sky130A_mr.drc:415
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:415
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
@@ -401,13 +401,13 @@
     Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:415
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "input" in: sky130A_mr.drc:419
     Polygons (raw): 50 (flat)  50 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "enclosing" in: sky130A_mr.drc:421
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:421
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
@@ -431,28 +431,28 @@
     Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:427
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "with_area" in: sky130A_mr.drc:429
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:429
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 "holes" in: sky130A_mr.drc:431
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "with_area" in: sky130A_mr.drc:431
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:431
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 "enclosing" in: sky130A_mr.drc:438
     Edge pairs: 24 (flat)  24 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "second_edges" in: sky130A_mr.drc:438
     Edges: 24 (flat)  24 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "width" in: sky130A_mr.drc:439
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
@@ -461,78 +461,78 @@
     Elapsed: 0.000s  Memory: 1066.00M
 "interacting" in: sky130A_mr.drc:440
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
+    Elapsed: 0.020s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:441
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 END: 68/20 (m1)
 START: 68/44 (via)
 "not" in: sky130A_mr.drc:455
-    Polygons (raw): 1198 (flat)  1198 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
+    Polygons (raw): 1388 (flat)  1388 (hierarchical)
+    Elapsed: 0.010s  Memory: 1066.00M
 "non_rectangles" in: sky130A_mr.drc:457
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:457
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 "width" in: sky130A_mr.drc:458
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:458
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "drc" in: sky130A_mr.drc:460
     Edges: 0 (flat)  0 (hierarchical)
     Elapsed: 0.020s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:460
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:462
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
+    Elapsed: 0.030s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:462
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.000s  Memory: 1066.00M
 "edges" in: sky130A_mr.drc:470
-    Edges: 179 (flat)  179 (hierarchical)
+    Edges: 180 (flat)  180 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 "drc" in: sky130A_mr.drc:470
-    Edges: 4792 (flat)  4792 (hierarchical)
+    Edges: 5552 (flat)  5552 (hierarchical)
     Elapsed: 0.030s  Memory: 1066.00M
 "enclosing" in: sky130A_mr.drc:470
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1074.00M
 "output" in: sky130A_mr.drc:470
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "squares" in: sky130A_mr.drc:471
-    Polygons (raw): 1198 (flat)  1198 (hierarchical)
+    Polygons (raw): 1388 (flat)  1388 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 "drc" in: sky130A_mr.drc:471
-    Edges: 4792 (flat)  4792 (hierarchical)
-    Elapsed: 0.030s  Memory: 1066.00M
+    Edges: 5552 (flat)  5552 (hierarchical)
+    Elapsed: 0.020s  Memory: 1066.00M
 "not" in: sky130A_mr.drc:471
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
+    Elapsed: 0.020s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:471
     Edges: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 "edges" in: sky130A_mr.drc:473
-    Edges: 179 (flat)  179 (hierarchical)
+    Edges: 180 (flat)  180 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 "drc" in: sky130A_mr.drc:473
-    Edges: 4792 (flat)  4792 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
+    Edges: 5552 (flat)  5552 (hierarchical)
+    Elapsed: 0.030s  Memory: 1066.00M
 "enclosing" in: sky130A_mr.drc:473
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
+    Elapsed: 0.020s  Memory: 1066.00M
 "second_edges" in: sky130A_mr.drc:473
     Edges: 0 (flat)  0 (hierarchical)
     Elapsed: 0.000s  Memory: 1066.00M
 "width" in: sky130A_mr.drc:474
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "polygons" in: sky130A_mr.drc:475
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
@@ -560,29 +560,29 @@
     Polygons (raw): 7 (flat)  7 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 "&" in: sky130A_mr.drc:485
-    Polygons (raw): 9 (flat)  9 (hierarchical)
+    Polygons (raw): 7 (flat)  7 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 "edges" in: sky130A_mr.drc:486
-    Edges: 2042 (flat)  2042 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
+    Edges: 2034 (flat)  2034 (hierarchical)
+    Elapsed: 0.020s  Memory: 1066.00M
 "-" in: sky130A_mr.drc:486
-    Edges: 2003 (flat)  2003 (hierarchical)
+    Edges: 2005 (flat)  2005 (hierarchical)
     Elapsed: 0.000s  Memory: 1066.00M
 "edges" in: sky130A_mr.drc:487
-    Edges: 36 (flat)  36 (hierarchical)
+    Edges: 28 (flat)  28 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 "merged" in: sky130A_mr.drc:487
-    Polygons (raw): 506 (flat)  506 (hierarchical)
+    Polygons (raw): 507 (flat)  507 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 "outside_part" in: sky130A_mr.drc:487
-    Edges: 36 (flat)  36 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
+    Edges: 29 (flat)  29 (hierarchical)
+    Elapsed: 0.010s  Memory: 1066.00M
 "not" in: sky130A_mr.drc:488
-    Polygons (raw): 1198 (flat)  1198 (hierarchical)
+    Polygons (raw): 1388 (flat)  1388 (hierarchical)
     Elapsed: 0.000s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:490
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1074.00M
+    Elapsed: 0.020s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:490
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
@@ -591,7 +591,7 @@
     Elapsed: 0.010s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:492
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "+" in: sky130A_mr.drc:492
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
@@ -606,7 +606,7 @@
     Elapsed: 0.010s  Memory: 1066.00M
 "holes" in: sky130A_mr.drc:495
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "with_area" in: sky130A_mr.drc:495
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.000s  Memory: 1066.00M
@@ -615,28 +615,28 @@
     Elapsed: 0.010s  Memory: 1066.00M
 "enclosing" in: sky130A_mr.drc:500
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.030s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:500
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
 "not" in: sky130A_mr.drc:501
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:501
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "enclosing" in: sky130A_mr.drc:502
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.020s  Memory: 1066.00M
 "second_edges" in: sky130A_mr.drc:502
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "width" in: sky130A_mr.drc:503
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1082.00M
 "polygons" in: sky130A_mr.drc:504
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "interacting" in: sky130A_mr.drc:504
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1066.00M
@@ -646,62 +646,62 @@
 END: 69/20 (m2)
 START: 69/44 (via2)
 "not" in: sky130A_mr.drc:520
-    Polygons (raw): 1166 (flat)  1166 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
+    Polygons (raw): 1008 (flat)  1008 (hierarchical)
+    Elapsed: 0.010s  Memory: 1066.00M
 "non_rectangles" in: sky130A_mr.drc:521
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1067.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:521
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "width" in: sky130A_mr.drc:522
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1067.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:522
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "edges" in: sky130A_mr.drc:523
-    Edges: 4664 (flat)  4664 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Edges: 4032 (flat)  4032 (hierarchical)
+    Elapsed: 0.010s  Memory: 1066.00M
 "without_length" in: sky130A_mr.drc:523
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1067.00M
+    Elapsed: 0.010s  Memory: 1067.00M
 "output" in: sky130A_mr.drc:523
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1067.00M
+    Elapsed: 0.010s  Memory: 1067.00M
 "space" in: sky130A_mr.drc:524
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1075.00M
+    Elapsed: 0.020s  Memory: 1067.00M
 "output" in: sky130A_mr.drc:524
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.000s  Memory: 1067.00M
 "enclosing" in: sky130A_mr.drc:532
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Elapsed: 0.020s  Memory: 1067.00M
 "output" in: sky130A_mr.drc:532
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Elapsed: 0.000s  Memory: 1067.00M
 "not" in: sky130A_mr.drc:533
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1099.00M
+    Elapsed: 0.010s  Memory: 1067.00M
 "output" in: sky130A_mr.drc:533
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1067.00M
 "enclosing" in: sky130A_mr.drc:535
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Elapsed: 0.020s  Memory: 1067.00M
 "second_edges" in: sky130A_mr.drc:535
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Elapsed: 0.000s  Memory: 1067.00M
 "width" in: sky130A_mr.drc:536
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Elapsed: 0.020s  Memory: 1067.00M
 "polygons" in: sky130A_mr.drc:537
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1067.00M
 "interacting" in: sky130A_mr.drc:537
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1075.00M
+    Elapsed: 0.000s  Memory: 1067.00M
 "output" in: sky130A_mr.drc:538
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1067.00M
@@ -714,38 +714,38 @@
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1067.00M
 "sized" in: sky130A_mr.drc:546
-    Polygons (raw): 47 (flat)  47 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
-"sized" in: sky130A_mr.drc:546
-    Polygons (raw): 47 (flat)  47 (hierarchical)
+    Polygons (raw): 45 (flat)  45 (hierarchical)
     Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:546
+    Polygons (raw): 45 (flat)  45 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
 "snap" in: sky130A_mr.drc:546
-    Polygons (raw): 47 (flat)  47 (hierarchical)
+    Polygons (raw): 45 (flat)  45 (hierarchical)
     Elapsed: 0.010s  Memory: 1067.00M
 "&" in: sky130A_mr.drc:546
-    Polygons (raw): 70 (flat)  70 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Polygons (raw): 77 (flat)  77 (hierarchical)
+    Elapsed: 0.010s  Memory: 1075.00M
 "edges" in: sky130A_mr.drc:547
-    Edges: 992 (flat)  992 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Edges: 1026 (flat)  1026 (hierarchical)
+    Elapsed: 0.010s  Memory: 1075.00M
 "-" in: sky130A_mr.drc:547
-    Edges: 599 (flat)  599 (hierarchical)
+    Edges: 603 (flat)  603 (hierarchical)
     Elapsed: 0.010s  Memory: 1067.00M
 "edges" in: sky130A_mr.drc:548
-    Edges: 356 (flat)  356 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Edges: 388 (flat)  388 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
 "merged" in: sky130A_mr.drc:548
-    Polygons (raw): 191 (flat)  191 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Polygons (raw): 190 (flat)  190 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
 "outside_part" in: sky130A_mr.drc:548
-    Edges: 360 (flat)  360 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Edges: 393 (flat)  393 (hierarchical)
+    Elapsed: 0.010s  Memory: 1075.00M
 "space" in: sky130A_mr.drc:550
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Elapsed: 0.020s  Memory: 1067.00M
 "output" in: sky130A_mr.drc:550
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Elapsed: 0.000s  Memory: 1067.00M
 "separation" in: sky130A_mr.drc:552
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1067.00M
@@ -760,7 +760,7 @@
     Elapsed: 0.000s  Memory: 1067.00M
 "enclosing" in: sky130A_mr.drc:557
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Elapsed: 0.020s  Memory: 1067.00M
 "output" in: sky130A_mr.drc:557
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 1067.00M
@@ -769,224 +769,224 @@
     Elapsed: 0.010s  Memory: 1067.00M
 "output" in: sky130A_mr.drc:558
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Elapsed: 0.000s  Memory: 1067.00M
 END: 70/20 (m3)
 START: 70/44 (via3)
 "not" in: sky130A_mr.drc:572
-    Polygons (raw): 7197 (flat)  7197 (hierarchical)
-    Elapsed: 0.000s  Memory: 1067.00M
+    Polygons (raw): 9183 (flat)  9183 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
 "non_rectangles" in: sky130A_mr.drc:573
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.060s  Memory: 1067.00M
+    Elapsed: 0.080s  Memory: 1069.00M
 "output" in: sky130A_mr.drc:573
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Elapsed: 0.000s  Memory: 1069.00M
 "width" in: sky130A_mr.drc:574
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Elapsed: 0.020s  Memory: 1069.00M
 "output" in: sky130A_mr.drc:574
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1067.00M
+    Elapsed: 0.010s  Memory: 1069.00M
 "edges" in: sky130A_mr.drc:575
-    Edges: 28788 (flat)  28788 (hierarchical)
-    Elapsed: 0.040s  Memory: 1067.00M
+    Edges: 36732 (flat)  36732 (hierarchical)
+    Elapsed: 0.050s  Memory: 1069.00M
 "without_length" in: sky130A_mr.drc:575
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.090s  Memory: 1077.00M
+    Elapsed: 0.110s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:575
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "space" in: sky130A_mr.drc:577
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.090s  Memory: 1101.00M
+    Elapsed: 0.100s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:577
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "enclosing" in: sky130A_mr.drc:578
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.060s  Memory: 1085.00M
+    Elapsed: 0.070s  Memory: 1088.00M
 "output" in: sky130A_mr.drc:578
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "not" in: sky130A_mr.drc:579
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1077.00M
+    Elapsed: 0.030s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:579
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "enclosing" in: sky130A_mr.drc:581
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.050s  Memory: 1077.00M
+    Elapsed: 0.070s  Memory: 1080.00M
 "second_edges" in: sky130A_mr.drc:581
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "width" in: sky130A_mr.drc:582
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.000s  Memory: 1080.00M
 "polygons" in: sky130A_mr.drc:583
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "interacting" in: sky130A_mr.drc:583
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1085.00M
+    Elapsed: 0.010s  Memory: 1088.00M
 "output" in: sky130A_mr.drc:584
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.000s  Memory: 1080.00M
 END: 70/44 (via3)
 START: 71/20 (m4)
 "width" in: sky130A_mr.drc:590
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.000s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:590
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "sized" in: sky130A_mr.drc:592
-    Polygons (raw): 15 (flat)  15 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Polygons (raw): 19 (flat)  19 (hierarchical)
+    Elapsed: 0.010s  Memory: 1080.00M
 "sized" in: sky130A_mr.drc:592
-    Polygons (raw): 15 (flat)  15 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Polygons (raw): 19 (flat)  19 (hierarchical)
+    Elapsed: 0.000s  Memory: 1080.00M
 "snap" in: sky130A_mr.drc:592
-    Polygons (raw): 15 (flat)  15 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Polygons (raw): 19 (flat)  19 (hierarchical)
+    Elapsed: 0.010s  Memory: 1080.00M
 "&" in: sky130A_mr.drc:592
-    Polygons (raw): 27 (flat)  27 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Polygons (raw): 39 (flat)  39 (hierarchical)
+    Elapsed: 0.010s  Memory: 1080.00M
 "edges" in: sky130A_mr.drc:593
-    Edges: 197 (flat)  197 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Edges: 286 (flat)  286 (hierarchical)
+    Elapsed: 0.010s  Memory: 1080.00M
 "-" in: sky130A_mr.drc:593
-    Edges: 17 (flat)  17 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Edges: 30 (flat)  30 (hierarchical)
+    Elapsed: 0.020s  Memory: 1080.00M
 "edges" in: sky130A_mr.drc:594
-    Edges: 161 (flat)  161 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Edges: 219 (flat)  219 (hierarchical)
+    Elapsed: 0.000s  Memory: 1080.00M
 "merged" in: sky130A_mr.drc:594
-    Polygons (raw): 14 (flat)  14 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.010s  Memory: 1080.00M
 "outside_part" in: sky130A_mr.drc:594
-    Edges: 163 (flat)  163 (hierarchical)
-    Elapsed: 0.000s  Memory: 1077.00M
+    Edges: 223 (flat)  223 (hierarchical)
+    Elapsed: 0.010s  Memory: 1080.00M
 "space" in: sky130A_mr.drc:596
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:596
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "with_area" in: sky130A_mr.drc:598
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.000s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:598
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "separation" in: sky130A_mr.drc:600
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "space" in: sky130A_mr.drc:600
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "+" in: sky130A_mr.drc:600
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1077.00M
+    Elapsed: 0.000s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:600
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "enclosing" in: sky130A_mr.drc:605
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.080s  Memory: 1077.00M
+    Elapsed: 0.100s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:605
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.000s  Memory: 1080.00M
 "not" in: sky130A_mr.drc:606
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1077.00M
+    Elapsed: 0.040s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:606
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.000s  Memory: 1080.00M
 END: 71/20 (m4)
 START: 71/44 (via4)
 "not" in: sky130A_mr.drc:619
-    Polygons (raw): 21 (flat)  21 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Polygons (raw): 95 (flat)  95 (hierarchical)
+    Elapsed: 0.010s  Memory: 1080.00M
 "non_rectangles" in: sky130A_mr.drc:620
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:620
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.000s  Memory: 1080.00M
 "width" in: sky130A_mr.drc:621
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:621
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "drc" in: sky130A_mr.drc:622
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:622
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.000s  Memory: 1080.00M
 "space" in: sky130A_mr.drc:624
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "polygons" in: sky130A_mr.drc:624
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:624
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.000s  Memory: 1080.00M
 "enclosing" in: sky130A_mr.drc:632
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1085.00M
+    Elapsed: 0.020s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:632
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "not" in: sky130A_mr.drc:633
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:633
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.000s  Memory: 1080.00M
 END: 71/44 (via4)
 START: 72/20 (m5)
 "width" in: sky130A_mr.drc:638
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:638
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "space" in: sky130A_mr.drc:640
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.000s  Memory: 1088.00M
 "output" in: sky130A_mr.drc:640
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "enclosing" in: sky130A_mr.drc:642
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:642
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "not" in: sky130A_mr.drc:643
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.000s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:643
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "with_area" in: sky130A_mr.drc:647
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 "output" in: sky130A_mr.drc:647
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.000s  Memory: 1080.00M
 END: 72/20 (m5)
 START: 76/20 (pad)
 "space" in: sky130A_mr.drc:652
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1088.00M
 "output" in: sky130A_mr.drc:652
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1077.00M
+    Elapsed: 0.010s  Memory: 1080.00M
 END: 76/20 (pad)
-Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_beol_check.xml ..
-Total elapsed: 3.130s  Memory: 1077.00M
+Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 3.250s  Memory: 1080.00M
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_beol_check.total b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_beol_check.total
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/logs/klayout_beol_check.total
rename to precheck_results/25_MAR_2022___03_35_21/logs/klayout_beol_check.total
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_feol_check.log b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_feol_check.log
similarity index 69%
rename from precheck_results/22_MAR_2022___00_12_27/logs/klayout_feol_check.log
rename to precheck_results/25_MAR_2022___03_35_21/logs/klayout_feol_check.log
index 99f5075..c316956 100644
--- a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_feol_check.log
+++ b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_feol_check.log
@@ -25,756 +25,756 @@
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:112
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:113
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:114
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:115
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:116
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:117
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:118
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:119
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:120
     Polygons (raw): 15 (flat)  15 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:121
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:122
     Polygons (raw): 5 (flat)  5 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:123
     Polygons (raw): 7 (flat)  7 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:124
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:125
     Polygons (raw): 1 (flat)  1 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:126
     Polygons (raw): 8 (flat)  8 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:127
-    Polygons (raw): 71 (flat)  71 (hierarchical)
     Elapsed: 0.000s  Memory: 521.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 78 (flat)  78 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:129
-    Polygons (raw): 77 (flat)  77 (hierarchical)
+    Polygons (raw): 80 (flat)  80 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:130
-    Polygons (raw): 1026 (flat)  1026 (hierarchical)
+    Polygons (raw): 764 (flat)  764 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:132
     Polygons (raw): 50 (flat)  50 (hierarchical)
     Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:133
-    Polygons (raw): 1198 (flat)  1198 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Polygons (raw): 1388 (flat)  1388 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:135
-    Polygons (raw): 512 (flat)  512 (hierarchical)
+    Polygons (raw): 509 (flat)  509 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:136
-    Polygons (raw): 1166 (flat)  1166 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Polygons (raw): 1008 (flat)  1008 (hierarchical)
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:138
-    Polygons (raw): 260 (flat)  260 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Polygons (raw): 269 (flat)  269 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: sky130A_mr.drc:139
-    Polygons (raw): 7197 (flat)  7197 (hierarchical)
-    Elapsed: 0.020s  Memory: 521.00M
+    Polygons (raw): 9183 (flat)  9183 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:141
-    Polygons (raw): 58 (flat)  58 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Polygons (raw): 86 (flat)  86 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:142
-    Polygons (raw): 21 (flat)  21 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Polygons (raw): 95 (flat)  95 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:144
-    Polygons (raw): 14 (flat)  14 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Polygons (raw): 27 (flat)  27 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:146
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:147
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:148
     Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:149
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:150
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:151
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:152
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:153
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:154
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:155
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:156
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:157
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:158
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:159
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:160
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:161
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:162
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:163
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:164
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:165
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:166
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:167
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:168
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:169
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:170
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:171
     Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:172
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:173
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:174
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:175
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.020s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:176
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:177
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:178
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:179
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:180
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:181
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:182
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:183
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:184
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:185
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:186
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:187
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:188
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:189
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:190
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:191
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:192
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:193
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:194
     Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:195
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:196
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:197
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "polygons" in: sky130A_mr.drc:198
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 522.00M
 DRC section
 FEOL section
 START: 64/18 (dnwell)
 "width" in: sky130A_mr.drc:224
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "output" in: sky130A_mr.drc:224
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 END: 64/18 (dnwell)
 START: 64/20 (nwell)
 "width" in: sky130A_mr.drc:229
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "output" in: sky130A_mr.drc:229
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "space" in: sky130A_mr.drc:230
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.020s  Memory: 1082.00M
 "output" in: sky130A_mr.drc:230
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "merge" in: sky130A_mr.drc:231
     Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "holes" in: sky130A_mr.drc:232
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "enclosing" in: sky130A_mr.drc:232
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:232
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 END: 64/20 (nwell)
 START: 78/44 (hvtp)
 "width" in: sky130A_mr.drc:237
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:237
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:238
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1082.00M
 "output" in: sky130A_mr.drc:238
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 END: 78/44 (hvtp)
 START: 18/20 (htvr)
 "width" in: sky130A_mr.drc:243
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:243
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "separation" in: sky130A_mr.drc:244
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.020s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:244
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "and" in: sky130A_mr.drc:245
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:245
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 END: 18/20 (htvr)
 START: 25/44 (lvtn)
 "width" in: sky130A_mr.drc:250
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:250
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:251
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1073.00M
+    Elapsed: 0.010s  Memory: 1082.00M
 "output" in: sky130A_mr.drc:251
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 END: 25/44 (lvtn)
 START: 92/44 (ncm)
 "width" in: sky130A_mr.drc:256
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:256
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:257
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:257
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 END: 92/44 (ncm)
 START: 65/20 (diff)
 "or" in: sky130A_mr.drc:262
-    Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Polygons (raw): 11 (flat)  11 (hierarchical)
+    Elapsed: 0.010s  Memory: 1066.00M
 "rectangles" in: sky130A_mr.drc:263
     Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "width" in: sky130A_mr.drc:263
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "polygons" in: sky130A_mr.drc:263
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "edges" in: sky130A_mr.drc:264
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "outside_part" in: sky130A_mr.drc:264
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "outside" in: sky130A_mr.drc:264
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1073.00M
+    Elapsed: 0.000s  Memory: 1074.00M
 "edges" in: sky130A_mr.drc:264
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.020s  Memory: 1066.00M
 "not" in: sky130A_mr.drc:264
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:265
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "outside" in: sky130A_mr.drc:266
     Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "width" in: sky130A_mr.drc:266
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:266
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 END: 65/20 (diff)
 START: 65/44 (tap)
 "rectangles" in: sky130A_mr.drc:270
     Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "width" in: sky130A_mr.drc:270
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "polygons" in: sky130A_mr.drc:270
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "edges" in: sky130A_mr.drc:271
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1090.00M
 "outside_part" in: sky130A_mr.drc:271
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "outside" in: sky130A_mr.drc:271
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "edges" in: sky130A_mr.drc:271
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "not" in: sky130A_mr.drc:271
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:272
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "not" in: sky130A_mr.drc:273
     Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "width" in: sky130A_mr.drc:273
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:273
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 END: 65/44 (tap)
 "space" in: sky130A_mr.drc:276
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:276
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 START: 80/20 (tunm)
 "width" in: sky130A_mr.drc:280
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:280
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:281
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1089.00M
+    Elapsed: 0.020s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:281
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 END: 80/20 (tunm)
 START: 66/20 (poly)
 "width" in: sky130A_mr.drc:286
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:286
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "not" in: sky130A_mr.drc:287
     Polygons (raw): 15 (flat)  15 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:287
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:287
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 START: 86/20 (rpm)
 "width" in: sky130A_mr.drc:292
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:292
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:293
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:293
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 END: 86/20 (rpm)
 START: 79/20 (urpm)
 "width" in: sky130A_mr.drc:298
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:298
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:299
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:299
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 END: 79/20 (urpm)
 START: 95/20 (npc)
 "width" in: sky130A_mr.drc:304
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:304
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:305
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:305
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 END: 95/20 (npc)
 START: 93/44 (nsdm)
 "outside" in: sky130A_mr.drc:310
     Polygons (raw): 4 (flat)  4 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "width" in: sky130A_mr.drc:310
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:310
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "not" in: sky130A_mr.drc:311
     Polygons (raw): 5 (flat)  5 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:311
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:311
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 END: 93/44 (nsdm)
 START: 94/20 (psdm)
 "outside" in: sky130A_mr.drc:316
     Polygons (raw): 7 (flat)  7 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "width" in: sky130A_mr.drc:316
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:316
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "not" in: sky130A_mr.drc:317
     Polygons (raw): 7 (flat)  7 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:317
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:317
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 END: 94/20 (psdm)
 START: 66/44 (licon)
 "not" in: sky130A_mr.drc:328
-    Polygons (raw): 21 (flat)  21 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Polygons (raw): 22 (flat)  22 (hierarchical)
+    Elapsed: 0.010s  Memory: 1066.00M
 "and" in: sky130A_mr.drc:329
-    Polygons (raw): 62 (flat)  62 (hierarchical)
-    Elapsed: 0.010s  Memory: 1073.00M
+    Polygons (raw): 69 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 1066.00M
 "interacting" in: sky130A_mr.drc:329
-    Polygons (raw): 62 (flat)  62 (hierarchical)
-    Elapsed: 0.020s  Memory: 1065.00M
+    Polygons (raw): 69 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 1066.00M
 "not" in: sky130A_mr.drc:330
-    Polygons (raw): 62 (flat)  62 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Polygons (raw): 69 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 1066.00M
 "non_rectangles" in: sky130A_mr.drc:331
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:331
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "or" in: sky130A_mr.drc:332
     Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "not" in: sky130A_mr.drc:332
-    Polygons (raw): 69 (flat)  69 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Polygons (raw): 76 (flat)  76 (hierarchical)
+    Elapsed: 0.020s  Memory: 1066.00M
 "edges" in: sky130A_mr.drc:332
-    Edges: 276 (flat)  276 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Edges: 304 (flat)  304 (hierarchical)
+    Elapsed: 0.010s  Memory: 1066.00M
 "without_length" in: sky130A_mr.drc:332
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:332
     Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "separation" in: sky130A_mr.drc:333
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:333
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "and" in: sky130A_mr.drc:334
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1090.00M
 "output" in: sky130A_mr.drc:334
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "interacting" in: sky130A_mr.drc:335
     Polygons (raw): 9 (flat)  9 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "interacting" in: sky130A_mr.drc:335
-    Polygons (raw): 62 (flat)  62 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Polygons (raw): 69 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 1066.00M
 "and" in: sky130A_mr.drc:335
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:335
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 END: 66/44 (licon)
 START: 89/44 (capm)
 "and" in: sky130A_mr.drc:340
     Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "sized" in: sky130A_mr.drc:340
     Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "width" in: sky130A_mr.drc:341
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:341
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:342
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:342
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "interacting" in: sky130A_mr.drc:343
     Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "isolated" in: sky130A_mr.drc:343
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.020s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:343
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "isolated" in: sky130A_mr.drc:344
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:344
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "and" in: sky130A_mr.drc:345
     Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "enclosing" in: sky130A_mr.drc:345
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:345
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "enclosing" in: sky130A_mr.drc:346
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:346
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "enclosing" in: sky130A_mr.drc:347
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.050s  Memory: 1073.00M
+    Elapsed: 0.030s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:347
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "separation" in: sky130A_mr.drc:348
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.040s  Memory: 1065.00M
+    Elapsed: 0.050s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:348
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 END: 89/44 (capm)
 START: 97/44 (cap2m)
 "and" in: sky130A_mr.drc:353
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "sized" in: sky130A_mr.drc:353
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "width" in: sky130A_mr.drc:354
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:354
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:355
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1082.00M
 "output" in: sky130A_mr.drc:355
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "interacting" in: sky130A_mr.drc:356
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "isolated" in: sky130A_mr.drc:356
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1090.00M
 "output" in: sky130A_mr.drc:356
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "isolated" in: sky130A_mr.drc:358
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:358
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "and" in: sky130A_mr.drc:359
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "enclosing" in: sky130A_mr.drc:359
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1074.00M
 "output" in: sky130A_mr.drc:359
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "enclosing" in: sky130A_mr.drc:360
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1098.00M
 "output" in: sky130A_mr.drc:360
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "enclosing" in: sky130A_mr.drc:361
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:361
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "separation" in: sky130A_mr.drc:362
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:362
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 END: 97/44 (cap2m)
 FEOL section
 START: 75/20 (hvi)
 "not" in: sky130A_mr.drc:662
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "width" in: sky130A_mr.drc:663
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.020s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:663
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:664
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:664
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 END: 75/20 (hvi)
 START: 125/20 (hvntm)
 "not" in: sky130A_mr.drc:669
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "width" in: sky130A_mr.drc:670
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:670
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 "space" in: sky130A_mr.drc:671
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.000s  Memory: 1066.00M
 "output" in: sky130A_mr.drc:671
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1065.00M
+    Elapsed: 0.010s  Memory: 1066.00M
 END: 125/20 (hvntm)
-Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_feol_check.xml ..
-Total elapsed: 1.890s  Memory: 1065.00M
+Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.870s  Memory: 1066.00M
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_feol_check.total b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_feol_check.total
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/logs/klayout_feol_check.total
rename to precheck_results/25_MAR_2022___03_35_21/logs/klayout_feol_check.total
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_met_min_ca_density_check.log b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_met_min_ca_density_check.log
similarity index 67%
rename from precheck_results/22_MAR_2022___00_12_27/logs/klayout_met_min_ca_density_check.log
rename to precheck_results/25_MAR_2022___03_35_21/logs/klayout_met_min_ca_density_check.log
index 790e108..384c4bd 100644
--- a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_met_min_ca_density_check.log
+++ b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_met_min_ca_density_check.log
@@ -1,79 +1,79 @@
 "polygons" in: met_min_ca_density.lydrc:35
-    Polygons (raw): 77 (flat)  77 (hierarchical)
+    Polygons (raw): 80 (flat)  80 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: met_min_ca_density.lydrc:36
-    Polygons (raw): 1026 (flat)  1026 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Polygons (raw): 764 (flat)  764 (hierarchical)
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: met_min_ca_density.lydrc:38
     Polygons (raw): 50 (flat)  50 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: met_min_ca_density.lydrc:39
-    Polygons (raw): 1198 (flat)  1198 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:41
-    Polygons (raw): 512 (flat)  512 (hierarchical)
+    Polygons (raw): 1388 (flat)  1388 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 509 (flat)  509 (hierarchical)
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: met_min_ca_density.lydrc:42
-    Polygons (raw): 1166 (flat)  1166 (hierarchical)
+    Polygons (raw): 1008 (flat)  1008 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: met_min_ca_density.lydrc:44
-    Polygons (raw): 261 (flat)  261 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Polygons (raw): 270 (flat)  270 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: met_min_ca_density.lydrc:45
-    Polygons (raw): 7197 (flat)  7197 (hierarchical)
+    Polygons (raw): 9183 (flat)  9183 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: met_min_ca_density.lydrc:47
-    Polygons (raw): 58 (flat)  58 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Polygons (raw): 86 (flat)  86 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: met_min_ca_density.lydrc:48
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: met_min_ca_density.lydrc:49
-    Polygons (raw): 21 (flat)  21 (hierarchical)
+    Polygons (raw): 95 (flat)  95 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: met_min_ca_density.lydrc:51
-    Polygons (raw): 14 (flat)  14 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Polygons (raw): 27 (flat)  27 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
 "input" in: met_min_ca_density.lydrc:53
     Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "area" in: met_min_ca_density.lydrc:55
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: met_min_ca_density.lydrc:59
-    Polygons (raw): 77 (flat)  77 (hierarchical)
+    Polygons (raw): 80 (flat)  80 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "area" in: met_min_ca_density.lydrc:59
     Elapsed: 0.000s  Memory: 521.00M
-li1_ca_density is 0.9998631799696451
+li1_ca_density is 0.999932879154343
 "polygons" in: met_min_ca_density.lydrc:69
     Polygons (raw): 50 (flat)  50 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "area" in: met_min_ca_density.lydrc:69
     Elapsed: 0.010s  Memory: 521.00M
-m1_ca_density is 0.9996636537787983
+m1_ca_density is 0.9998760407067248
 "polygons" in: met_min_ca_density.lydrc:79
-    Polygons (raw): 512 (flat)  512 (hierarchical)
+    Polygons (raw): 509 (flat)  509 (hierarchical)
     Elapsed: 0.000s  Memory: 521.00M
 "area" in: met_min_ca_density.lydrc:79
     Elapsed: 0.010s  Memory: 521.00M
-m2_ca_density is 0.9992478341959838
+m2_ca_density is 0.9997657840228051
 "polygons" in: met_min_ca_density.lydrc:89
-    Polygons (raw): 261 (flat)  261 (hierarchical)
+    Polygons (raw): 270 (flat)  270 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "area" in: met_min_ca_density.lydrc:89
     Elapsed: 0.010s  Memory: 521.00M
-m3_ca_density is 0.9946711494396014
+m3_ca_density is 0.9943411877334993
 "polygons" in: met_min_ca_density.lydrc:99
-    Polygons (raw): 58 (flat)  58 (hierarchical)
+    Polygons (raw): 86 (flat)  86 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "area" in: met_min_ca_density.lydrc:99
     Elapsed: 0.000s  Memory: 521.00M
-m4_ca_density is 0.9962318053296233
+m4_ca_density is 0.9959754821567559
 "polygons" in: met_min_ca_density.lydrc:109
-    Polygons (raw): 14 (flat)  14 (hierarchical)
+    Polygons (raw): 27 (flat)  27 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "area" in: met_min_ca_density.lydrc:109
     Elapsed: 0.010s  Memory: 521.00M
-m5_ca_density is 0.9993917077366127
-Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_met_min_ca_density_check.xml ..
-Total elapsed: 0.200s  Memory: 521.00M
+m5_ca_density is 0.9978968932713262
+Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.210s  Memory: 521.00M
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_met_min_ca_density_check.total b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_met_min_ca_density_check.total
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/logs/klayout_met_min_ca_density_check.total
rename to precheck_results/25_MAR_2022___03_35_21/logs/klayout_met_min_ca_density_check.total
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_offgrid_check.log b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_offgrid_check.log
similarity index 93%
rename from precheck_results/20_MAR_2022___22_53_12/logs/klayout_offgrid_check.log
rename to precheck_results/25_MAR_2022___03_35_21/logs/klayout_offgrid_check.log
index b6e9f4f..521fca4 100644
--- a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_offgrid_check.log
+++ b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_offgrid_check.log
@@ -1,11 +1,11 @@
 "input" in: offgrid.lydrc:31
-    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Polygons (raw): 10 (flat)  10 (hierarchical)
     Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:32
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Polygons (raw): 3 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:33
-    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Polygons (raw): 1 (flat)  1 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:34
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -38,61 +38,61 @@
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:44
-    Polygons (raw): 3 (flat)  3 (hierarchical)
+    Polygons (raw): 15 (flat)  15 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:45
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:46
-    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Polygons (raw): 5 (flat)  5 (hierarchical)
     Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:47
-    Polygons (raw): 2 (flat)  2 (hierarchical)
+    Polygons (raw): 7 (flat)  7 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:48
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:49
     Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:50
-    Polygons (raw): 2 (flat)  2 (hierarchical)
+    Polygons (raw): 8 (flat)  8 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:51
-    Polygons (raw): 41 (flat)  41 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:53
-    Polygons (raw): 19 (flat)  19 (hierarchical)
+    Polygons (raw): 78 (flat)  78 (hierarchical)
     Elapsed: 0.000s  Memory: 521.00M
+"polygons" in: offgrid.lydrc:53
+    Polygons (raw): 80 (flat)  80 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:54
-    Polygons (raw): 1253 (flat)  1253 (hierarchical)
+    Polygons (raw): 764 (flat)  764 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:56
-    Polygons (raw): 22 (flat)  22 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Polygons (raw): 50 (flat)  50 (hierarchical)
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:57
-    Polygons (raw): 4084 (flat)  4084 (hierarchical)
+    Polygons (raw): 1388 (flat)  1388 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:59
-    Polygons (raw): 500 (flat)  500 (hierarchical)
+    Polygons (raw): 509 (flat)  509 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:60
-    Polygons (raw): 2620 (flat)  2620 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: offgrid.lydrc:62
-    Polygons (raw): 214 (flat)  214 (hierarchical)
+    Polygons (raw): 1008 (flat)  1008 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
+"polygons" in: offgrid.lydrc:62
+    Polygons (raw): 269 (flat)  269 (hierarchical)
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:63
-    Polygons (raw): 7783 (flat)  7783 (hierarchical)
+    Polygons (raw): 9183 (flat)  9183 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:65
-    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Polygons (raw): 86 (flat)  86 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:66
-    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Polygons (raw): 95 (flat)  95 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:68
-    Polygons (raw): 6 (flat)  6 (hierarchical)
+    Polygons (raw): 27 (flat)  27 (hierarchical)
     Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:70
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -101,7 +101,7 @@
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:72
-    Polygons (raw): 2 (flat)  2 (hierarchical)
+    Polygons (raw): 3 (flat)  3 (hierarchical)
     Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:73
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -144,85 +144,85 @@
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:86
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:87
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:88
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:89
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:90
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:91
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:92
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:93
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:94
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:95
     Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:96
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:97
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:98
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:99
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:100
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:101
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:102
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:103
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:104
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:105
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:106
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:107
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:108
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:109
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:110
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:111
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:112
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:113
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
@@ -231,25 +231,25 @@
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:115
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:116
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:117
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:118
     Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:119
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:120
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:121
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "polygons" in: offgrid.lydrc:122
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
@@ -265,10 +265,10 @@
     Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:128
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:129
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:129
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
@@ -307,199 +307,199 @@
     Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:135
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:136
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:136
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:137
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "output" in: offgrid.lydrc:137
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:138
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:138
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:139
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:139
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:140
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "output" in: offgrid.lydrc:140
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:141
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:141
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:142
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:142
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:143
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "output" in: offgrid.lydrc:143
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:144
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:144
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "and" in: offgrid.lydrc:145
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "not" in: offgrid.lydrc:145
-    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Polygons (raw): 10 (flat)  10 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:145
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "output" in: offgrid.lydrc:145
     Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+"and" in: offgrid.lydrc:146
+    Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.000s  Memory: 521.00M
 "and" in: offgrid.lydrc:146
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"and" in: offgrid.lydrc:146
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:146
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:146
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "and" in: offgrid.lydrc:147
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "not" in: offgrid.lydrc:147
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Polygons (raw): 3 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:147
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "output" in: offgrid.lydrc:147
     Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+"and" in: offgrid.lydrc:148
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+"and" in: offgrid.lydrc:148
+    Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.000s  Memory: 521.00M
-"and" in: offgrid.lydrc:148
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"and" in: offgrid.lydrc:148
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:148
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:148
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:149
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "output" in: offgrid.lydrc:149
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:150
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:150
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:151
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:151
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:152
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "output" in: offgrid.lydrc:152
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:153
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:153
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:154
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:154
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:155
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "output" in: offgrid.lydrc:155
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:156
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "output" in: offgrid.lydrc:156
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:157
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:157
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:158
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:158
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:159
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "output" in: offgrid.lydrc:159
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.020s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:160
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:160
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:161
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:161
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:162
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "output" in: offgrid.lydrc:162
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:163
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:163
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:164
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
@@ -508,52 +508,52 @@
     Elapsed: 0.000s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:165
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 522.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:165
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:166
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:166
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:167
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "output" in: offgrid.lydrc:167
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:168
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:168
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:169
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "output" in: offgrid.lydrc:169
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "with_angle" in: offgrid.lydrc:170
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "output" in: offgrid.lydrc:170
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "ongrid" in: offgrid.lydrc:171
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.050s  Memory: 522.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "output" in: offgrid.lydrc:171
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "with_angle" in: offgrid.lydrc:172
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 522.00M
 "output" in: offgrid.lydrc:172
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "ongrid" in: offgrid.lydrc:173
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 522.00M
@@ -562,40 +562,40 @@
     Elapsed: 0.010s  Memory: 522.00M
 "with_angle" in: offgrid.lydrc:174
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "output" in: offgrid.lydrc:174
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "ongrid" in: offgrid.lydrc:175
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.030s  Memory: 523.00M
+    Elapsed: 0.020s  Memory: 522.00M
 "output" in: offgrid.lydrc:175
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "with_angle" in: offgrid.lydrc:176
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "output" in: offgrid.lydrc:176
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "ongrid" in: offgrid.lydrc:177
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "output" in: offgrid.lydrc:177
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "with_angle" in: offgrid.lydrc:178
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 523.00M
+    Elapsed: 0.010s  Memory: 522.00M
 "output" in: offgrid.lydrc:178
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 523.00M
+    Elapsed: 0.000s  Memory: 522.00M
 "ongrid" in: offgrid.lydrc:179
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.070s  Memory: 524.00M
 "output" in: offgrid.lydrc:179
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
+    Elapsed: 0.010s  Memory: 524.00M
 "with_angle" in: offgrid.lydrc:180
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.020s  Memory: 524.00M
@@ -610,16 +610,16 @@
     Elapsed: 0.000s  Memory: 524.00M
 "with_angle" in: offgrid.lydrc:182
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
+    Elapsed: 0.000s  Memory: 524.00M
 "output" in: offgrid.lydrc:182
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 524.00M
 "ongrid" in: offgrid.lydrc:183
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
+    Elapsed: 0.010s  Memory: 524.00M
 "output" in: offgrid.lydrc:183
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
+    Elapsed: 0.000s  Memory: 524.00M
 "with_angle" in: offgrid.lydrc:184
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 524.00M
@@ -694,51 +694,51 @@
     Elapsed: 0.010s  Memory: 524.00M
 "with_angle" in: offgrid.lydrc:196
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
+    Elapsed: 0.000s  Memory: 524.00M
 "output" in: offgrid.lydrc:196
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
+    Elapsed: 0.010s  Memory: 524.00M
 "ongrid" in: offgrid.lydrc:197
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 524.00M
 "output" in: offgrid.lydrc:197
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
+    Elapsed: 0.000s  Memory: 524.00M
 "with_angle" in: offgrid.lydrc:198
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
+    Elapsed: 0.010s  Memory: 524.00M
 "output" in: offgrid.lydrc:198
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 524.00M
 "ongrid" in: offgrid.lydrc:199
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
+    Elapsed: 0.000s  Memory: 524.00M
 "output" in: offgrid.lydrc:199
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
+    Elapsed: 0.010s  Memory: 524.00M
 "with_angle" in: offgrid.lydrc:200
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 524.00M
 "output" in: offgrid.lydrc:200
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
+    Elapsed: 0.000s  Memory: 524.00M
 "ongrid" in: offgrid.lydrc:201
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
+    Elapsed: 0.010s  Memory: 524.00M
 "output" in: offgrid.lydrc:201
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 524.00M
 "with_angle" in: offgrid.lydrc:202
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
+    Elapsed: 0.000s  Memory: 524.00M
 "output" in: offgrid.lydrc:202
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
+    Elapsed: 0.010s  Memory: 524.00M
 "ongrid" in: offgrid.lydrc:203
     Edge pairs: 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 524.00M
 "output" in: offgrid.lydrc:203
     Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/caravel_user_project_analog/precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_offgrid_check.xml ..
-Total elapsed: 1.810s  Memory: 524.00M
+    Elapsed: 0.000s  Memory: 524.00M
+Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.730s  Memory: 524.00M
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_offgrid_check.total b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_offgrid_check.total
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/logs/klayout_offgrid_check.total
rename to precheck_results/25_MAR_2022___03_35_21/logs/klayout_offgrid_check.total
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
similarity index 81%
rename from precheck_results/22_MAR_2022___00_12_27/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
rename to precheck_results/25_MAR_2022___03_35_21/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
index f92ddc0..4117081 100644
--- a/precheck_results/22_MAR_2022___00_12_27/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
+++ b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -1,4 +1,4 @@
-Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/wisla/sky130_skel/Myschematics/Receptor/receiver/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
   deep:true tiled:false threads:4
 --- #err|description, table for cell: user_analog_project_wrapper
 NO-Check ----        pwell:64/44/EMP   122/16/EMP    64/59/EMP    44/16/EMP     44/5/EMP
@@ -25,5 +25,5 @@
          ----     inductor:82/24/EMP    82/25/EMP
        0 total error(s) among 0 error type(s), 33 checks, cell: user_analog_project_wrapper
 Writing report...
-VmPeak:	 1123860 kB
-VmHWM:	  232664 kB
+VmPeak:	 1189348 kB
+VmHWM:	  232524 kB
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
rename to precheck_results/25_MAR_2022___03_35_21/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
diff --git a/precheck_results/25_MAR_2022___03_35_21/logs/klayout_zeroarea_check.log b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..a5a045d
--- /dev/null
+++ b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  537072 kB
+VmHWM:	  232664 kB
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/klayout_zeroarea_check.total b/precheck_results/25_MAR_2022___03_35_21/logs/klayout_zeroarea_check.total
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/logs/klayout_zeroarea_check.total
rename to precheck_results/25_MAR_2022___03_35_21/logs/klayout_zeroarea_check.total
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/magic_drc_check.log b/precheck_results/25_MAR_2022___03_35_21/logs/magic_drc_check.log
similarity index 81%
rename from precheck_results/22_MAR_2022___00_12_27/logs/magic_drc_check.log
rename to precheck_results/25_MAR_2022___03_35_21/logs/magic_drc_check.log
index 46b5da1..93e9ffe 100644
--- a/precheck_results/22_MAR_2022___00_12_27/logs/magic_drc_check.log
+++ b/precheck_results/25_MAR_2022___03_35_21/logs/magic_drc_check.log
@@ -1,5 +1,5 @@
 
-Magic 8.3 revision 265 - Compiled on Tue Feb  8 15:12:01 UTC 2022.
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
 Starting magic under Tcl interpreter
 Using the terminal as the console.
 Using NULL graphics device.
@@ -15,9 +15,9 @@
 Warning: Calma reading is not undoable!  I hope that's OK.
 Library written using GDS-II Release 3.0
 Library name: user_analog_project_wrapper
-Reading "otaV5".
 Reading "sky130_fd_pr__res_xhigh_po_0p35_HDW2JU".
 Reading "detV2".
+Reading "otaV5".
 Reading "user_analog_project_wrapper".
 [INFO]: Loading user_analog_project_wrapper
 
@@ -26,6 +26,6 @@
 No errors found.
 [INFO]: COUNT: 0
 [INFO]: Should be divided by 3 or 4
-[INFO]: DRC Checking DONE (/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/outputs/reports/magic_drc_check.drc.report)
-[INFO]: Saving mag view with DRC errors(/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: DRC Checking DONE (/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper.magic.drc.mag)
 [INFO]: Saved
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/magic_drc_check.total b/precheck_results/25_MAR_2022___03_35_21/logs/magic_drc_check.total
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/logs/magic_drc_check.total
rename to precheck_results/25_MAR_2022___03_35_21/logs/magic_drc_check.total
diff --git a/precheck_results/20_MAR_2022___22_53_12/logs/pdks.info b/precheck_results/25_MAR_2022___03_35_21/logs/pdks.info
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/logs/pdks.info
rename to precheck_results/25_MAR_2022___03_35_21/logs/pdks.info
diff --git a/precheck_results/25_MAR_2022___03_35_21/logs/precheck.log b/precheck_results/25_MAR_2022___03_35_21/logs/precheck.log
new file mode 100644
index 0000000..2306d1a
--- /dev/null
+++ b/precheck_results/25_MAR_2022___03_35_21/logs/precheck.log
@@ -0,0 +1,61 @@
+2022-03-25 03:35:21 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/wisla/sky130_skel/Myschematics/Receptor/receiver
+2022-03-25 03:35:21 - [INFO] - {{Project Type Info}} analog
+2022-03-25 03:35:21 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: e71e6368d05ddb5613d5df60fa954b176d01eaa5
+2022-03-25 03:35:27 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-03-25 03:35:27 - [INFO] - {{PDKs Info}} Open PDKs: 32cdb2097fd9a629c91e8ea33e1f6de08ab25946 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-03-25 03:35:27 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/logs'
+2022-03-25 03:35:27 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-03-25 03:35:27 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-03-25 03:35:29 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/wisla/sky130_skel/Myschematics/Receptor/receiver.
+2022-03-25 03:35:29 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-03-25 03:35:30 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/wisla/sky130_skel/Myschematics/Receptor/receiver.
+2022-03-25 03:35:32 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/wisla/sky130_skel/Myschematics/Receptor/receiver.
+2022-03-25 03:35:32 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-03-25 03:35:32 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 51 non-compliant file(s) with the SPDX Standard.
+2022-03-25 03:35:32 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/wisla/sky130_skel/Myschematics/Receptor/receiver/Makefile', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/docs/Makefile', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/docs/environment.yml', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/docs/source/conf.py', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/docs/source/index.rst', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/netgen/run_lvs_por.sh', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/netgen/run_lvs_wrapper_xschem.sh', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/netgen/setup.tcl', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/netgen/run_lvs_ota.sh', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/.spiceinit', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/analog_wrapper_tb.sch', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/user_analog_project_wrapper.sch', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/user_analog_project_wrapper.sym', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/xschemrc', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/detector.sch']
+2022-03-25 03:35:32 - [INFO] - For the full SPDX compliance report check: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/logs/spdx_compliance_report.log
+2022-03-25 03:35:32 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-03-25 03:35:32 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-03-25 03:35:32 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-03-25 03:35:32 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-03-25 03:35:34 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-03-25 03:35:34 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-03-25 03:35:34 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-03-25 03:35:34 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-03-25 03:35:37 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-03-25 03:35:37 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-03-25 03:35:37 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-03-25 03:35:37 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-03-25 03:35:37 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-03-25 03:35:37 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-03-25 03:35:37 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (2 instances). 
+2022-03-25 03:35:37 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-03-25 03:35:37 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-03-25 03:35:37 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-03-25 03:35:37 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-03-25 03:35:37 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-03-25 03:35:42 - [INFO] - {{XOR CHECK UPDATE}} Total XOR differences: 0, for more details view /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper.xor.gds
+2022-03-25 03:35:42 - [INFO] - {{XOR CHECK PASSED}} The GDS file has no XOR violations.
+2022-03-25 03:35:42 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-03-25 03:35:43 - [INFO] - 0 DRC violations
+2022-03-25 03:35:43 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-03-25 03:35:43 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-03-25 03:35:46 - [INFO] - No DRC Violations found
+2022-03-25 03:35:46 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-03-25 03:35:46 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-03-25 03:35:50 - [INFO] - No DRC Violations found
+2022-03-25 03:35:50 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-03-25 03:35:50 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-03-25 03:35:53 - [INFO] - No DRC Violations found
+2022-03-25 03:35:53 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-03-25 03:35:53 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-03-25 03:35:54 - [INFO] - No DRC Violations found
+2022-03-25 03:35:54 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-03-25 03:35:54 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-03-25 03:35:56 - [INFO] - No DRC Violations found
+2022-03-25 03:35:56 - [INFO] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-03-25 03:35:56 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-03-25 03:35:57 - [INFO] - No DRC Violations found
+2022-03-25 03:35:57 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-03-25 03:35:57 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/logs'
+2022-03-25 03:35:57 - [INFO] - {{SUCCESS}} All Checks Passed !!!
diff --git a/precheck_results/25_MAR_2022___03_35_21/logs/spdx_compliance_report.log b/precheck_results/25_MAR_2022___03_35_21/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..7f2b415
--- /dev/null
+++ b/precheck_results/25_MAR_2022___03_35_21/logs/spdx_compliance_report.log
@@ -0,0 +1,51 @@
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/Makefile
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/docs/Makefile
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/docs/environment.yml
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/docs/source/conf.py
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/docs/source/index.rst
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/netgen/run_lvs_por.sh
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/netgen/run_lvs_wrapper_xschem.sh
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/netgen/setup.tcl
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/netgen/run_lvs_ota.sh
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/.spiceinit
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/analog_wrapper_tb.sch
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/user_analog_project_wrapper.sch
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/user_analog_project_wrapper.sym
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/xschemrc
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/detector.sch
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/detector.sym
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/OTA.sch
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/OTA.sym
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/Tb_OTA.sch
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/user_analog_project_wrapper1.sch
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/chipV1.sch
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/mag/otaV5_2.ext
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/mag/otaV5_2.res.ext
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/mag/.magicrc
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/mag/sky130_fd_pr__res_xhigh_po_0p35_HDW2JU.ext
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/mag/detV2.ext
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/mag/user_analog_project_wrapper.ext
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/mag/otaV5_2.nodes
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/mag/otaV5_2.sim
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/mag/otaV5.ext
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/24_MAR_2022___12_38_35/logs/gds.info
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/24_MAR_2022___12_38_35/logs/tools.info
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/24_MAR_2022___12_38_35/logs/pdks.info
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/24_MAR_2022___12_38_35/logs/xor_check.total
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/24_MAR_2022___12_38_35/logs/magic_drc_check.total
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/24_MAR_2022___12_38_35/logs/klayout_feol_check.total
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/24_MAR_2022___12_38_35/logs/klayout_beol_check.total
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/24_MAR_2022___12_38_35/logs/klayout_offgrid_check.total
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/24_MAR_2022___12_38_35/logs/klayout_met_min_ca_density_check.total
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/24_MAR_2022___12_38_35/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/24_MAR_2022___12_38_35/logs/klayout_zeroarea_check.total
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/24_MAR_2022___12_38_35/outputs/reports/magic_drc_check.drc.report
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/logs/gds.info
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/logs/tools.info
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/logs/pdks.info
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/pos_layout/otaV5.nodes
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/pos_layout/otaV5.res.ext
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/pos_layout/otaV5.sim
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/pos_layout/detV2.nodes
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/pos_layout/detV2.res.ext
+/home/wisla/sky130_skel/Myschematics/Receptor/receiver/pos_layout/detV2.sim
diff --git a/precheck_results/25_MAR_2022___03_35_21/logs/tools.info b/precheck_results/25_MAR_2022___03_35_21/logs/tools.info
new file mode 100644
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/25_MAR_2022___03_35_21/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/22_MAR_2022___00_12_27/logs/xor_check.log b/precheck_results/25_MAR_2022___03_35_21/logs/xor_check.log
similarity index 90%
rename from precheck_results/22_MAR_2022___00_12_27/logs/xor_check.log
rename to precheck_results/25_MAR_2022___03_35_21/logs/xor_check.log
index 814a8ca..4b53a52 100644
--- a/precheck_results/22_MAR_2022___00_12_27/logs/xor_check.log
+++ b/precheck_results/25_MAR_2022___03_35_21/logs/xor_check.log
@@ -1,4 +1,4 @@
-Reading file /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+Reading file /home/wisla/sky130_skel/Myschematics/Receptor/receiver/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
 dbu=0.001
 cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
 cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
@@ -7,7 +7,7 @@
 cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
 Done.
 
-Magic 8.3 revision 265 - Compiled on Tue Feb  8 15:12:01 UTC 2022.
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
 Starting magic under Tcl interpreter
 Using the terminal as the console.
 Using NULL graphics device.
@@ -26,9 +26,9 @@
 Warning: Calma reading is not undoable!  I hope that's OK.
 Library written using GDS-II Release 3.0
 Library name: user_analog_project_wrapper
-Reading "otaV5".
 Reading "sky130_fd_pr__res_xhigh_po_0p35_HDW2JU".
 Reading "detV2".
+Reading "otaV5".
 Reading "user_analog_project_wrapper".
 Root cell box:
            width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
@@ -56,7 +56,7 @@
 internal: 601076 x 7442    ( -8576,  704000), ( 592500,  711442)  4473207592
    Generating output for cell xor_target
 
-Magic 8.3 revision 265 - Compiled on Tue Feb  8 15:12:01 UTC 2022.
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
 Starting magic under Tcl interpreter
 Using the terminal as the console.
 Using NULL graphics device.
@@ -101,15 +101,15 @@
 lambda:   300538.00 x 3721.00  (-4288.00,  352000.00), ( 296250.00,  355721.00)  1118301952.00
 internal: 601076 x 7442    ( -8576,  704000), ( 592500,  711442)  4473207592
    Generating output for cell xor_target
-Reading /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper_erased.gds ..
-Reading /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper_empty_erased.gds ..
+Reading /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper_erased.gds ..
+Reading /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper_empty_erased.gds ..
 --- Running XOR for 69/20 ---
 "input" in: xor.rb.drc:39
     Polygons (raw): 494 (flat)  494 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 "input" in: xor.rb.drc:39
     Polygons (raw): 494 (flat)  494 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "^" in: xor.rb.drc:39
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
@@ -123,10 +123,10 @@
     Elapsed: 0.010s  Memory: 521.00M
 "input" in: xor.rb.drc:39
     Polygons (raw): 184 (flat)  184 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "^" in: xor.rb.drc:39
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 XOR differences: 0
 "output" in: xor.rb.drc:43
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -134,30 +134,30 @@
 --- Running XOR for 72/20 ---
 "input" in: xor.rb.drc:39
     Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "input" in: xor.rb.drc:39
     Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "^" in: xor.rb.drc:39
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
 XOR differences: 0
 "output" in: xor.rb.drc:43
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 --- Running XOR for 81/14 ---
 "input" in: xor.rb.drc:39
     Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 "input" in: xor.rb.drc:39
     Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
+    Elapsed: 0.010s  Memory: 521.00M
 "^" in: xor.rb.drc:39
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
+    Elapsed: 0.000s  Memory: 521.00M
 XOR differences: 0
 "output" in: xor.rb.drc:43
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.010s  Memory: 521.00M
-Writing layout file: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper.xor.gds ..
-Total elapsed: 0.120s  Memory: 521.00M
+Writing layout file: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper.xor.gds ..
+Total elapsed: 0.140s  Memory: 521.00M
diff --git a/precheck_results/20_MAR_2022___23_50_15/logs/xor_check.total b/precheck_results/25_MAR_2022___03_35_21/logs/xor_check.total
similarity index 100%
rename from precheck_results/20_MAR_2022___23_50_15/logs/xor_check.total
rename to precheck_results/25_MAR_2022___03_35_21/logs/xor_check.total
diff --git a/precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_beol_check.xml b/precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_beol_check.xml
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_beol_check.xml
rename to precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_beol_check.xml
diff --git a/precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_feol_check.xml b/precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_feol_check.xml
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_feol_check.xml
rename to precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_feol_check.xml
diff --git a/precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_met_min_ca_density_check.xml
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_met_min_ca_density_check.xml
rename to precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_met_min_ca_density_check.xml
diff --git a/precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_offgrid_check.xml b/precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_offgrid_check.xml
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_offgrid_check.xml
rename to precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_offgrid_check.xml
diff --git a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
similarity index 78%
rename from precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
rename to precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
index 711a154..46cbaa4 100644
--- a/precheck_results/22_MAR_2022___00_12_27/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+++ b/precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -1,6 +1,6 @@
 <?xml version="1.0" encoding="utf-8"?>
 <report-database>
- <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/wisla/sky130_skel/Myschematics/Receptor/my_chip/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/wisla/sky130_skel/Myschematics/Receptor/receiver/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
  <original-file/>
  <generator>drc: script='/home/wisla/mpw_precheck/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
  <top-cell>user_analog_project_wrapper</top-cell>
diff --git a/precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_zeroarea_check.xml
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/outputs/reports/klayout_zeroarea_check.xml
rename to precheck_results/25_MAR_2022___03_35_21/outputs/reports/klayout_zeroarea_check.xml
diff --git a/precheck_results/20_MAR_2022___22_53_12/outputs/reports/magic_drc_check.drc.report b/precheck_results/25_MAR_2022___03_35_21/outputs/reports/magic_drc_check.drc.report
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/outputs/reports/magic_drc_check.drc.report
rename to precheck_results/25_MAR_2022___03_35_21/outputs/reports/magic_drc_check.drc.report
diff --git a/precheck_results/20_MAR_2022___22_53_12/outputs/reports/magic_drc_check.rdb b/precheck_results/25_MAR_2022___03_35_21/outputs/reports/magic_drc_check.rdb
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/outputs/reports/magic_drc_check.rdb
rename to precheck_results/25_MAR_2022___03_35_21/outputs/reports/magic_drc_check.rdb
diff --git a/precheck_results/20_MAR_2022___22_53_12/outputs/reports/magic_drc_check.tcl b/precheck_results/25_MAR_2022___03_35_21/outputs/reports/magic_drc_check.tcl
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/outputs/reports/magic_drc_check.tcl
rename to precheck_results/25_MAR_2022___03_35_21/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/20_MAR_2022___22_53_12/outputs/reports/magic_drc_check.tr b/precheck_results/25_MAR_2022___03_35_21/outputs/reports/magic_drc_check.tr
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/outputs/reports/magic_drc_check.tr
rename to precheck_results/25_MAR_2022___03_35_21/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/20_MAR_2022___22_53_12/outputs/reports/magic_drc_check.xml b/precheck_results/25_MAR_2022___03_35_21/outputs/reports/magic_drc_check.xml
similarity index 100%
rename from precheck_results/20_MAR_2022___22_53_12/outputs/reports/magic_drc_check.xml
rename to precheck_results/25_MAR_2022___03_35_21/outputs/reports/magic_drc_check.xml
diff --git a/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper.magic.drc.mag
similarity index 86%
rename from precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper.magic.drc.mag
rename to precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper.magic.drc.mag
index 65ee024..d917303 100644
--- a/precheck_results/22_MAR_2022___00_12_27/outputs/user_analog_project_wrapper.magic.drc.mag
+++ b/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -1,76 +1,74 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647907956
+timestamp 1648179343
 << checkpaint >>
 rect -4732 -4732 588732 708732
 << locali >>
-rect 442020 542442 444020 554994
-rect 442002 541994 444020 542442
-rect 442002 541765 444016 541994
-rect 442002 540579 442325 541765
-rect 443583 540579 444016 541765
-rect 442002 539980 444016 540579
+rect 446862 570950 447464 574214
+rect 446138 570555 448482 570950
+rect 446138 569297 446577 570555
+rect 447979 569297 448482 570555
+rect 446138 568944 448482 569297
 << viali >>
-rect 442325 540579 443583 541765
+rect 446577 569297 447979 570555
 << metal1 >>
-rect 127396 646383 129224 646596
-rect 127396 645627 127704 646383
-rect 129036 645627 129224 646383
-rect 127396 645404 129224 645627
-rect 106068 636344 109028 636556
-rect 106068 635716 106966 636344
-rect 108298 635716 109028 636344
-rect 106068 635558 109028 635716
-rect 108626 634866 109026 635558
-rect 108626 634674 109030 634866
-rect 108630 540536 109030 634674
-rect 128248 555694 128648 645404
-rect 441386 589140 444100 589444
-rect 441386 588192 442021 589140
-rect 443289 588192 444100 589140
-rect 441386 587872 444100 588192
-rect 442200 578176 443200 587872
-rect 442002 541765 444016 542442
-rect 442002 540579 442325 541765
-rect 443583 540579 444016 541765
-rect 108628 539944 128626 540536
-rect 442002 539980 444016 540579
+rect 445694 606617 448372 607200
+rect 445694 605029 446391 606617
+rect 447659 605029 448372 606617
+rect 445694 604412 448372 605029
+rect 446458 597684 447440 604412
+rect 201422 595862 203022 596084
+rect 201422 595234 201843 595862
+rect 202599 595234 203022 595862
+rect 201422 595022 203022 595234
+rect 201932 591982 202334 595022
+rect 201934 587364 202334 591982
+rect 201934 587202 202336 587364
+rect 201936 582364 202336 587202
+rect 446138 570560 448482 570950
+rect 446138 569292 446548 570560
+rect 448008 569292 448482 570560
+rect 446138 568944 448482 569292
+rect 201342 567241 203142 567536
+rect 201342 566293 201768 567241
+rect 202652 566293 203142 567241
+rect 201342 565940 203142 566293
 << via1 >>
-rect 127704 645627 129036 646383
-rect 106966 635716 108298 636344
-rect 442021 588192 443289 589140
-rect 442352 540602 443556 541742
+rect 446391 605029 447659 606617
+rect 201843 595234 202599 595862
+rect 446548 570555 448008 570560
+rect 446548 569297 446577 570555
+rect 446577 569297 447979 570555
+rect 447979 569297 448008 570555
+rect 446548 569292 448008 569297
+rect 201768 566293 202652 567241
 << metal2 >>
-rect 36484 687118 38484 687998
-rect 36484 685142 36983 687118
-rect 37999 685142 38484 687118
-rect 36484 683998 38484 685142
-rect 37116 565254 38116 683998
-rect 127396 646393 129224 646596
-rect 127396 645617 127702 646393
-rect 129038 645617 129224 646393
-rect 127396 645404 129224 645617
-rect 106068 636344 109028 636556
-rect 106068 636338 106966 636344
-rect 108298 636338 109028 636344
-rect 106068 635722 106964 636338
-rect 108300 635722 109028 636338
-rect 106068 635716 106966 635722
-rect 108298 635716 109028 635722
-rect 106068 635558 109028 635716
-rect 441386 589140 444100 589444
-rect 441386 588192 442021 589140
-rect 443289 588192 444100 589140
-rect 441386 587872 444100 588192
-rect 37116 564256 127130 565254
-rect 37116 564254 127136 564256
-rect 37116 564236 38116 564254
-rect 126936 555256 127136 564254
-rect 442002 541760 444016 542442
-rect 442002 540584 442326 541760
-rect 443582 540584 444016 541760
-rect 442002 539980 444016 540584
+rect 445694 606617 448372 607200
+rect 445694 605029 446391 606617
+rect 447659 605029 448372 606617
+rect 445694 604412 448372 605029
+rect 201422 595862 203022 596084
+rect 201422 595856 201843 595862
+rect 202599 595856 203022 595862
+rect 201422 595240 201833 595856
+rect 202609 595240 203022 595856
+rect 201422 595234 201843 595240
+rect 202599 595234 203022 595240
+rect 201422 595022 203022 595234
+rect 199918 591128 201068 591452
+rect 199918 590432 200142 591128
+rect 200838 590432 201068 591128
+rect 199918 590102 201068 590432
+rect 200622 582142 200822 590102
+rect 446138 570560 448482 570950
+rect 446138 569292 446548 570560
+rect 448008 569292 448482 570560
+rect 446138 568944 448482 569292
+rect 201342 567241 203142 567536
+rect 201342 566293 201768 567241
+rect 202652 566293 203142 567241
+rect 201342 565940 203142 566293
 rect 524 -800 636 480
 rect 1706 -800 1818 480
 rect 2888 -800 3000 480
@@ -566,21 +564,13 @@
 rect 582068 -800 582180 480
 rect 583250 -800 583362 480
 << via2 >>
-rect 36983 685142 37999 687118
-rect 127702 646383 129038 646393
-rect 127702 645627 127704 646383
-rect 127704 645627 129036 646383
-rect 129036 645627 129038 646383
-rect 127702 645617 129038 645627
-rect 106964 635722 106966 636338
-rect 106966 635722 108298 636338
-rect 108298 635722 108300 636338
-rect 442027 588198 443283 589134
-rect 442326 541742 443582 541760
-rect 442326 540602 442352 541742
-rect 442352 540602 443556 541742
-rect 443556 540602 443582 541742
-rect 442326 540584 443582 540602
+rect 446397 605035 447653 606611
+rect 201833 595240 201843 595856
+rect 201843 595240 202599 595856
+rect 202599 595240 202609 595856
+rect 200142 590432 200838 591128
+rect 446570 569298 447986 570554
+rect 201782 566299 202638 567235
 << metal3 >>
 rect 16194 702300 21194 704800
 rect 68194 702300 73194 704800
@@ -601,118 +591,129 @@
 rect 465394 702300 470394 704800
 rect 510594 702340 515394 704800
 rect 520594 702340 525394 704800
-rect 18044 687940 19044 702300
-rect 69956 697458 70956 702300
-rect 69956 694664 70966 697458
-rect 122034 697288 123034 702300
-rect 122034 696934 123036 697288
-rect 36484 687940 38484 687998
-rect 18044 687118 38484 687940
-rect 69966 687850 70966 694664
-rect 122036 690424 123036 696934
-rect 122034 689424 139334 690424
-rect 122036 689422 123036 689424
-rect 138326 689422 139334 689424
-rect 18044 686940 36983 687118
-rect -800 682864 1700 685242
-rect 36484 685142 36983 686940
-rect 37999 685142 38484 687118
-rect 69964 687322 70966 687850
-rect 69964 686446 70964 687322
-rect 36484 683998 38484 685142
-rect 69962 683850 70964 686446
-rect 69962 683550 70962 683850
-rect 69962 683256 76172 683550
-rect 26658 682864 28658 682972
-rect -800 682040 28658 682864
-rect 69962 682712 71146 683256
-rect 74970 682712 76172 683256
-rect 69962 682446 76172 682712
-rect -800 680242 1700 682040
-rect 26658 681999 28658 682040
-rect 26658 679935 27138 681999
-rect 28162 679935 28658 681999
-rect 26658 678772 28658 679935
-rect 138326 669124 139326 689422
-rect 138326 664144 139354 669124
-rect 414998 668038 416998 702300
-rect -800 646616 1660 648642
-rect -800 646608 121246 646616
-rect -800 646596 127402 646608
-rect -800 646393 129224 646596
-rect -800 645617 127702 646393
-rect 129038 645617 129224 646393
-rect -800 645616 129224 645617
-rect -800 643842 1660 645616
-rect 121006 645596 129224 645616
-rect 127396 645404 129224 645596
-rect -800 636562 1660 638642
-rect 105152 636562 108146 636568
-rect -800 636556 108146 636562
-rect -800 636338 109028 636556
-rect -800 635722 106964 636338
-rect 108300 635722 109028 636338
-rect -800 635570 109028 635722
-rect -800 635562 105420 635570
-rect -800 633842 1660 635562
-rect 106068 635558 109028 635570
-rect -800 559442 1660 564242
-rect 26530 559150 28530 559782
-rect 26530 556846 26841 559150
-rect 28185 556846 28530 559150
-rect 26530 556776 28530 556846
-rect 26528 555776 126528 556776
-rect -800 552306 1660 554242
-rect -800 551162 12376 552306
-rect -800 549442 1660 551162
-rect 11352 546382 12330 551162
-rect 138354 549124 139354 664144
-rect 414996 667139 416998 668038
-rect 414996 664995 415507 667139
-rect 416531 666982 416998 667139
-rect 416531 664995 416990 666982
-rect 414996 663620 416990 664995
-rect 467004 650004 469004 702300
-rect 512284 693440 513370 702340
-rect 521998 702336 523998 702340
+rect 18212 693240 19212 702300
+rect 70368 696872 71362 702300
+rect 69660 696331 72484 696872
+rect 69660 694907 70191 696331
+rect 71775 694907 72484 696331
+rect 69660 694364 72484 694907
+rect 17394 692597 20562 693240
+rect 17394 690693 17875 692597
+rect 19779 690693 20562 692597
+rect 17394 689914 20562 690693
+rect -800 683206 1700 685242
+rect -800 682206 59012 683206
+rect -800 680242 1700 682206
+rect 58012 678268 59012 682206
+rect 58012 677592 59016 678268
+rect -800 646740 1660 648642
+rect -800 646718 49814 646740
+rect -800 645740 49834 646718
+rect -800 643842 1660 645740
+rect -800 636544 1660 638642
+rect 48834 637342 49834 645740
+rect 47692 636659 50464 637342
+rect 47692 636544 48304 636659
+rect -800 635544 48304 636544
+rect -800 633842 1660 635544
+rect 47692 634835 48304 635544
+rect 49808 634835 50464 636659
+rect 47692 634060 50464 634835
+rect 58016 583270 59016 677592
+rect 122172 598314 123172 702300
+rect 415378 690218 416378 702300
+rect 414444 689478 417320 690218
+rect 414444 687574 415333 689478
+rect 416597 687574 417320 689478
+rect 414444 686780 417320 687574
+rect 467264 687942 468264 702300
+rect 512508 694762 513508 702340
+rect 510296 694224 514662 694762
+rect 522468 694224 523468 702340
 rect 566594 702300 571594 704800
-rect 511854 693045 513854 693440
-rect 511854 691941 512266 693045
-rect 513370 691941 513854 693045
-rect 511854 691440 513854 691941
-rect 567992 668996 569992 702300
+rect 568560 697348 569560 702300
+rect 510296 693971 523476 694224
+rect 510296 692067 511227 693971
+rect 513611 693224 523476 693971
+rect 513611 692067 514662 693224
+rect 510296 691044 514662 692067
+rect 568560 691702 569564 697348
+rect 568564 688620 569564 691702
+rect 474150 688003 476888 688440
+rect 474150 687942 474812 688003
+rect 467264 686942 474812 687942
+rect 474150 686579 474812 686942
+rect 476316 686579 476888 688003
+rect 474150 686024 476888 686579
+rect 566866 687989 570770 688620
+rect 566866 686405 568004 687989
+rect 569748 686405 570770 687989
+rect 566866 685874 570770 686405
 rect 582300 677984 584800 682984
-rect 499990 668678 569992 668996
-rect 499990 667334 500323 668678
-rect 501667 667334 569992 668678
-rect 499990 666996 569992 667334
-rect 467004 649288 469006 650004
-rect 467004 647422 467490 649288
-rect 467006 646584 467490 647422
-rect 468434 646584 469006 649288
-rect 467006 646004 469006 646584
-rect 582340 639784 584800 644584
-rect 582340 629784 584800 634584
+rect 540218 649413 543412 650066
+rect 540218 647749 540949 649413
+rect 542613 647749 543412 649413
+rect 540218 647096 543412 647749
+rect 541374 642614 542374 647096
+rect 582340 642614 584800 644584
+rect 541374 641614 584800 642614
+rect 541374 632648 542366 641614
+rect 582340 639784 584800 641614
+rect 582340 632648 584800 634584
+rect 541374 631648 584800 632648
+rect 541374 631634 542366 631648
+rect 582340 629784 584800 631648
+rect 445694 606615 448372 607200
+rect 445694 605031 446393 606615
+rect 447657 605031 448372 606615
+rect 445694 604412 448372 605031
+rect 122174 598030 123172 598314
+rect 122174 597030 212042 598030
+rect 122174 597026 123172 597030
+rect 201422 595860 203022 596084
+rect 201422 595236 201829 595860
+rect 202613 595236 203022 595860
+rect 201422 595022 203022 595236
+rect 199918 591132 201068 591452
+rect 199918 590428 200138 591132
+rect 200842 590428 201068 591132
+rect 199918 590102 201068 590428
+rect 58014 582270 200216 583270
+rect 58016 582268 59016 582270
+rect 211042 575776 212042 597030
 rect 583520 589472 584800 589584
-rect 441386 589138 444100 589444
-rect 441386 588194 442023 589138
-rect 443287 588194 444100 589138
 rect 583520 588290 584800 588402
-rect 441386 587872 444100 588194
 rect 583520 587108 584800 587220
 rect 583520 585926 584800 586038
 rect 583520 584744 584800 584856
 rect 583520 583562 584800 583674
+rect 446138 570558 448482 570950
+rect 446138 569294 446566 570558
+rect 447990 569294 448482 570558
+rect 446138 568944 448482 569294
+rect 201342 567239 203142 567536
+rect 201342 566295 201778 567239
+rect 202642 566295 203142 567239
+rect 201342 565940 203142 566295
+rect 211000 564251 213816 564878
+rect -800 562294 1660 564242
+rect 211000 563147 211553 564251
+rect 213137 563147 213816 564251
+rect 211000 562478 213816 563147
+rect -800 562250 40436 562294
+rect -800 561294 40450 562250
+rect -800 559442 1660 561294
+rect -800 551988 1660 554242
+rect 39450 553478 40450 561294
+rect 38142 552092 42012 553478
+rect 38142 552090 201728 552092
+rect 211832 552090 212842 562478
+rect 38142 551988 212848 552090
+rect -800 551092 212848 551988
+rect -800 550988 42012 551092
+rect -800 549442 1660 550988
+rect 38142 549844 42012 550988
 rect 582340 550562 584800 555362
-rect 11350 546334 12330 546382
-rect 11350 546017 12328 546334
-rect 11350 545393 11523 546017
-rect 12067 545393 12328 546017
-rect 11350 544844 12328 545393
-rect 442002 541764 444016 542442
-rect 442002 540580 442322 541764
-rect 443586 540580 444016 541764
-rect 442002 539980 444016 540580
 rect 582340 540562 584800 545362
 rect -800 511530 480 511642
 rect -800 510348 480 510460
@@ -863,24 +864,40 @@
 rect -800 1544 480 1656
 rect 583520 1544 584800 1656
 << via3 >>
-rect 71146 682712 74970 683256
-rect 27138 679935 28162 681999
-rect 26841 556846 28185 559150
-rect 415507 664995 416531 667139
-rect 512266 691941 513370 693045
-rect 500323 667334 501667 668678
-rect 467490 646584 468434 649288
-rect 442023 589134 443287 589138
-rect 442023 588198 442027 589134
-rect 442027 588198 443283 589134
-rect 443283 588198 443287 589134
-rect 442023 588194 443287 588198
-rect 11523 545393 12067 546017
-rect 442322 541760 443586 541764
-rect 442322 540584 442326 541760
-rect 442326 540584 443582 541760
-rect 443582 540584 443586 541760
-rect 442322 540580 443586 540584
+rect 70191 694907 71775 696331
+rect 17875 690693 19779 692597
+rect 48304 634835 49808 636659
+rect 415333 687574 416597 689478
+rect 511227 692067 513611 693971
+rect 474812 686579 476316 688003
+rect 568004 686405 569748 687989
+rect 540949 647749 542613 649413
+rect 446393 606611 447657 606615
+rect 446393 605035 446397 606611
+rect 446397 605035 447653 606611
+rect 447653 605035 447657 606611
+rect 446393 605031 447657 605035
+rect 201829 595856 202613 595860
+rect 201829 595240 201833 595856
+rect 201833 595240 202609 595856
+rect 202609 595240 202613 595856
+rect 201829 595236 202613 595240
+rect 200138 591128 200842 591132
+rect 200138 590432 200142 591128
+rect 200142 590432 200838 591128
+rect 200838 590432 200842 591128
+rect 200138 590428 200842 590432
+rect 446566 570554 447990 570558
+rect 446566 569298 446570 570554
+rect 446570 569298 447986 570554
+rect 447986 569298 447990 570554
+rect 446566 569294 447990 569298
+rect 201778 567235 202642 567239
+rect 201778 566299 201782 567235
+rect 201782 566299 202638 567235
+rect 202638 566299 202642 567235
+rect 201778 566295 202642 566299
+rect 211553 563147 213137 564251
 << metal4 >>
 rect 165594 702300 170594 704800
 rect 175894 702300 180894 704800
@@ -888,83 +905,138 @@
 rect 227594 702300 232594 704800
 rect 318994 702300 323994 704800
 rect 329294 702300 334294 704800
-rect 511854 693045 513854 693440
-rect 511854 691941 512266 693045
-rect 513370 691941 513854 693045
-rect 511854 691440 513854 691941
-rect 69962 683256 125762 683550
-rect 26658 681999 28658 682972
-rect 69962 682712 71146 683256
-rect 74970 682712 125762 683256
-rect 69962 682638 125762 682712
-rect 69962 682550 125764 682638
-rect 69962 682446 76172 682550
-rect 26658 679935 27138 681999
-rect 28162 679935 28658 681999
-rect 26658 678772 28658 679935
-rect 27038 559782 28038 678772
-rect 26530 559150 28530 559782
-rect 26530 556846 26841 559150
-rect 28185 556846 28530 559150
-rect 26530 555782 28530 556846
-rect 11350 546017 12328 546382
-rect 11350 545948 11523 546017
-rect 11324 545393 11523 545948
-rect 12067 545393 12328 546017
-rect 11324 544844 12328 545393
-rect 11324 539042 12324 544844
-rect 125164 544414 125764 682550
-rect 499990 668678 501990 669004
-rect 414996 667139 416990 668038
-rect 414996 664995 415507 667139
-rect 416531 664995 416990 667139
-rect 414996 663978 416990 664995
-rect 499990 667334 500323 668678
-rect 501667 667334 501990 668678
-rect 414994 548238 416994 663978
-rect 467006 649288 469006 650004
-rect 467006 646584 467490 649288
-rect 468434 647988 469006 649288
-rect 468434 646584 469010 647988
-rect 467006 646004 469010 646584
-rect 441386 589138 444100 589444
-rect 441386 588194 442023 589138
-rect 443287 588194 444100 589138
-rect 441386 587872 444100 588194
-rect 467010 579988 469004 646004
-rect 499990 610278 501990 667334
-rect 499990 609004 501996 610278
-rect 414994 547982 417044 548238
-rect 427434 547982 429434 555982
-rect 414994 545982 429434 547982
-rect 450004 547988 452004 555988
-rect 467010 547988 469010 579988
-rect 499996 556056 501996 609004
-rect 499996 551970 502018 556056
-rect 450004 545988 469010 547988
-rect 466008 545980 467016 545988
-rect 125164 544214 125968 544414
-rect 137976 544288 142072 545454
-rect 141074 544272 142072 544288
-rect 125164 544212 125764 544214
-rect 141074 539042 142074 544272
-rect 500018 542558 502018 551970
-rect 442002 541994 444016 542442
-rect 500016 542056 502018 542558
-rect 500016 541996 502000 542056
-rect 461000 541994 502000 541996
-rect 442002 541764 502000 541994
-rect 442002 540580 442322 541764
-rect 443586 540580 502000 541764
-rect 442002 539996 502000 540580
-rect 442002 539994 462020 539996
-rect 442002 539980 444016 539994
-rect 11324 538042 142074 539042
-rect 11324 537948 20720 538042
-rect 12074 537946 20720 537948
+rect 69660 696331 72484 696872
+rect 69660 694907 70191 696331
+rect 71775 694907 72484 696331
+rect 69660 694364 72484 694907
+rect 510296 693971 514662 694762
+rect 510296 693578 511227 693971
+rect 17394 692597 20562 693240
+rect 17394 690693 17875 692597
+rect 19779 691474 20562 692597
+rect 446448 692578 511227 693578
+rect 19779 691398 64632 691474
+rect 19779 690693 64640 691398
+rect 17394 690472 64640 690693
+rect 17394 689914 20562 690472
+rect 47692 636659 50464 637342
+rect 47692 634835 48304 636659
+rect 49808 634835 50464 636659
+rect 47692 634060 50464 634835
+rect 48668 558024 49616 634060
+rect 63640 591266 64640 690472
+rect 414444 689478 417320 690218
+rect 414444 687574 415333 689478
+rect 416597 687574 417320 689478
+rect 414444 686780 417320 687574
+rect 415416 609170 416416 686780
+rect 446448 685304 447448 692578
+rect 510296 692067 511227 692578
+rect 513611 692067 514662 693971
+rect 510296 691044 514662 692067
+rect 474150 688003 476888 688440
+rect 474150 686579 474812 688003
+rect 476316 686579 476888 688003
+rect 566866 687989 570770 688620
+rect 566866 687614 568004 687989
+rect 498116 686614 568004 687614
+rect 474150 686024 476888 686579
+rect 446448 683012 447454 685304
+rect 415414 608870 416416 609170
+rect 415414 608644 416414 608870
+rect 201422 595860 203022 596084
+rect 201422 595236 201829 595860
+rect 202613 595236 203022 595860
+rect 201422 595022 203022 595236
+rect 199918 591266 201068 591452
+rect 63640 591132 201068 591266
+rect 63640 590428 200138 591132
+rect 200842 590428 201068 591132
+rect 63640 590266 201068 590428
+rect 63640 590262 64640 590266
+rect 199918 590102 201068 590266
+rect 415416 576080 416414 608644
+rect 446454 607200 447454 683012
+rect 445694 606615 448372 607200
+rect 445694 605031 446393 606615
+rect 447657 605031 448372 606615
+rect 445694 604412 448372 605031
+rect 443276 599336 444118 599550
+rect 443276 599100 443616 599336
+rect 443852 599100 444118 599336
+rect 443276 599042 444118 599100
+rect 443276 599016 445772 599042
+rect 443276 598780 443616 599016
+rect 443852 598922 445772 599016
+rect 443852 598780 444118 598922
+rect 443276 598514 444118 598780
+rect 445678 598326 445768 598922
+rect 474816 576080 475814 686024
+rect 498122 685960 499098 686614
+rect 498110 685584 499098 685960
+rect 566866 686405 568004 686614
+rect 569748 686405 570770 687989
+rect 566866 685874 570770 686405
+rect 498110 680762 499086 685584
+rect 415416 575080 430616 576080
+rect 455416 575080 475814 576080
+rect 498082 677992 499086 680762
+rect 198528 571424 199860 571920
+rect 198528 571188 199050 571424
+rect 199286 571188 199860 571424
+rect 198528 571104 199860 571188
+rect 198528 570868 199050 571104
+rect 199286 570868 199860 571104
+rect 198528 570504 199860 570868
+rect 201342 567239 203142 567536
+rect 201342 566295 201778 567239
+rect 202642 566295 203142 567239
+rect 201342 565940 203142 566295
+rect 201678 558068 202676 565940
+rect 211834 564878 212832 572604
+rect 446138 570558 448482 570950
+rect 446138 569294 446566 570558
+rect 447990 570296 448482 570558
+rect 447990 570284 453082 570296
+rect 498082 570286 499080 677992
+rect 540218 649413 543412 650066
+rect 540218 647749 540949 649413
+rect 542613 647749 543412 649413
+rect 540218 647096 543412 647749
+rect 475748 570284 499080 570286
+rect 447990 569294 499080 570284
+rect 446138 568944 448482 569294
+rect 452946 569286 499080 569294
+rect 452946 569284 476274 569286
+rect 498082 569282 499080 569286
+rect 211000 564251 213816 564878
+rect 211000 563147 211553 564251
+rect 213137 563147 213816 564251
+rect 211000 562478 213816 563147
+rect 366028 558937 370428 560142
+rect 353756 558086 355300 558090
+rect 366028 558086 367321 558937
+rect 353756 558068 367321 558086
+rect 199646 558024 367321 558068
+rect 48668 557814 367321 558024
+rect 48668 557086 367112 557814
+rect 48668 557068 355300 557086
+rect 48668 557024 199878 557068
+rect 353756 557064 355300 557068
+rect 48668 557020 49616 557024
+rect 367238 556814 367321 557814
+rect 366028 556781 367321 556814
+rect 369157 556781 370428 558937
+rect 366028 555826 370428 556781
 << via4 >>
-rect 512380 692055 513256 692931
-rect 442057 588228 443253 589104
+rect 70225 695021 71741 696217
+rect 201943 595270 202499 595826
+rect 443616 599100 443852 599336
+rect 443616 598780 443852 599016
+rect 199050 571188 199286 571424
+rect 199050 570868 199286 571104
+rect 541023 647823 542539 649339
+rect 367321 556781 369157 558937
 << metal5 >>
 rect 165594 702300 170594 704800
 rect 175894 702300 180894 704800
@@ -972,27 +1044,58 @@
 rect 227594 702300 232594 704800
 rect 318994 702300 323994 704800
 rect 329294 702300 334294 704800
-rect 511854 692931 513854 693440
-rect 511854 692055 512380 692931
-rect 513256 692055 513854 692931
-rect 511854 691440 513854 692055
-rect 512216 680604 513312 691440
-rect 442110 679698 513498 680604
-rect 442128 675420 443128 679698
-rect 512216 679692 513312 679698
-rect 442114 674700 443128 675420
-rect 442114 589444 443114 674700
-rect 441386 589104 444100 589444
-rect 441386 588228 442057 589104
-rect 443253 588228 444100 589104
-rect 441386 587872 444100 588228
+rect 69660 696217 72484 696872
+rect 69660 695021 70225 696217
+rect 71741 695740 72484 696217
+rect 88756 695740 89734 695746
+rect 71741 695021 89748 695740
+rect 69660 694734 89748 695021
+rect 69660 694364 72484 694734
+rect 88756 693770 89734 694734
+rect 88750 693416 89734 693770
+rect 88750 666696 89732 693416
+rect 88750 662868 89778 666696
+rect 88778 571708 89778 662868
+rect 540218 649339 543412 650066
+rect 540218 649208 541023 649339
+rect 201766 648208 541023 649208
+rect 201770 596084 202696 648208
+rect 540218 647823 541023 648208
+rect 542539 647823 543412 649339
+rect 540218 647096 543412 647823
+rect 368054 599336 444126 599546
+rect 368054 599100 443616 599336
+rect 443852 599100 444126 599336
+rect 368054 599016 444126 599100
+rect 368054 598780 443616 599016
+rect 443852 598780 444126 599016
+rect 368054 598514 444126 598780
+rect 201422 595826 203022 596084
+rect 201422 595270 201943 595826
+rect 202499 595270 203022 595826
+rect 201422 595022 203022 595270
+rect 198528 571708 199860 571920
+rect 88778 571424 199860 571708
+rect 88778 571188 199050 571424
+rect 199286 571188 199860 571424
+rect 88778 571104 199860 571188
+rect 88778 570868 199050 571104
+rect 199286 570868 199860 571104
+rect 88778 570708 199860 570868
+rect 88778 570696 89778 570708
+rect 198528 570504 199860 570708
+rect 368054 560142 368980 598514
+rect 366028 558937 370428 560142
+rect 366028 556781 367321 558937
+rect 369157 556781 370428 558937
+rect 366028 555826 370428 556781
 use detV2  detV2_0
-timestamp 1647894736
-transform 1 0 488900 0 1 581290
-box -64974 -26942 -25500 -2964
+timestamp 1648167033
+transform 1 0 492978 0 1 600834
+box -64974 -26942 -25500 -2417
 use otaV5  otaV5_0
 timestamp 1647884733
-transform 1 0 120336 0 1 542756
+transform 1 0 194022 0 1 569416
 box 5516 -2806 18020 13022
 << labels >>
 flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1400 0 0 0 gpio_analog[0]
diff --git a/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper.xor.gds b/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper.xor.gds
new file mode 100644
index 0000000..b1bbafb
--- /dev/null
+++ b/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper.xor.gds
Binary files differ
diff --git a/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper_empty_erased.gds
similarity index 99%
rename from precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper_empty_erased.gds
rename to precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper_empty_erased.gds
index 4901f18..4213e2e 100644
--- a/precheck_results/20_MAR_2022___22_53_12/outputs/user_analog_project_wrapper_empty_erased.gds
+++ b/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper_empty_erased.gds
Binary files differ
diff --git a/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper_erased.gds
similarity index 99%
rename from precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper_erased.gds
rename to precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper_erased.gds
index a7df704..4213e2e 100644
--- a/precheck_results/20_MAR_2022___23_50_15/outputs/user_analog_project_wrapper_erased.gds
+++ b/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper_erased.gds
Binary files differ
diff --git a/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..6980fce
--- /dev/null
+++ b/precheck_results/25_MAR_2022___03_35_21/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/xschem/OTA.sch b/xschem/OTA.sch
index 57c2ba6..acd120a 100644
--- a/xschem/OTA.sch
+++ b/xschem/OTA.sch
@@ -25,21 +25,16 @@
 N 230 150 230 200 { lab=#net2}
 N 60 -90 120 -90 { lab=#net1}
 N 120 -140 120 -90 { lab=#net1}
-N 60 230 60 280 { lab=Vn}
-N 60 280 580 280 { lab=Vn}
-N 580 230 580 280 { lab=Vn}
-N 300 230 300 280 { lab=Vn}
-N 460 230 460 280 { lab=Vn}
-N 300 200 330 200 { lab=Vn}
-N 330 200 330 280 { lab=Vn}
-N 430 200 460 200 { lab=Vn}
-N 430 200 430 280 { lab=Vn}
-N 20 200 60 200 { lab=Vn}
-N 20 200 20 280 { lab=Vn}
-N 20 280 60 280 { lab=Vn}
-N 580 200 610 200 { lab=Vn}
-N 610 200 610 280 { lab=Vn}
-N 580 280 610 280 { lab=Vn}
+N 60 230 60 280 { lab=#net4}
+N 60 280 580 280 { lab=#net4}
+N 580 230 580 280 { lab=#net4}
+N 300 230 300 280 { lab=#net4}
+N 460 230 460 280 { lab=#net4}
+N 300 200 330 200 { lab=#net4}
+N 430 200 460 200 { lab=#net4}
+N 20 200 60 200 { lab=#net4}
+N 20 200 20 280 { lab=#net4}
+N 580 200 610 200 { lab=#net4}
 N 580 -140 620 -140 { lab=Vp}
 N 620 -200 620 -140 { lab=Vp}
 N 580 -200 620 -200 { lab=Vp}
@@ -50,11 +45,11 @@
 N 440 100 460 100 { lab=Vp}
 N 320 100 350 100 { lab=Vp}
 N 350 100 440 100 { lab=Vp}
-N 330 10 330 50 { lab=#net4}
-N 300 50 330 50 { lab=#net4}
-N 300 50 300 70 { lab=#net4}
-N 330 50 460 50 { lab=#net4}
-N 460 50 460 70 { lab=#net4}
+N 330 10 330 50 { lab=#net5}
+N 300 50 330 50 { lab=#net5}
+N 300 50 300 70 { lab=#net5}
+N 330 50 460 50 { lab=#net5}
+N 460 50 460 70 { lab=#net5}
 N 300 130 300 170 { lab=#net2}
 N 230 150 300 150 { lab=#net2}
 N 460 130 460 150 { lab=#net3}
@@ -66,7 +61,7 @@
 N 580 20 650 20 { lab=vout}
 N 170 -200 170 -50 { lab=Vp}
 N 330 -200 330 -50 { lab=Vp}
-N 370 280 370 300 { lab=Vn}
+N 370 280 370 300 { lab=#net4}
 N 170 10 170 40 { lab=ib}
 N 280 -230 280 -200 { lab=Vp}
 N 420 -200 420 100 {
@@ -75,6 +70,16 @@
 lab=vout}
 N 670 90 680 90 {
 lab=cltop}
+N 610 200 610 280 {
+lab=#net4}
+N 580 280 610 280 {
+lab=#net4}
+N 430 200 430 280 {
+lab=#net4}
+N 330 200 330 280 {
+lab=#net4}
+N 20 280 60 280 {
+lab=#net4}
 C {/home/wisla/sky130_skel/xschem_sky130/sky130_fd_pr/pfet_01v8.sym} 80 -140 0 1 {name=M7
 L=1
 W=1.4
@@ -218,8 +223,8 @@
 C {/edatools/opentools/xschem/xschem_library/devices/ipin.sym} 250 100 0 0 {name=p3 lab=inp}
 C {/edatools/opentools/xschem/xschem_library/devices/ipin.sym} 510 100 0 1 {name=p4 lab=inn}
 C {/edatools/opentools/xschem/xschem_library/devices/opin.sym} 650 20 0 0 {name=p1 lab=vout}
-C {/edatools/opentools/xschem/xschem_library/devices/iopin.sym} 280 -220 3 0 {name=p2 lab=Vp}
-C {/edatools/opentools/xschem/xschem_library/devices/iopin.sym} 370 300 1 0 {name=p5 lab=Vn}
 C {/edatools/opentools/xschem/xschem_library/devices/ipin.sym} 170 30 3 0 {name=p6 lab=ib}
 C {/home/wisla/sky130_skel/xschem_sky130/sky130_fd_pr/cap_mim_m3_1.sym} 640 90 1 0 {name=C1 model=cap_mim_m3_1 W=32 L=32 MF=1 spiceprefix=X}
 C {/edatools/opentools/xschem/xschem_library/devices/opin.sym} 680 90 0 0 {name=p7 lab=cltop}
+C {/edatools/opentools/xschem/xschem_library/devices/ipin.sym} 280 -220 3 1 {name=p2 lab=Vp}
+C {/edatools/opentools/xschem/xschem_library/devices/ipin.sym} 370 290 1 1 {name=p5 lab=Vn}
diff --git a/xschem/OTA.sym b/xschem/OTA.sym
index cb76578..49f7778 100644
--- a/xschem/OTA.sym
+++ b/xschem/OTA.sym
@@ -6,29 +6,29 @@
 V {}
 S {}
 E {}
-L 4 -130 -40 -130 40 {}
+L 4 -130 -50 -130 50 {}
+L 4 -110 -60 -110 -40 {}
 L 4 -40 -10 -20 -10 {}
-L 4 -150 -30 -130 -30 {}
+L 4 -150 -20 -130 -20 {}
 L 4 -40 10 -20 10 {}
-L 4 -150 -10 -130 -10 {}
-L 4 -150 10 -130 10 {}
-L 4 -130 40 -10 0 {}
-L 4 -130 -40 -10 0 {}
-L 7 -70 -40 -70 -20 {}
-L 7 -70 20 -70 40 {}
-B 5 -72.5 -42.5 -67.5 -37.5 {name=Vp dir=inout }
+L 4 -150 0 -130 0 {}
+L 4 -150 20 -130 20 {}
+L 4 -110 40 -110 60 {}
+L 4 -130 50 -20 0 {}
+L 4 -130 -50 -20 0 {}
+B 5 -112.5 -62.5 -107.5 -57.5 {name=Vp dir=in }
 B 5 -22.5 -12.5 -17.5 -7.5 {name=vout dir=out }
-B 5 -152.5 -32.5 -147.5 -27.5 {name=ib dir=in }
+B 5 -152.5 -22.5 -147.5 -17.5 {name=ib dir=in }
 B 5 -22.5 7.5 -17.5 12.5 {name=cltop dir=out }
-B 5 -152.5 -12.5 -147.5 -7.5 {name=inn dir=in }
-B 5 -152.5 7.5 -147.5 12.5 {name=inp dir=in }
-B 5 -72.5 37.5 -67.5 42.5 {name=Vn dir=inout }
-T {@symname} -181.5 44 0 0 0.3 0.3 {}
-T {@name} -95 -2 0 0 0.2 0.2 {}
-T {Vp} -45 -34 0 1 0.2 0.2 {}
-T {vout} 5 -34 0 1 0.2 0.2 {}
-T {ib} -125 -34 0 0 0.2 0.2 {}
-T {cltop} 5 16 0 1 0.2 0.2 {}
-T {inn} -125 -14 0 0 0.2 0.2 {}
-T {inp} -125 6 0 0 0.2 0.2 {}
-T {Vn} -45 36 0 1 0.2 0.2 {}
+B 5 -152.5 -2.5 -147.5 2.5 {name=inp dir=in }
+B 5 -152.5 17.5 -147.5 22.5 {name=inn dir=in }
+B 5 -112.5 57.5 -107.5 62.5 {name=Vn dir=in }
+T {@symname} -141.5 64 0 0 0.3 0.3 {}
+T {@name} -165 -62 0 0 0.2 0.2 {}
+T {Vp} -105 -54 0 0 0.2 0.2 {}
+T {vout} -25 -34 0 1 0.2 0.2 {}
+T {ib} -125 -24 0 0 0.2 0.2 {}
+T {cltop} -35 16 0 1 0.2 0.2 {}
+T {inp} -125 -4 0 0 0.2 0.2 {}
+T {inn} -125 16 0 0 0.2 0.2 {}
+T {Vn} -105 46 0 0 0.2 0.2 {}
diff --git a/xschem/amp_tb.spice b/xschem/amp_tb.spice
deleted file mode 100644
index cc2fcd5..0000000
--- a/xschem/amp_tb.spice
+++ /dev/null
@@ -1,70 +0,0 @@
-*******************************************
-.TITLE amplifier test bench 
-
-.lib /edatools/pdks/skywater-pdk/libraries/sky130_fd_pr_ngspice/latest/models/sky130.lib.spice tt
-.INCLUDE /home/wisla/sky130_skel/Myschematics/Receptor/ampResistor.spice
-.INCLUDE /home/wisla/sky130_skel/Myschematics/Receptor/my_chip/Pos-Layout/otapos.spice
-**.INCLUDE /home/wisla/sky130_skel/Myschematics/Receptor/Layout/ResistorV7.spice
-
-* ===============  SUBCIRCUIT =================
-
-*Xota inp inn vout Vp Vn ib OTA 
-Xota GND inn vout ib Vp Vn OTA 
-
-** negative feedback
-XRn   vout inn resistor ; resistor subcircuit - rd rs
-XC2n  vout inn sky130_fd_pr__cap_mim_m3_1 W=7 L=7 MF=1 m=1
-
-******
-Ib ib GND 1.5u  ; Ibias
-Vp Vp GND 1.8 ; positive power.
-Vn GND Vn 1.8 ; negative power
-
-* *************  GAIN Simulation *************
-
-Vinn inn GND dc 0 ac 1
-
-.CONTROL
- ac DEC 10 0.01 10E6
- settype decibel vout
- plot db(vout) ylabel 'Gain(dB)'
- 
- settype phase vout
- let voutd = 180/PI*cph(vout)
- settype phase voutd
- plot voutd ylabel 'phase'
-.ENDC
-
-
-* *************  transient *************
-
-*Vinn in0 GND SIN(0 10m 1e3)
-*.tran 1u 50m
-
-*.CONTROL
-* run 
-* plot inn
-* plot vout
-* plot inn vout
-*.ENDC
-
-************************ NOISE **********************************
-* Vinn in0 GND dc 0 ac 1
-*.NOISE V(vout) Vinn DEC 10 10E-3 10E4
-
-*.control
-* listing
-* run
-* write rlcnoisean.raw
-* print V(inoise_total) V(onoise_total)
-* write rlcnoiseanall.raw noise1.all noise2.all
-
-* setplot noise1
-* plot onoise_spectrum ylog xlog ylabel 'log'
-* plot onoise_spectrum 
-* plot inoise_spectrum
-*.endc
-
-.GLOBAL GND
-.END
-
diff --git a/xschem/chipV1.sch b/xschem/chipV1.sch
new file mode 100644
index 0000000..6481993
--- /dev/null
+++ b/xschem/chipV1.sch
@@ -0,0 +1,92 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N -515 -20 -515 20 {
+lab=#net1}
+N -515 115 -515 180 {
+lab=GND}
+N -430 90 -430 180 {
+lab=GND}
+N -515 180 -430 180 {
+lab=GND}
+N -380 20 -350 20 {
+lab=GND}
+N -380 20 -380 180 {
+lab=GND}
+N -430 180 -380 180 {
+lab=GND}
+N -380 180 20 180 {
+lab=GND}
+N 20 110 20 180 {
+lab=GND}
+N 20 180 110 180 {
+lab=GND}
+N 110 110 110 180 {
+lab=GND}
+N 110 180 230 180 {
+lab=GND}
+N -70 180 -70 210 {
+lab=GND}
+N 490 10 510 10 {
+lab=GND}
+N 510 10 510 180 {
+lab=GND}
+N 230 180 510 180 {
+lab=GND}
+N 750 -130 750 -100 {
+lab=VDD}
+N 750 -40 750 40 {
+lab=GND}
+N 750 100 750 120 {
+lab=VSS}
+N 510 180 690 180 {
+lab=GND}
+N 690 0 690 180 {
+lab=GND}
+N 690 0 750 0 {
+lab=GND}
+N 400 60 400 90 {
+lab=VSS}
+N 400 -90 400 -60 {
+lab=VDD}
+N 490 -10 530 -10 {
+lab=vout}
+N -50 -0 -30 -0 {
+lab=xxx}
+N -430 0 -350 -0 {
+lab=#net2}
+N -430 0 -430 30 {
+lab=#net2}
+N 110 20 360 20 {
+lab=#net3}
+N 110 20 110 50 {
+lab=#net3}
+N 270 0 360 0 {
+lab=GND}
+N 270 0 270 180 {
+lab=GND}
+N 20 -20 360 -20 {
+lab=#net4}
+N 20 -20 20 50 {
+lab=#net4}
+N -515 -20 -350 -20 {
+lab=#net1}
+C {OTA.sym} 510 0 0 0 {name=X1}
+C {detector.sym} -200 0 0 0 {name=X2}
+C {/edatools/opentools/xschem/xschem_library/devices/isource.sym} 20 80 0 0 {name=I0 value=1.5u}
+C {/edatools/opentools/xschem/xschem_library/devices/vsource.sym} -430 60 0 0 {name=V2 value=1}
+C {/edatools/opentools/xschem/xschem_library/devices/vsource.sym} 110 80 0 0 {name=V3 value="SIN(0 50u 1e3)"}
+C {/edatools/opentools/xschem/xschem_library/devices/gnd.sym} -70 210 0 0 {name=l1 lab=GND}
+C {/home/wisla/sky130_skel/Myschematics/Receptor/askMod.sym} 180 30 0 0 {name=X3}
+C {/edatools/opentools/xschem/xschem_library/devices/vsource.sym} 750 -70 0 0 {name=V1 value=1.8}
+C {/edatools/opentools/xschem/xschem_library/devices/vsource.sym} 750 70 0 0 {name=V4 value=1.8}
+C {/edatools/opentools/xschem/xschem_library/devices/opin.sym} 520 -10 0 0 {name=p1 lab=vout}
+C {/edatools/opentools/xschem/xschem_library/devices/vdd.sym} 750 -130 0 0 {name=l2 lab=VDD}
+C {/edatools/opentools/xschem/xschem_library/devices/vdd.sym} 750 120 2 0 {name=l3 lab=VSS}
+C {/edatools/opentools/xschem/xschem_library/devices/vdd.sym} 400 -90 0 0 {name=l4 lab=VDD}
+C {/edatools/opentools/xschem/xschem_library/devices/vdd.sym} 400 90 2 0 {name=l5 lab=VSS}
+C {/edatools/opentools/xschem/xschem_library/devices/code.sym} -320 -240 0 0 {name=s1 only_toplevel=false value=".lib /edatools/pdks/skywater-pdk/libraries/sky130_fd_pr_ngspice/latest/models/sky130.lib.spice tt"}
+C {devices/lab_wire.sym} -35 0 2 0 {name=l6 sig_type=std_logic lab=do}
diff --git a/xschem/detector.sch b/xschem/detector.sch
index 07b7778..dfaec29 100644
--- a/xschem/detector.sch
+++ b/xschem/detector.sch
@@ -4,24 +4,23 @@
 V {}
 S {}
 E {}
-N 130 90 130 110 { lab=#net1}
-N 130 110 130 140 { lab=#net1}
-N 130 60 220 60 { lab=#net1}
-N 220 60 220 120 { lab=#net1}
-N 130 120 220 120 { lab=#net1}
-N 130 -10 130 30 { lab=#net2}
-N 350 110 350 140 { lab=#net1}
+N 130 90 130 110 { lab=gnd}
+N 130 110 130 140 { lab=gnd}
+N 130 -10 130 30 { lab=#net1}
+N 350 110 350 140 { lab=gnd}
 N 350 -10 350 50 { lab=do}
 N -50 -10 -10 -10 { lab=din}
 N 350 -10 410 -10 { lab=do}
 N 50 60 90 60 { lab=dB}
-N 130 140 350 140 { lab=#net1}
-N 240 140 240 160 { lab=#net1}
-N 50 -10 130 -10 { lab=#net2}
-N 130 -10 200 -10 { lab=#net2}
+N 130 140 350 140 { lab=gnd}
+N 240 140 240 160 { lab=gnd}
+N 50 -10 130 -10 { lab=#net1}
+N 130 -10 200 -10 { lab=#net1}
 N 260 -10 350 -10 { lab=do}
-N 230 10 230 80 { lab=#net1}
-N 220 80 230 80 { lab=#net1}
+N 130 60 260 60 {
+lab=gnd}
+N 230 10 230 60 {
+lab=gnd}
 C {/home/wisla/sky130_skel/xschem_sky130/sky130_fd_pr/nfet_01v8.sym} 110 60 0 0 {name=M1
 L=0.15
 W=22.5
@@ -48,3 +47,4 @@
 spiceprefix=X
 mult=1}
 C {/edatools/opentools/xschem/xschem_library/devices/ipin.sym} 240 150 3 0 {name=p4 lab=gnd}
+C {/edatools/opentools/xschem/xschem_library/devices/ipin.sym} 250 60 2 0 {name=p5 lab=Vsub}
diff --git a/xschem/detector.spice b/xschem/detector.spice
index a6424f8..6027e71 100644
--- a/xschem/detector.spice
+++ b/xschem/detector.spice
@@ -1,11 +1,11 @@
-** sch_path: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip_V0/xschem/detector.sch
-.subckt detector din dB do gnd
-*.PININFO din:I dB:I do:O gnd:B
-XM1 net1 dB gnd gnd sky130_fd_pr__nfet_01v8 L=0.15 W=22.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
+** sch_path: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/detector.sch
+.subckt detector din dB do gnd Vsub
+*.PININFO din:I dB:I do:O gnd:I Vsub:I
+XM1 net1 dB gnd Vsub sky130_fd_pr__nfet_01v8 L=0.15 W=22.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
 + pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
 + sa=0 sb=0 sd=0 mult=1 m=1
 XC1 do gnd sky130_fd_pr__cap_mim_m3_1 W=87 L=87 MF=1 m=1
 XC2 din net1 sky130_fd_pr__cap_mim_m3_1 W=87 L=87 MF=1 m=1
-XR2 net1 do gnd sky130_fd_pr__res_xhigh_po W=0.35 L=18.6 mult=1 m=1
+XR2 net1 do Vsub sky130_fd_pr__res_xhigh_po W=0.35 L=18.6 mult=1 m=1
 .ends
 .end
diff --git a/xschem/detector.sym b/xschem/detector.sym
index 6eea9e6..6ab8bea 100644
--- a/xschem/detector.sym
+++ b/xschem/detector.sym
@@ -6,27 +6,31 @@
 V {}
 S {}
 E {}
-L 4 -130 -30 130 -30 {}
-L 4 -130 30 130 30 {}
-L 4 -130 -30 -130 30 {}
-L 4 130 -30 130 30 {}
-L 4 -150 -20 -130 -20 {}
+L 4 -130 -40 130 -40 {}
+L 4 -130 40 130 40 {}
+L 4 -130 -40 -130 40 {}
+L 4 130 -40 130 40 {}
+L 4 -150 -30 -130 -30 {}
 L 4 130 0 150 0 {}
-L 4 -150 0 -130 0 {}
-L 4 -150 20 -130 20 {}
-L 4 -30 -20 -30 20 {}
-L 4 -30 -20 -0 -0 {}
-L 4 -30 20 -0 0 {}
-L 4 0 0 20 0 {}
-L 4 -60 -0 -30 0 {}
-L 4 -0 -10 0 10 {}
-B 5 -152.5 -22.5 -147.5 -17.5 {name=din dir=in }
+L 4 -150 -10 -130 -10 {}
+L 4 -150 10 -130 10 {}
+L 4 -150 30 -130 30 {}
+L 4 -60 -20 -60 30 {}
+L 4 -60 30 10 0 {}
+L 4 -60 -30 10 0 {}
+L 4 -60 -30 -60 -20 {}
+L 4 -90 0 -60 -0 {}
+L 4 10 -20 10 20 {}
+L 4 10 -0 30 -0 {}
+B 5 -152.5 -32.5 -147.5 -27.5 {name=din dir=in }
 B 5 147.5 -2.5 152.5 2.5 {name=do dir=out }
-B 5 -152.5 -2.5 -147.5 2.5 {name=dB dir=in }
-B 5 -152.5 17.5 -147.5 22.5 {name=gnd dir=in }
-T {@symname} -114 -46 0 0 0.3 0.3 {}
-T {@name} 135 -42 0 0 0.2 0.2 {}
-T {din} -125 -24 0 0 0.2 0.2 {}
+B 5 -152.5 -12.5 -147.5 -7.5 {name=dB dir=in }
+B 5 -152.5 7.5 -147.5 12.5 {name=Vsub dir=in }
+B 5 -152.5 27.5 -147.5 32.5 {name=gnd dir=in }
+T {@symname} 36 24 0 0 0.3 0.3 {}
+T {@name} 85 -52 0 0 0.2 0.2 {}
+T {din} -125 -34 0 0 0.2 0.2 {}
 T {do} 125 -4 0 1 0.2 0.2 {}
-T {dB} -125 -4 0 0 0.2 0.2 {}
-T {gnd} -125 16 0 0 0.2 0.2 {}
+T {dB} -125 -14 0 0 0.2 0.2 {}
+T {Vsub} -125 6 0 0 0.2 0.2 {}
+T {gnd} -125 26 0 0 0.2 0.2 {}
diff --git a/xschem/user_analog_project_wrapper.sch b/xschem/user_analog_project_wrapper.sch
index 20af011..7e1f464 100644
--- a/xschem/user_analog_project_wrapper.sch
+++ b/xschem/user_analog_project_wrapper.sch
@@ -4,26 +4,28 @@
 V {}
 S {}
 E {}
-N 3460 -350 3560 -350 { lab=io_analog[3]}
-N 3480 -310 3560 -310 {
-lab=vssa1}
-N 3460 -330 3560 -330 { lab=io_analog[1]}
+N 3460 -360 3560 -360 { lab=io_analog[3]}
+N 3480 -320 3560 -320 {
+lab=vccd2}
+N 3460 -340 3560 -340 { lab=io_analog[1]}
 N 3860 -330 3990 -330 {
 lab=io_analog[2]}
-N 3590 50 3700 50 {
-lab=io_analog[10]}
-N 3590 70 3700 70 {
-lab=io_analog[8]}
-N 3590 90 3700 90 {
-lab=io_analog[9]}
-N 3770 120 3770 160 {
+N 3730 140 3730 180 {
 lab=vccd2}
-N 3770 -10 3770 40 {
+N 3730 -30 3730 20 {
 lab=vccd1}
 N 3820 70 3860 70 {
 lab=io_analog[7]}
 N 3820 90 3860 90 {
 lab=vssa2}
+N 3590 60 3690 60 {
+lab=io_analog[10]}
+N 3590 80 3690 80 {
+lab=io_analog[9]}
+N 3590 100 3690 100 {
+lab=io_analog[8]}
+N 3520 -300 3560 -300 {
+lab=vssa1}
 C {devices/iopin.sym} 3240 -470 0 0 {name=p1 lab=vdda1}
 C {devices/iopin.sym} 3240 -440 0 0 {name=p2 lab=vdda2}
 C {devices/iopin.sym} 3240 -410 0 0 {name=p3 lab=vssa1}
@@ -56,16 +58,17 @@
 C {devices/iopin.sym} 3250 530 0 0 {name=p31 lab=io_clamp_low[2:0]}
 C {devices/opin.sym} 3270 600 0 0 {name=p32 lab=user_irq[2:0]}
 C {devices/ipin.sym} 3290 210 0 0 {name=p28 lab=la_oenb[127:0]}
-C {devices/lab_pin.sym} 3460 -350 0 0 {name=l1 sig_type=std_logic lab=io_analog[3]}
-C {devices/lab_pin.sym} 3480 -310 0 0 {name=l2 sig_type=std_logic lab=vssa1}
+C {devices/lab_pin.sym} 3460 -360 0 0 {name=l1 sig_type=std_logic lab=io_analog[3]}
 C {devices/lab_pin.sym} 3990 -330 0 1 {name=l3 sig_type=std_logic lab=io_analog[2]}
-C {devices/lab_pin.sym} 3460 -330 0 0 {name=l4 sig_type=std_logic lab=io_analog[1]}
+C {devices/lab_pin.sym} 3460 -340 0 0 {name=l4 sig_type=std_logic lab=io_analog[1]}
 C {detector.sym} 3710 -330 0 0 {name=Xdet}
-C {devices/lab_pin.sym} 3590 50 0 0 {name=l5 sig_type=std_logic lab=io_analog[10]}
-C {devices/lab_pin.sym} 3590 70 0 0 {name=l6 sig_type=std_logic lab=io_analog[8]}
+C {devices/lab_pin.sym} 3590 60 0 0 {name=l5 sig_type=std_logic lab=io_analog[10]}
+C {devices/lab_pin.sym} 3590 80 0 0 {name=l6 sig_type=std_logic lab=io_analog[9]}
 C {devices/lab_pin.sym} 3860 70 2 0 {name=l7 sig_type=std_logic lab=io_analog[7]}
-C {devices/lab_pin.sym} 3590 90 0 0 {name=l8 sig_type=std_logic lab=io_analog[9]}
-C {devices/lab_pin.sym} 3770 0 0 0 {name=l9 sig_type=std_logic lab=vccd1}
-C {devices/lab_pin.sym} 3770 150 0 0 {name=l10 sig_type=std_logic lab=vccd2}
+C {devices/lab_pin.sym} 3590 100 0 0 {name=l8 sig_type=std_logic lab=io_analog[8]}
+C {devices/lab_pin.sym} 3730 -20 0 0 {name=l9 sig_type=std_logic lab=vccd1}
+C {devices/lab_pin.sym} 3730 170 0 0 {name=l10 sig_type=std_logic lab=vccd2}
 C {OTA.sym} 3840 80 0 0 {name=X1}
 C {devices/lab_pin.sym} 3860 90 2 0 {name=l11 sig_type=std_logic lab=vssa2}
+C {devices/lab_pin.sym} 3480 -320 2 1 {name=l2 sig_type=std_logic lab=vccd2}
+C {devices/lab_pin.sym} 3520 -300 2 1 {name=l12 sig_type=std_logic lab=vssa1}
diff --git a/xschem/user_analog_project_wrapper.spice b/xschem/user_analog_project_wrapper.spice
index 9798fc6..f1901b8 100644
--- a/xschem/user_analog_project_wrapper.spice
+++ b/xschem/user_analog_project_wrapper.spice
@@ -1,5 +1,5 @@
 ** sch_path:
-*+ /home/wisla/sky130_skel/Myschematics/Receptor/my_chip_V0/xschem/user_analog_project_wrapper.sch
+*+ /home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/user_analog_project_wrapper.sch
 .subckt user_analog_project_wrapper vdda1 vdda2 vssa1 vssa2 vccd1 vccd2 vssd1 vssd2 wb_clk_i
 + wb_rst_i wbs_stb_i wbs_cyc_i wbs_we_i wbs_sel_i[3] wbs_sel_i[2] wbs_sel_i[1] wbs_sel_i[0] wbs_dat_i[31]
 + wbs_dat_i[30] wbs_dat_i[29] wbs_dat_i[28] wbs_dat_i[27] wbs_dat_i[26] wbs_dat_i[25] wbs_dat_i[24] wbs_dat_i[23]
@@ -90,29 +90,29 @@
 *+ wbs_dat_o[31:0]:O la_data_in[127:0]:I la_data_out[127:0]:O io_in[26:0]:I io_in_3v3[26:0]:I user_clock2:I
 *+ io_out[26:0]:O io_oeb[26:0]:O gpio_analog[17:0]:B gpio_noesd[17:0]:B io_analog[10:0]:B io_clamp_high[2:0]:B
 *+ io_clamp_low[2:0]:B user_irq[2:0]:O la_oenb[127:0]:I
-Xdet io_analog[3] io_analog[2] io_analog[1] vssa1 detector
-X1 vccd1 io_analog[7] io_analog[10] vssa2 io_analog[8] io_analog[9] vccd2 OTA
+Xdet io_analog[3] io_analog[2] io_analog[1] vccd2 vssa1 detector
+X1 vccd1 io_analog[7] io_analog[10] vssa2 io_analog[9] io_analog[8] vccd2 OTA
 .ends
 
-* expanding   symbol:  detector.sym # of pins=4
-** sym_path: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip_V0/xschem/detector.sym
-** sch_path: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip_V0/xschem/detector.sch
-.subckt detector  din do dB gnd
-*.PININFO din:I dB:I do:O gnd:I
-XM1 net1 dB gnd gnd sky130_fd_pr__nfet_01v8 L=0.15 W=22.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
+* expanding   symbol:  detector.sym # of pins=5
+** sym_path: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/detector.sym
+** sch_path: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/detector.sch
+.subckt detector  din do dB Vsub gnd
+*.PININFO din:I dB:I do:O gnd:I Vsub:I
+XM1 net1 dB gnd Vsub sky130_fd_pr__nfet_01v8 L=0.15 W=22.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
 + pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
 + sa=0 sb=0 sd=0 mult=1 m=1
 XC1 do gnd sky130_fd_pr__cap_mim_m3_1 W=87 L=87 MF=1 m=1
 XC2 din net1 sky130_fd_pr__cap_mim_m3_1 W=87 L=87 MF=1 m=1
-XR2 net1 do gnd sky130_fd_pr__res_xhigh_po W=0.35 L=18.6 mult=1 m=1
+XR2 net1 do Vsub sky130_fd_pr__res_xhigh_po W=0.35 L=18.6 mult=1 m=1
 .ends
 
 
 * expanding   symbol:  OTA.sym # of pins=7
-** sym_path: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip_V0/xschem/OTA.sym
-** sch_path: /home/wisla/sky130_skel/Myschematics/Receptor/my_chip_V0/xschem/OTA.sch
-.subckt OTA  Vp vout ib cltop inn inp Vn
-*.PININFO inp:I inn:I vout:O Vp:B Vn:B ib:I cltop:O
+** sym_path: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/OTA.sym
+** sch_path: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/OTA.sch
+.subckt OTA  Vp vout ib cltop inp inn Vn
+*.PININFO inp:I inn:I vout:O ib:I cltop:O Vp:I Vn:I
 XM7 net1 net1 Vp Vp sky130_fd_pr__pfet_01v8 L=1 W=1.4 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
 + pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
 + sa=0 sb=0 sd=0 mult=1 m=1
diff --git a/xschem/user_analog_project_wrapper1.sch b/xschem/user_analog_project_wrapper1.sch
new file mode 100644
index 0000000..20af011
--- /dev/null
+++ b/xschem/user_analog_project_wrapper1.sch
@@ -0,0 +1,71 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 3460 -350 3560 -350 { lab=io_analog[3]}
+N 3480 -310 3560 -310 {
+lab=vssa1}
+N 3460 -330 3560 -330 { lab=io_analog[1]}
+N 3860 -330 3990 -330 {
+lab=io_analog[2]}
+N 3590 50 3700 50 {
+lab=io_analog[10]}
+N 3590 70 3700 70 {
+lab=io_analog[8]}
+N 3590 90 3700 90 {
+lab=io_analog[9]}
+N 3770 120 3770 160 {
+lab=vccd2}
+N 3770 -10 3770 40 {
+lab=vccd1}
+N 3820 70 3860 70 {
+lab=io_analog[7]}
+N 3820 90 3860 90 {
+lab=vssa2}
+C {devices/iopin.sym} 3240 -470 0 0 {name=p1 lab=vdda1}
+C {devices/iopin.sym} 3240 -440 0 0 {name=p2 lab=vdda2}
+C {devices/iopin.sym} 3240 -410 0 0 {name=p3 lab=vssa1}
+C {devices/iopin.sym} 3240 -380 0 0 {name=p4 lab=vssa2}
+C {devices/iopin.sym} 3240 -350 0 0 {name=p5 lab=vccd1}
+C {devices/iopin.sym} 3240 -320 0 0 {name=p6 lab=vccd2}
+C {devices/iopin.sym} 3240 -290 0 0 {name=p7 lab=vssd1}
+C {devices/iopin.sym} 3240 -260 0 0 {name=p8 lab=vssd2}
+C {devices/ipin.sym} 3290 -190 0 0 {name=p9 lab=wb_clk_i}
+C {devices/ipin.sym} 3290 -160 0 0 {name=p10 lab=wb_rst_i}
+C {devices/ipin.sym} 3290 -130 0 0 {name=p11 lab=wbs_stb_i}
+C {devices/ipin.sym} 3290 -100 0 0 {name=p12 lab=wbs_cyc_i}
+C {devices/ipin.sym} 3290 -70 0 0 {name=p13 lab=wbs_we_i}
+C {devices/ipin.sym} 3290 -40 0 0 {name=p14 lab=wbs_sel_i[3:0]}
+C {devices/ipin.sym} 3290 -10 0 0 {name=p15 lab=wbs_dat_i[31:0]}
+C {devices/ipin.sym} 3290 20 0 0 {name=p16 lab=wbs_adr_i[31:0]}
+C {devices/opin.sym} 3280 80 0 0 {name=p17 lab=wbs_ack_o}
+C {devices/opin.sym} 3280 110 0 0 {name=p18 lab=wbs_dat_o[31:0]}
+C {devices/ipin.sym} 3290 150 0 0 {name=p19 lab=la_data_in[127:0]}
+C {devices/opin.sym} 3280 180 0 0 {name=p20 lab=la_data_out[127:0]}
+C {devices/ipin.sym} 3290 260 0 0 {name=p21 lab=io_in[26:0]}
+C {devices/ipin.sym} 3290 290 0 0 {name=p22 lab=io_in_3v3[26:0]}
+C {devices/ipin.sym} 3280 570 0 0 {name=p23 lab=user_clock2}
+C {devices/opin.sym} 3280 320 0 0 {name=p24 lab=io_out[26:0]}
+C {devices/opin.sym} 3280 350 0 0 {name=p25 lab=io_oeb[26:0]}
+C {devices/iopin.sym} 3250 410 0 0 {name=p26 lab=gpio_analog[17:0]}
+C {devices/iopin.sym} 3250 440 0 0 {name=p27 lab=gpio_noesd[17:0]}
+C {devices/iopin.sym} 3250 470 0 0 {name=p29 lab=io_analog[10:0]}
+C {devices/iopin.sym} 3250 500 0 0 {name=p30 lab=io_clamp_high[2:0]}
+C {devices/iopin.sym} 3250 530 0 0 {name=p31 lab=io_clamp_low[2:0]}
+C {devices/opin.sym} 3270 600 0 0 {name=p32 lab=user_irq[2:0]}
+C {devices/ipin.sym} 3290 210 0 0 {name=p28 lab=la_oenb[127:0]}
+C {devices/lab_pin.sym} 3460 -350 0 0 {name=l1 sig_type=std_logic lab=io_analog[3]}
+C {devices/lab_pin.sym} 3480 -310 0 0 {name=l2 sig_type=std_logic lab=vssa1}
+C {devices/lab_pin.sym} 3990 -330 0 1 {name=l3 sig_type=std_logic lab=io_analog[2]}
+C {devices/lab_pin.sym} 3460 -330 0 0 {name=l4 sig_type=std_logic lab=io_analog[1]}
+C {detector.sym} 3710 -330 0 0 {name=Xdet}
+C {devices/lab_pin.sym} 3590 50 0 0 {name=l5 sig_type=std_logic lab=io_analog[10]}
+C {devices/lab_pin.sym} 3590 70 0 0 {name=l6 sig_type=std_logic lab=io_analog[8]}
+C {devices/lab_pin.sym} 3860 70 2 0 {name=l7 sig_type=std_logic lab=io_analog[7]}
+C {devices/lab_pin.sym} 3590 90 0 0 {name=l8 sig_type=std_logic lab=io_analog[9]}
+C {devices/lab_pin.sym} 3770 0 0 0 {name=l9 sig_type=std_logic lab=vccd1}
+C {devices/lab_pin.sym} 3770 150 0 0 {name=l10 sig_type=std_logic lab=vccd2}
+C {OTA.sym} 3840 80 0 0 {name=X1}
+C {devices/lab_pin.sym} 3860 90 2 0 {name=l11 sig_type=std_logic lab=vssa2}