syntacore_scr1 directory removal
diff --git a/verilog/rtl/syntacore_scr1/LICENSE b/verilog/rtl/syntacore_scr1/LICENSE
deleted file mode 100644
index 0d753ad..0000000
--- a/verilog/rtl/syntacore_scr1/LICENSE
+++ /dev/null
@@ -1,23 +0,0 @@
-# Solderpad Hardware Licence Version 2.0
-
-This licence (the “Licence”) operates as a wraparound licence to the Apache License Version 2.0 (the “Apache License”) and grants to You the rights, and imposes the obligations, set out in the Apache License (which can be found here: http://apache.org/licenses/LICENSE-2.0), with the following extensions. It must be read in conjunction with the Apache License. Section 1 below modifies definitions in the Apache License, and section 2 below replaces sections 2 of the Apache License. You may, at your option, choose to treat any Work released under this License as released under the Apache License (thus ignoring all sections written below entirely). Words in italics indicate changes rom the Apache License, but are indicative and not to be taken into account in interpretation.
-
-1. The definitions set out in the Apache License are modified as follows:
-
-Copyright any reference to ‘copyright’ (whether capitalised or not) includes ‘Rights’ (as defined below).
-
-Contribution also includes any design, as well as any work of authorship.
-
-Derivative Works shall not include works that remain reversibly separable from, or merely link (or bind by name) or physically connect to or interoperate with the interfaces of the Work and Derivative Works thereof.
-
-Object form shall mean any form resulting from mechanical transformation or translation of a Source form or the application of a Source form to physical material, including but not limited to compiled object code, generated documentation, the instantiation of a hardware design or physical object and conversions to other media types, including intermediate forms such as bytecodes, FPGA bitstreams, moulds, artwork and semiconductor topographies (mask works).
-
-Rights means copyright and any similar right including design right (whether registered or unregistered), semiconductor topography (mask) rights and database rights (but excluding Patents and Trademarks).
-
-Source form shall mean the preferred form for making modifications, including but not limited to source code, net lists, board layouts, CAD files, documentation source, and configuration files.
-
-Work also includes a design or work of authorship, whether in Source form or other Object form.
-
-2. Grant of Licence
-
-2.1 Subject to the terms and conditions of this License, each Contributor hereby grants to You a perpetual, worldwide, non-exclusive, no-charge, royalty-free, irrevocable license under the Rights to reproduce, prepare Derivative Works of, make, adapt, repair, publicly display, publicly perform, sublicense, and distribute the Work and such Derivative Works in Source or Object form and do anything in relation to the Work as if the Rights did not exist.
diff --git a/verilog/rtl/syntacore_scr1/Makefile b/verilog/rtl/syntacore_scr1/Makefile
deleted file mode 100644
index adb33c5..0000000
--- a/verilog/rtl/syntacore_scr1/Makefile
+++ /dev/null
@@ -1,293 +0,0 @@
-#------------------------------------------------------------------------------
-# Makefile for SCR1
-#------------------------------------------------------------------------------
-
-# PARAMETERS
-
-# CFG = <MAX, BASE, MIN, CUSTOM>
-# BUS = <AHB, AXI>
-
-export CFG      ?= MAX
-export BUS      ?= AHB
-
-ifeq ($(CFG), MAX)
-# Predefined configuration SCR1_CFG_RV32IMC_MAX
-    override ARCH         := IMC
-    override VECT_IRQ     := 1
-    override IPIC         := 1
-    override TCM          := 1
-    override SIM_CFG_DEF  := SCR1_CFG_RV32IMC_MAX
-else
-    ifeq ($(CFG), BASE)
-    # Predefined configuration SCR1_CFG_RV32IC_BASE
-        override ARCH         := IC
-        override VECT_IRQ     := 1
-        override IPIC         := 1
-        override TCM          := 1
-        override SIM_CFG_DEF  := SCR1_CFG_RV32IC_BASE
-    else
-        ifeq ($(CFG), MIN)
-        # Predefined configuration SCR1_CFG_RV32EC_MIN
-            override ARCH         := EC
-            override VECT_IRQ     := 0
-            override IPIC         := 0
-            override TCM          := 1
-            override SIM_CFG_DEF  := SCR1_CFG_RV32EC_MIN
-        else
-        # CUSTOM configuration. Parameters can be overwritten
-            # These options are for compiling tests only. Set the corresponding RTL parameters manually in the file scr1_arch_description.svh.
-            # ARCH = <IMC, IC, IM, I, EMC, EM, EC, E>
-            # VECT_IRQ = <0, 1>
-            # IPIC = <0, 1>
-            # TCM = <0, 1>
-            ARCH      ?= IMC
-            VECT_IRQ  ?= 0
-            IPIC      ?= 0
-            TCM       ?= 0
-            SIM_CFG_DEF  = SCR1_CFG_$(CFG)
-        endif
-    endif
-endif
-
-# export all overrided variables
-export ARCH
-export VECT_IRQ
-export IPIC
-export TCM
-export SIM_CFG_DEF
-
-ARCH_lowercase = $(shell echo $(ARCH) | tr A-Z a-z)
-BUS_lowercase  = $(shell echo $(BUS)  | tr A-Z a-z)
-
-ifeq ($(ARCH_lowercase),)
-    ARCH_tmp = imc
-else
-    ifneq (,$(findstring e,$(ARCH_lowercase)))
-        ARCH_tmp   += e
-        EXT_CFLAGS += -D__RVE_EXT
-    else
-        ARCH_tmp   += i
-    endif
-    ifneq (,$(findstring m,$(ARCH_lowercase)))
-        ARCH_tmp   := $(ARCH_tmp)m
-    endif
-    ifneq (,$(findstring c,$(ARCH_lowercase)))
-        ARCH_tmp   := $(ARCH_tmp)c
-        EXT_CFLAGS += -D__RVC_EXT
-    endif
-endif
-
-override ARCH=$(ARCH_tmp)
-
-# Use this parameter to enable tracelog
-TRACE ?= 0
-
-ifeq ($(TRACE), 1)
-    export SIM_TRACE_DEF = SCR1_TRACE_LOG_EN
-else
-    export SIM_TRACE_DEF = SCR1_TRACE_LOG_DIS
-endif
-
-
-# Use this parameter to pass additional options for simulation build command
-SIM_BUILD_OPTS ?=
-
-# Use this parameter to set the list of tests to run
-# TARGETS = <riscv_isa, riscv_compliance, coremark, dhrystone21, hello, isr_sample>
-export TARGETS :=
-
-
-export ABI   ?= ilp32
-# Testbench memory delay patterns\
-  (FFFFFFFF - no delay, 00000000 - random delay, 00000001 - max delay)
-imem_pattern ?= FFFFFFFF
-dmem_pattern ?= FFFFFFFF
-
-VCS_OPTS       ?=
-MODELSIM_OPTS  ?=
-NCSIM_OPTS     ?=
-VERILATOR_OPTS ?=
-
-current_goal := $(MAKECMDGOALS:run_%=%)
-ifeq ($(current_goal),)
-    current_goal := verilator
-endif
-
-# Paths
-export root_dir := $(shell pwd)
-export tst_dir  := $(root_dir)/sim/tests
-export inc_dir  := $(tst_dir)/common
-export bld_dir  := $(root_dir)/build/$(current_goal)_$(BUS)_$(CFG)_$(ARCH)_IPIC_$(IPIC)_TCM_$(TCM)_VIRQ_$(VECT_IRQ)_TRACE_$(TRACE)
-
-test_results := $(bld_dir)/test_results.txt
-test_info    := $(bld_dir)/test_info
-sim_results  := $(bld_dir)/sim_results.txt
-
-todo_list    := $(bld_dir)/todo.txt
-# Environment
-export CROSS_PREFIX  ?= riscv64-unknown-elf-
-export RISCV_GCC     ?= $(CROSS_PREFIX)gcc
-export RISCV_OBJDUMP ?= $(CROSS_PREFIX)objdump -D
-export RISCV_OBJCOPY ?= $(CROSS_PREFIX)objcopy -O verilog
-export RISCV_READELF ?= $(CROSS_PREFIX)readelf -s
-#--
-ifneq (,$(findstring axi,$(BUS_lowercase)))
-export rtl_top_files := axi_top.files
-export rtl_tb_files  := axi_tb.files
-export top_module    := scr1_top_tb_axi
-else
-export rtl_top_files := ahb_top.files
-export rtl_tb_files  := ahb_tb.files
-export top_module    := scr1_top_tb_ahb
-endif
-
-ifneq (,$(findstring e,$(ARCH_lowercase)))
-# Tests can be compiled for RVE only if gcc version 8.0.0 or higher
-    GCCVERSIONGT7 := $(shell expr `$(RISCV_GCC) -dumpfullversion | cut -f1 -d'.'` \> 7)
-    ifeq "$(GCCVERSIONGT7)" "1"
-        ABI := ilp32e
-    endif
-endif
-
-#--
-ifeq (,$(findstring e,$(ARCH_lowercase)))
-# These tests cannot be compiled for RVE
-
-    # Comment this target if you don't want to run the riscv_isa
-    TARGETS += riscv_isa
-
-    # Comment this target if you don't want to run the riscv_compliance
-    TARGETS += riscv_compliance
-endif
-
-# Comment this target if you don't want to run the isr_sample
-TARGETS += isr_sample
-
-# Comment this target if you don't want to run the coremark
-TARGETS += coremark
-
-# Comment this target if you don't want to run the dhrystone
-TARGETS += dhrystone21
-
-# Comment this target if you don't want to run the hello test
-TARGETS += hello
-
-# Targets
-.PHONY: tests run_modelsim run_vcs run_ncsim run_verilator run_verilator_wf
-
-default: clean_test_list run_verilator
-
-clean_test_list:
-	rm -f $(test_info)
-
-echo_out: tests
-	@echo "                          Test               | build | simulation " ;
-	@echo "$$(cat $(test_results))"
-
-tests: $(TARGETS)
-
-$(test_info): clean_hex tests
-	cd $(bld_dir)
-
-isr_sample: | $(bld_dir)
-	$(MAKE) -C $(tst_dir)/isr_sample ARCH=$(ARCH) IPIC=$(IPIC) VECT_IRQ=$(VECT_IRQ)
-
-dhrystone21: | $(bld_dir)
-	$(MAKE) -C $(tst_dir)/benchmarks/dhrystone21 EXT_CFLAGS="$(EXT_CFLAGS)" ARCH=$(ARCH)
-
-coremark: | $(bld_dir)
-	-$(MAKE) -C $(tst_dir)/benchmarks/coremark EXT_CFLAGS="$(EXT_CFLAGS)" ARCH=$(ARCH)
-
-riscv_isa: | $(bld_dir)
-	$(MAKE) -C $(tst_dir)/riscv_isa ARCH=$(ARCH)
-
-riscv_compliance: | $(bld_dir)
-	$(MAKE) -C $(tst_dir)/riscv_compliance ARCH=$(ARCH)
-
-hello: | $(bld_dir)
-	-$(MAKE) -C $(tst_dir)/hello EXT_CFLAGS="$(EXT_CFLAGS)" ARCH=$(ARCH)
-
-clean_hex: | $(bld_dir)
-	$(RM) $(bld_dir)/*.hex
-
-$(bld_dir):
-	mkdir -p $(bld_dir)
-
-run_vcs: $(test_info)
-	$(MAKE) -C $(root_dir)/sim build_vcs SIM_CFG_DEF=$(SIM_CFG_DEF) SIM_TRACE_DEF=$(SIM_TRACE_DEF) SIM_BUILD_OPTS=$(SIM_BUILD_OPTS);
-	printf "" > $(test_results);
-	cd $(bld_dir); \
-	$(bld_dir)/simv  -V \
-	+test_info=$(test_info) \
-	+test_results=$(test_results) \
-	+imem_pattern=$(imem_pattern) \
-	+dmem_pattern=$(dmem_pattern) \
-	$(VCS_OPTS) | tee $(sim_results)  ;\
-	printf "                          Test               | build | simulation \n" ; \
-	printf "$$(cat $(test_results)) \n"
-run_modelsim: $(test_info)
-	$(MAKE) -C $(root_dir)/sim build_modelsim SIM_CFG_DEF=$(SIM_CFG_DEF) SIM_TRACE_DEF=$(SIM_TRACE_DEF) SIM_BUILD_OPTS=$(SIM_BUILD_OPTS); \
-	printf "" > $(test_results); \
-	cd $(bld_dir); \
-	vsim -c -do "run -all" +nowarn3691 \
-	+test_info=$(test_info) \
-	+test_results=$(test_results) \
-	+imem_pattern=$(imem_pattern) \
-	+dmem_pattern=$(dmem_pattern) \
-	work.$(top_module) \
-	$(MODELSIM_OPTS) | tee $(sim_results)  ;\
-	printf "Simulation performed on $$(vsim -version) \n" ;\
-	printf "                          Test               | build | simulation \n" ; \
-	printf "$$(cat $(test_results)) \n"
-
-run_ncsim: $(test_info)
-	$(MAKE) -C $(root_dir)/sim build_ncsim SIM_CFG_DEF=$(SIM_CFG_DEF) SIM_TRACE_DEF=$(SIM_TRACE_DEF) SIM_BUILD_OPTS=$(SIM_BUILD_OPTS);
-	printf "" > $(test_results);
-	cd $(bld_dir); \
-	irun \
-	-R \
-	-64bit \
-	+test_info=$(test_info) \
-	+test_results=$(test_results) \
-	+imem_pattern=$(imem_pattern) \
-	+dmem_pattern=$(dmem_pattern) \
-	$(NCSIM_OPTS) | tee $(sim_results)  ;\
-	printf "Simulation performed on $$(irun -version) \n" ;\
-	printf "                          Test               | build | simulation \n" ; \
-	printf "$$(cat $(test_results)) \n"
-
-run_verilator: $(test_info)
-	$(MAKE) -C $(root_dir)/sim build_verilator SIM_CFG_DEF=$(SIM_CFG_DEF) SIM_TRACE_DEF=$(SIM_TRACE_DEF) SIM_BUILD_OPTS=$(SIM_BUILD_OPTS);
-	printf "" > $(test_results);
-	cd $(bld_dir); \
-	echo $(top_module) | tee $(sim_results); \
-	$(bld_dir)/verilator/V$(top_module) \
-	+test_info=$(test_info) \
-	+test_results=$(test_results) \
-	+imem_pattern=$(imem_pattern) \
-	+dmem_pattern=$(dmem_pattern) \
-	$(VERILATOR_OPTS) | tee -a $(sim_results) ;\
-	printf "Simulation performed on $$(verilator -version) \n" ;\
-	printf "                          Test               | build | simulation \n" ; \
-	printf "$$(cat $(test_results)) \n"
-
-run_verilator_wf: $(test_info)
-	$(MAKE) -C $(root_dir)/sim build_verilator_wf SIM_CFG_DEF=$(SIM_CFG_DEF) SIM_TRACE_DEF=$(SIM_TRACE_DEF) SIM_BUILD_OPTS=$(SIM_BUILD_OPTS);
-	printf "" > $(test_results);
-	cd $(bld_dir); \
-	echo $(top_module) | tee $(sim_results); \
-	$(bld_dir)/verilator/V$(top_module) \
-	+test_info=$(test_info) \
-	+test_results=$(test_results) \
-	+imem_pattern=$(imem_pattern) \
-	+dmem_pattern=$(dmem_pattern) \
-	$(VERILATOR_OPTS) | tee -a $(sim_results)  ;\
-	printf "Simulation performed on $$(verilator -version) \n" ;\
-	printf "                          Test               | build | simulation \n" ; \
-	printf "$$(cat $(test_results)) \n"
-clean:
-	$(MAKE) -C $(tst_dir)/benchmarks/dhrystone21 clean
-	$(MAKE) -C $(tst_dir)/riscv_isa clean
-	$(MAKE) -C $(tst_dir)/riscv_compliance clean
-	$(RM) -R $(root_dir)/build/*
-	$(RM) $(test_info)
\ No newline at end of file
diff --git a/verilog/rtl/syntacore_scr1/README.md b/verilog/rtl/syntacore_scr1/README.md
deleted file mode 100644
index 0fb6648..0000000
--- a/verilog/rtl/syntacore_scr1/README.md
+++ /dev/null
@@ -1,197 +0,0 @@
-# SCR1 RISC-V Core
-
-SCR1 is an open-source and free to use RISC-V compatible MCU-class core, designed and maintained by Syntacore. It is industry-grade and silicon-proven (including full-wafer production), works out of the box in all major EDA flows and Verilator, and comes with extensive collateral and documentation.
-
-![SCR1 cluster](./docs/img/scr1_cluster.svg)
-
-## Key features
-
-* Open sourced under SHL-license (see LICENSE file) - unrestricted commercial use allowed
-* RV32I or RV32E ISA base + optional RVM and RVC standard extensions
-* Machine privilege mode only
-* 2 to 4 stage pipeline
-* Optional Integrated Programmable Interrupt Controller with 16 IRQ lines
-* Optional RISC-V Debug subsystem with JTAG interface
-* Optional on-chip Tightly-Coupled Memory
-* 32-bit AXI4/AHB-Lite external interface
-* Written in SystemVerilog
-* Optimized for area and power
-* 3 predefined recommended configurations
-* A number of fine-tuning options for custom configuration
-* Verification suite provided
-* Extensive documentation
-
-For more information on core architecture, see [SCR1 External Architecture Specification](https://github.com/syntacore/scr1/blob/master/docs/scr1_eas.pdf).
-
-For more information on project usage, see [SCR1 User Manual](https://github.com/syntacore/scr1/blob/master/docs/scr1_um.pdf).
-
-## Repository contents
-
-|Folder | Description
-|------ | -----------
-|**dependencies**                  | **Dependent submodules**
-|├─ riscv-tests                    | Common source files for RISC-V ISA tests
-|├─ riscv-compliance               | Common source files for RISC-V Compliance tests
-|└─ coremark                       | Common source files for EEMBC's CoreMark® benchmark
-|**docs**                          | **SCR1 documentation**
-|├─ scr1_eas.pdf                   | SCR1 External Architecture Specification
-|└─ scr1_um.pdf                    | SCR1 User Manual
-|**sim**                           | **Tests and scripts for simulation**
-|├─ tests/common                   | Common source files for tests
-|├─ tests/riscv_isa                | RISC-V ISA tests platform specific source files
-|├─ tests/riscv_compliance         | RISC-V Compliance platform specific source files
-|├─ tests/benchmarks/dhrystone21   | Dhrystone 2.1 benchmark source files
-|├─ tests/benchmarks/coremark      | EEMBC's CoreMark® benchmark platform specific source files
-|├─ tests/isr_sample               | Sample program "Interrupt Service Routine"
-|├─ tests/hello                    | Sample program "Hello"
-|└─ verilator_wrap                 | Wrappers for Verilator simulation
-|**src**                           | **SCR1 RTL source and testbench files**
-|├─ includes                       | Header files
-|├─ core                           | Core top source files
-|├─ top                            | Cluster source files
-|└─ tb                             | Testbench files
-
-## SCR1 source file lists
-
-SCR1 source file lists of SCR1 can be found in [./src](https://github.com/syntacore/scr1/tree/master/src):
-
-* **core.files**    - all synthesized file sources of the SCR1 core
-* **ahb_top.files** - synthesized file sources of AHB cluster
-* **axi_top.files** - synthesized file sources of AXI cluster
-* **ahb_tb.files**  - testbench file sources for AHB cluster (for simulation only)
-* **axi_tb.files**  - testbench file sources for AXI cluster (for simulation only)
-
-Library with header files to include is [./src/includes/](https://github.com/syntacore/scr1/tree/master/src/includes)
-
-## Simulation quick start guide
-
-The project contains testbenches, test sources and scripts to quickly start the SCR1 simulation. Before starting the simulation, make sure you have:
-
-* installed RISC-V GCC toolchain,
-* installed one of the supported simulators,
-* initialized submodules with test sources.
-
-### Requirements
-
-#### Operating system
-
-GCC toolchain and make-scripts are supported by most popular Linux-like operating systems.
-
-To run from Windows you can use an additional compatibility layer, such as WSL or Cygwin.
-
-#### RISC-V GCC toolchain
-
-RISC-V GCC toolchain is required to compile the software. You can use pre-built binaries or build the toolchain from scratch.
-
-##### Using pre-built binary tools
-
-Pre-built RISC-V GCC toolchain with support for all SCR1 architectural configurations is available for download from http://syntacore.com/page/products/sw-tools.
-
-1. Download the archive for your platform.
-2. Extract the archive to preferred directory `<GCC_INSTALL_PATH>`.
-3. Add the `<GCC_INSTALL_PATH>/bin` folder to the $PATH environment variable:
-```
-    export PATH=<GCC_INSTALL_PATH>/bin:$PATH
-```
-
-##### Building tools from source
-
-You can build the RISC-V GCC toolchain from sources, stored in official repo https://github.com/riscv/riscv-gnu-toolchain
-
-Instructions on how to prepare and build the toolchain can be found on https://github.com/riscv/riscv-gnu-toolchain/blob/master/README.md
-
-We recommend using the multilib compiler. Please note that RV32IC, RV32E, RV32EM, RV32EMC, RV32EC architectural configurations are not included in the compiler by default. If you plan to use them, you will need to include the appropriate libraries by yourself before building.
-
-After the building, be sure to add the `<GCC_INSTALL_PATH>/bin` folder to the $PATH environment variable
-
-
-#### HDL simulators
-
-Currently supported simulators:
-
-* Verilator (last verified version: v4.102)
-* Intel ModelSim (last verified version: INTEL FPGA STARTER EDITION vsim 2020.1_3)
-* Mentor Graphics ModelSim (last verified version: Modelsim PE Student Edition 10.4a)
-* Synopsys VCS (last verified version: vcs-mx_vL-2016.06)
-* Cadence NCSim
-
-Please note that RTL simulator executables should be in your $PATH variable.
-
-#### Tests preparation
-
-The simulation package includes the following tests:
-
-* **hello** - "Hello" sample program
-* **isr_sample** - "Interrupt Service Routine" sample program
-* **riscv_isa** - RISC-V ISA tests (submodule)
-* **riscv_compliance** - RISC-V Compliance tests (submodule)
-* **dhrystone21** - Dhrystone 2.1 benchmark
-* **coremark** - EEMBC's CoreMark® benchmark (submodule)
-
-After the main SCR1 repository has been cloned execute the following command:
-```
-    git submodule update --init --recursive
-```
-
-This command will initialized submodules with test sources.
-
-### Running simulation
-
-To build RTL, compile and run tests from the repo root folder you have to call Makefile.
-By default, you may simply call Makefile without any parameters:
-``` sh
-    make
-```
-
-In this case simulation will run on Verilator with following parameters: `CFG=MAX BUS=AHB TRACE=0 TARGETS="hello isr_sample riscv_isa riscv_compliance dhrystone21 coremark"`.
-
-Makefile supports:
-
-* choice of simulator - `run_<SIMULATOR> = <run_vcs, run_modelsim, run_ncsim, run_verilator, run_verilator_wf>`
-* selection of external interface - `BUS = <AHB, AXI>`,
-* configuration setup - `CFG = <MAX, BASE, MIN, CUSTOM>`,
-* parameters for CUSTOM configuration - `ARCH = <IMC, IC, IM, I, EMC, EM, EC, E>, VECT_IRQ = <0, 1>, IPIC = <0, 1>, TCM = <0, 1>`
-* tests subset to run - `TARGETS = <hello, isr_sample, riscv_isa, riscv_compliance, dhrystone21, coremark>`
-* enabling tracelog - `TRACE = <0, 1>`
-* and any additional options to pass to the simulator - `SIM_BUILD_OPTS`.
-
-Examples:
-``` sh
-    make run_verilator_wf CFG=MAX BUS=AXI TARGETS="riscv_isa riscv_compliance" TRACE=1
-    make run_vcs CFG=BASE BUS=AHB TARGETS="dhrystone21 coremark" SIM_BUILD_OPTS="-gui"
-    make run_modelsim CFG=CUSTOM BUS=AXI ARCH=I VECT_IRQ=1 IPIC=1 TCM=0 TARGETS=isr_sample
-```
-
-Build and run parameters can be configured in the `./Makefile`.
-
-After all the tests have finished, the results can be found in `build/<SIM_CFG>/test_results.txt`.
-
-**IMPORTANT:** To ensure correct rebuild, please clean build directory between simulation runs:
-``` sh
-    make clean
-```
-
-Please refer to the *"Simulation environment"* chapter of the [SCR1 User Manual](https://github.com/syntacore/scr1/blob/master/docs/scr1_um.pdf) for more information on setting up a simulation run.
-
-## SCR1 SDKs
-
-FPGA-based SDKs are available at the <https://github.com/syntacore/scr1-sdk>.
-
-Repo contains:
-
-* Pre-build images and open designs for several standard FPGAs boards:
-  * Digilent Arty (Xilinx)
-  * Digilent Nexys 4 DDR (Xilinx)
-  * Arria V GX Starter (Intel)
-  * Terasic DE10-Lite (Intel)
-* Software package:
-  * Bootloader
-  * Zephyr RTOS
-  * Tests\SW samples
-* User Guides for SDKs and tools
-
-## Contacts
-
-Report an issue: <https://github.com/syntacore/scr1/issues>
-
-Ask a question: scr1@syntacore.com
diff --git a/verilog/rtl/syntacore_scr1/docs/img/scr1_cluster.svg b/verilog/rtl/syntacore_scr1/docs/img/scr1_cluster.svg
deleted file mode 100644
index 15af238..0000000
--- a/verilog/rtl/syntacore_scr1/docs/img/scr1_cluster.svg
+++ /dev/null
@@ -1,619 +0,0 @@
-<?xml version="1.0" encoding="UTF-8" standalone="no"?>

-<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">

-<!-- Создано Microsoft Visio, экспорт SVG scr1_cluster.svg scr1_cluster -->

-<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns:ev="http://www.w3.org/2001/xml-events"

-		xmlns:v="http://schemas.microsoft.com/visio/2003/SVGExtensions/" width="5.73958in" height="5.11458in"

-		viewBox="0 0 413.25 368.25" xml:space="preserve" color-interpolation-filters="sRGB" class="st12">

-	<v:documentProperties v:langID="2057" v:viewMarkup="false"/>

-

-	<style type="text/css">

-	<![CDATA[

-		.st1 {fill:#dcedfa;stroke:#243740;stroke-linecap:butt;stroke-width:0.75}

-		.st2 {fill:#b1ddf0;stroke:#243740;stroke-linecap:butt;stroke-width:0.75}

-		.st3 {fill:#b0e3e6;stroke:#243740;stroke-linecap:butt;stroke-width:0.75}

-		.st4 {fill:none}

-		.st5 {stroke:#243740;stroke-linecap:butt;stroke-width:1.5}

-		.st6 {fill:#243740;stroke:#243740;stroke-linecap:butt;stroke-width:1.5}

-		.st7 {fill:none;stroke:none;stroke-linecap:butt;stroke-width:0.75}

-		.st8 {fill:#243740;font-family:Calibri;font-size:0.75em;font-weight:bold}

-		.st9 {fill:#243740;font-family:Calibri;font-size:0.833336em;font-weight:bold}

-		.st10 {fill:#0c0c0c;font-family:Calibri;font-size:0.833336em;font-weight:bold}

-		.st11 {font-size:1em}

-		.st12 {fill:none;fill-rule:evenodd;font-size:12px;overflow:visible;stroke-linecap:square;stroke-miterlimit:3}

-	]]>

-	</style>

-

-	<g v:mID="0" v:index="1" v:groupContext="foregroundPage">

-		<title>scr1_cluster</title>

-		<v:pageProperties v:drawingScale="1" v:pageScale="1" v:drawingUnits="19" v:shadowOffsetX="9" v:shadowOffsetY="-9"/>

-		<g id="shape2-1" v:mID="2" v:groupContext="shape" transform="translate(60.375,-30.375)">

-			<title>Лист.2</title>

-			<path d="M0 356.55 A11.7003 11.7003 -180 0 0 11.7 368.25 L280.8 368.25 A11.7003 11.7003 -180 0 0 292.5 356.55 L292.5

-						 42.45 A11.7003 11.7003 -180 0 0 280.8 30.75 L11.7 30.75 A11.7003 11.7003 -180 0 0 0 42.45 L0 356.55 Z"

-					class="st1"/>

-		</g>

-		<g id="shape3-3" v:mID="3" v:groupContext="shape" transform="translate(67.875,-210.375)">

-			<title>Лист.3</title>

-			<path d="M0 362.85 A5.40013 5.40013 -180 0 0 5.4 368.25 L272.1 368.25 A5.40013 5.40013 -180 0 0 277.5 362.85 L277.5 238.65

-						 A5.40013 5.40013 -180 0 0 272.1 233.25 L5.4 233.25 A5.40013 5.40013 -180 0 0 0 238.65 L0 362.85 Z"

-					class="st2"/>

-		</g>

-		<g id="shape4-5" v:mID="4" v:groupContext="shape" transform="translate(75.375,-217.875)">

-			<title>Лист.4</title>

-			<path d="M0 363.75 A4.5001 4.5001 -180 0 0 4.5 368.25 L55.5 368.25 A4.5001 4.5001 -180 0 0 60 363.75 L60 342.75 A4.5001

-						 4.5001 -180 0 0 55.5 338.25 L4.5 338.25 A4.5001 4.5001 -180 0 0 0 342.75 L0 363.75 Z" class="st3"/>

-		</g>

-		<g id="shape5-7" v:mID="5" v:groupContext="shape" transform="translate(142.875,-217.875)">

-			<title>Лист.5</title>

-			<path d="M0 363.75 A4.5001 4.5001 -180 0 0 4.5 368.25 L55.5 368.25 A4.5001 4.5001 -180 0 0 60 363.75 L60 342.75 A4.5001

-						 4.5001 -180 0 0 55.5 338.25 L4.5 338.25 A4.5001 4.5001 -180 0 0 0 342.75 L0 363.75 Z" class="st3"/>

-		</g>

-		<g id="shape6-9" v:mID="6" v:groupContext="shape" transform="translate(210.375,-217.875)">

-			<title>Лист.6</title>

-			<path d="M0 363.75 A4.5001 4.5001 -180 0 0 4.5 368.25 L55.5 368.25 A4.5001 4.5001 -180 0 0 60 363.75 L60 342.75 A4.5001

-						 4.5001 -180 0 0 55.5 338.25 L4.5 338.25 A4.5001 4.5001 -180 0 0 0 342.75 L0 363.75 Z" class="st3"/>

-		</g>

-		<g id="shape7-11" v:mID="7" v:groupContext="shape" transform="translate(277.875,-217.875)">

-			<title>Лист.7</title>

-			<path d="M0 363.75 A4.5001 4.5001 -180 0 0 4.5 368.25 L55.5 368.25 A4.5001 4.5001 -180 0 0 60 363.75 L60 342.75 A4.5001

-						 4.5001 -180 0 0 55.5 338.25 L4.5 338.25 A4.5001 4.5001 -180 0 0 0 342.75 L0 363.75 Z" class="st3"/>

-		</g>

-		<g id="shape8-13" v:mID="8" v:groupContext="shape" transform="translate(142.875,-255.375)">

-			<title>Лист.8</title>

-			<path d="M0 363.75 A4.5001 4.5001 -180 0 0 4.5 368.25 L55.5 368.25 A4.5001 4.5001 -180 0 0 60 363.75 L60 342.75 A4.5001

-						 4.5001 -180 0 0 55.5 338.25 L4.5 338.25 A4.5001 4.5001 -180 0 0 0 342.75 L0 363.75 Z" class="st3"/>

-		</g>

-		<g id="shape9-15" v:mID="9" v:groupContext="shape" transform="translate(75.375,-255.375)">

-			<title>Лист.9</title>

-			<path d="M0 363.75 A4.5001 4.5001 -180 0 0 4.5 368.25 L55.5 368.25 A4.5001 4.5001 -180 0 0 60 363.75 L60 342.75 A4.5001

-						 4.5001 -180 0 0 55.5 338.25 L4.5 338.25 A4.5001 4.5001 -180 0 0 0 342.75 L0 363.75 Z" class="st3"/>

-		</g>

-		<g id="shape10-17" v:mID="10" v:groupContext="shape" transform="translate(210.375,-255.375)">

-			<title>Лист.10</title>

-			<path d="M0 363.75 A4.5001 4.5001 -180 0 0 4.5 368.25 L55.5 368.25 A4.5001 4.5001 -180 0 0 60 363.75 L60 342.75 A4.5001

-						 4.5001 -180 0 0 55.5 338.25 L4.5 338.25 A4.5001 4.5001 -180 0 0 0 342.75 L0 363.75 Z" class="st3"/>

-		</g>

-		<g id="shape11-19" v:mID="11" v:groupContext="shape" transform="translate(52.305,-307.635)">

-			<title>Лист.11</title>

-			<path d="M16.14 368.01 L8.07 368.01 L8.07 368.23 L0 368.25 L16.14 368.01 Z" class="st4"/>

-			<path d="M16.14 368.01 L8.07 368.01 L8.07 368.23 L0 368.25" class="st5"/>

-		</g>

-		<g id="shape12-22" v:mID="12" v:groupContext="shape" transform="translate(68.445,-305.25)">

-			<title>Лист.12</title>

-			<path d="M5.25 365.62 L0 368.25 L0 363 L5.25 365.62 Z" class="st6"/>

-		</g>

-		<g id="shape13-24" v:mID="13" v:groupContext="shape" transform="translate(47.055,-305.01)">

-			<title>Лист.13</title>

-			<path d="M0 365.63 L5.24 363 L5.25 368.25 L0 365.63 Z" class="st6"/>

-		</g>

-		<g id="shape14-26" v:mID="14" v:groupContext="shape" transform="translate(75.375,-292.875)">

-			<title>Лист.14</title>

-			<path d="M0 363.75 A4.5001 4.5001 -180 0 0 4.5 368.25 L55.5 368.25 A4.5001 4.5001 -180 0 0 60 363.75 L60 342.75 A4.5001

-						 4.5001 -180 0 0 55.5 338.25 L4.5 338.25 A4.5001 4.5001 -180 0 0 -0 342.75 L0 363.75 Z" class="st3"/>

-		</g>

-		<g id="shape15-28" v:mID="15" v:groupContext="shape" transform="translate(277.875,-255.375)">

-			<title>Лист.15</title>

-			<path d="M0 363.75 A4.5001 4.5001 -180 0 0 4.5 368.25 L55.5 368.25 A4.5001 4.5001 -180 0 0 60 363.75 L60 342.75 A4.5001

-						 4.5001 -180 0 0 55.5 338.25 L4.5 338.25 A4.5001 4.5001 -180 0 0 0 342.75 L0 363.75 Z" class="st3"/>

-		</g>

-		<g id="shape16-30" v:mID="16" v:groupContext="shape" transform="translate(142.875,-292.875)">

-			<title>Лист.16</title>

-			<path d="M0 363.75 A4.5001 4.5001 -180 0 0 4.5 368.25 L55.5 368.25 A4.5001 4.5001 -180 0 0 60 363.75 L60 342.75 A4.5001

-						 4.5001 -180 0 0 55.5 338.25 L4.5 338.25 A4.5001 4.5001 -180 0 0 -0 342.75 L0 363.75 Z" class="st3"/>

-		</g>

-		<g id="shape17-32" v:mID="17" v:groupContext="shape" transform="translate(344.805,-307.635)">

-			<title>Лист.17</title>

-			<path d="M0 368.01 L8.07 368.01 L8.07 368.23 L16.14 368.25 L0 368.01 Z" class="st4"/>

-			<path d="M0 368.01 L8.07 368.01 L8.07 368.23 L16.14 368.25" class="st5"/>

-		</g>

-		<g id="shape18-35" v:mID="18" v:groupContext="shape" transform="translate(339.555,-305.25)">

-			<title>Лист.18</title>

-			<path d="M0 365.62 L5.25 363 L5.25 368.25 L0 365.62 Z" class="st6"/>

-		</g>

-		<g id="shape19-37" v:mID="19" v:groupContext="shape" transform="translate(360.945,-305.01)">

-			<title>Лист.19</title>

-			<path d="M5.25 365.63 L0 368.25 L0.01 363 L5.25 365.63 Z" class="st6"/>

-		</g>

-		<g id="shape20-39" v:mID="20" v:groupContext="shape" transform="translate(277.875,-292.875)">

-			<title>Лист.20</title>

-			<path d="M-0 363.75 A4.5001 4.5001 -180 0 0 4.5 368.25 L55.5 368.25 A4.5001 4.5001 -180 0 0 60 363.75 L60 342.75 A4.5001

-						 4.5001 -180 0 0 55.5 338.25 L4.5 338.25 A4.5001 4.5001 -180 0 0 -0 342.75 L0 363.75 Z" class="st3"/>

-		</g>

-		<g id="shape21-41" v:mID="21" v:groupContext="shape" transform="translate(210.375,-292.875)">

-			<title>Лист.21</title>

-			<path d="M-0 363.75 A4.5001 4.5001 -180 0 0 4.5 368.25 L55.5 368.25 A4.5001 4.5001 -180 0 0 60 363.75 L60 342.75 A4.5001

-						 4.5001 -180 0 0 55.5 338.25 L4.5 338.25 A4.5001 4.5001 -180 0 0 -0 342.75 L0 363.75 Z" class="st3"/>

-		</g>

-		<g id="shape22-43" v:mID="22" v:groupContext="shape" transform="translate(150.375,-123.375)">

-			<title>Лист.22</title>

-			<path d="M0 360.37 A7.87518 7.87518 -180 0 0 7.87 368.25 L104.62 368.25 A7.87518 7.87518 -180 0 0 112.5 360.37 L112.5

-						 323.63 A7.87518 7.87518 -180 0 0 104.62 315.75 L7.87 315.75 A7.87518 7.87518 -180 0 0 0 323.63 L0 360.37

-						 Z" class="st2"/>

-		</g>

-		<g id="shape23-45" v:mID="23" v:groupContext="shape" transform="translate(195.375,-85.875)">

-			<title>Лист.23</title>

-			<path d="M-0 363.75 A4.5001 4.5001 -180 0 0 4.5 368.25 L63 368.25 A4.5001 4.5001 -180 0 0 67.5 363.75 L67.5 342.75 A4.5001

-						 4.5001 -180 0 0 63 338.25 L4.5 338.25 A4.5001 4.5001 -180 0 0 -0 342.75 L0 363.75 Z" class="st2"/>

-		</g>

-		<g id="shape24-47" v:mID="24" v:groupContext="shape" transform="translate(120.375,-149.625)">

-			<title>Лист.24</title>

-			<path d="M0 359.43 L0 368.25 L23.07 368.25 L0 359.43 Z" class="st4"/>

-			<path d="M0 359.43 L0 368.25 L23.07 368.25" class="st5"/>

-		</g>

-		<g id="shape25-50" v:mID="25" v:groupContext="shape" transform="translate(117.75,-158.445)">

-			<title>Лист.25</title>

-			<path d="M2.63 363 L5.25 368.25 L0 368.25 L2.63 363 Z" class="st6"/>

-		</g>

-		<g id="shape26-52" v:mID="26" v:groupContext="shape" transform="translate(143.445,-147)">

-			<title>Лист.26</title>

-			<path d="M5.25 365.63 L0 368.25 L0 363 L5.25 365.63 Z" class="st6"/>

-		</g>

-		<g id="shape27-54" v:mID="27" v:groupContext="shape" transform="translate(105.375,-202.305)">

-			<title>Лист.27</title>

-			<path d="M0 368.25 L0 359.61 L0 368.25 Z" class="st4"/>

-			<path d="M0 368.25 L0 359.61" class="st5"/>

-		</g>

-		<g id="shape28-57" v:mID="28" v:groupContext="shape" transform="translate(102.75,-197.055)">

-			<title>Лист.28</title>

-			<path d="M2.63 368.25 L0 363 L5.25 363 L2.63 368.25 Z" class="st6"/>

-		</g>

-		<g id="shape29-59" v:mID="29" v:groupContext="shape" transform="translate(102.75,-210.945)">

-			<title>Лист.29</title>

-			<path d="M2.63 363 L5.25 368.25 L0 368.25 L2.63 363 Z" class="st6"/>

-		</g>

-		<g id="shape30-61" v:mID="30" v:groupContext="shape" transform="translate(75.375,-165.375)">

-			<title>Лист.30</title>

-			<path d="M0 368.25 L12 338.25 L48 338.25 L60 368.25 L0 368.25 Z" class="st2"/>

-		</g>

-		<g id="shape31-63" v:mID="31" v:groupContext="shape" transform="translate(269.805,-149.625)">

-			<title>Лист.31</title>

-			<path d="M23.07 359.43 L23.07 368.25 L0 368.25 L23.07 359.43 Z" class="st4"/>

-			<path d="M23.07 359.43 L23.07 368.25 L0 368.25" class="st5"/>

-		</g>

-		<g id="shape32-66" v:mID="32" v:groupContext="shape" transform="translate(290.25,-158.445)">

-			<title>Лист.32</title>

-			<path d="M2.63 363 L5.25 368.25 L0 368.25 L2.63 363 Z" class="st6"/>

-		</g>

-		<g id="shape33-68" v:mID="33" v:groupContext="shape" transform="translate(264.555,-147)">

-			<title>Лист.33</title>

-			<path d="M0 365.63 L5.25 363 L5.25 368.25 L0 365.63 Z" class="st6"/>

-		</g>

-		<g id="shape34-70" v:mID="34" v:groupContext="shape" transform="translate(277.875,-165.375)">

-			<title>Лист.34</title>

-			<path d="M0 368.25 L12 338.25 L48 338.25 L60 368.25 L0 368.25 Z" class="st2"/>

-		</g>

-		<g id="shape35-72" v:mID="35" v:groupContext="shape" transform="translate(307.875,-202.305)">

-			<title>Лист.35</title>

-			<path d="M0 359.61 L0 368.25 L0 359.61 Z" class="st4"/>

-			<path d="M0 359.61 L0 368.25" class="st5"/>

-		</g>

-		<g id="shape36-75" v:mID="36" v:groupContext="shape" transform="translate(305.25,-210.945)">

-			<title>Лист.36</title>

-			<path d="M2.63 363 L5.25 368.25 L0 368.25 L2.63 363 Z" class="st6"/>

-		</g>

-		<g id="shape37-77" v:mID="37" v:groupContext="shape" transform="translate(305.25,-197.055)">

-			<title>Лист.37</title>

-			<path d="M2.63 368.25 L0 363 L5.25 363 L2.63 368.25 Z" class="st6"/>

-		</g>

-		<g id="shape38-79" v:mID="38" v:groupContext="shape" transform="translate(269.805,-100.875)">

-			<title>Лист.38</title>

-			<path d="M0 368.25 L38.07 368.25 L38.07 310.68 L0 368.25 Z" class="st4"/>

-			<path d="M0 368.25 L38.07 368.25 L38.07 310.68" class="st5"/>

-		</g>

-		<g id="shape39-82" v:mID="39" v:groupContext="shape" transform="translate(264.555,-98.25)">

-			<title>Лист.39</title>

-			<path d="M0 365.62 L5.25 363 L5.25 368.25 L0 365.62 Z" class="st6"/>

-		</g>

-		<g id="shape40-84" v:mID="40" v:groupContext="shape" transform="translate(305.25,-158.445)">

-			<title>Лист.40</title>

-			<path d="M2.63 363 L5.25 368.25 L0 368.25 L2.63 363 Z" class="st6"/>

-		</g>

-		<g id="shape41-86" v:mID="41" v:groupContext="shape" transform="translate(90.375,-89.805)">

-			<title>Лист.41</title>

-			<path d="M0 368.25 L0 299.61 L0 368.25 Z" class="st4"/>

-			<path d="M0 368.25 L0 299.61" class="st5"/>

-		</g>

-		<g id="shape42-89" v:mID="42" v:groupContext="shape" transform="translate(87.75,-84.555)">

-			<title>Лист.42</title>

-			<path d="M2.63 368.25 L0 363 L5.25 363 L2.63 368.25 Z" class="st6"/>

-		</g>

-		<g id="shape43-91" v:mID="43" v:groupContext="shape" transform="translate(87.75,-158.445)">

-			<title>Лист.43</title>

-			<path d="M2.63 363 L5.25 368.25 L0 368.25 L2.63 363 Z" class="st6"/>

-		</g>

-		<g id="shape44-93" v:mID="44" v:groupContext="shape" transform="translate(75.375,-45.375)">

-			<title>Лист.44</title>

-			<path d="M0 362.62 A5.62513 5.62513 -180 0 0 5.63 368.25 L54.38 368.25 A5.62513 5.62513 -180 0 0 60 362.62 L60 336.38

-						 A5.62513 5.62513 -180 0 0 54.38 330.75 L5.62 330.75 A5.62513 5.62513 -180 0 0 0 336.38 L0 362.62 Z"

-					class="st2"/>

-		</g>

-		<g id="shape45-95" v:mID="45" v:groupContext="shape" transform="translate(277.875,-45.375)">

-			<title>Лист.45</title>

-			<path d="M0 362.62 A5.62513 5.62513 -180 0 0 5.63 368.25 L54.38 368.25 A5.62513 5.62513 -180 0 0 60 362.62 L60 336.38

-						 A5.62513 5.62513 -180 0 0 54.38 330.75 L5.62 330.75 A5.62513 5.62513 -180 0 0 0 336.38 L0 362.62 Z"

-					class="st2"/>

-		</g>

-		<g id="shape46-97" v:mID="46" v:groupContext="shape" transform="translate(322.875,-89.805)">

-			<title>Лист.46</title>

-			<path d="M0 299.61 L0 368.25 L0 299.61 Z" class="st4"/>

-			<path d="M0 299.61 L0 368.25" class="st5"/>

-		</g>

-		<g id="shape47-100" v:mID="47" v:groupContext="shape" transform="translate(320.25,-158.445)">

-			<title>Лист.47</title>

-			<path d="M2.63 363 L5.25 368.25 L0 368.25 L2.63 363 Z" class="st6"/>

-		</g>

-		<g id="shape48-102" v:mID="48" v:groupContext="shape" transform="translate(320.25,-84.555)">

-			<title>Лист.48</title>

-			<path d="M2.63 368.25 L0 363 L5.25 363 L2.63 368.25 Z" class="st6"/>

-		</g>

-		<g id="shape49-104" v:mID="49" v:groupContext="shape" transform="translate(367.875,-300.375)">

-			<title>Лист.49</title>

-			<rect x="0" y="353.25" width="45" height="15" class="st7"/>

-		</g>

-		<g id="shape50-106" v:mID="50" v:groupContext="shape" transform="translate(354.35,-302.925)">

-			<title>Лист.50</title>

-			<desc>JTAG I/F</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="36.025" cy="362.85" width="72.06" height="10.8"/>

-			<rect x="0" y="357.45" width="72.05" height="10.8" class="st7"/>

-			<text x="20.5" y="365.55" class="st8" v:langID="2057"><v:paragraph v:horizAlign="1"/><v:tabList/>JTAG I/F</text>		</g>

-		<g id="shape51-109" v:mID="51" v:groupContext="shape" transform="translate(0.375,-300.375)">

-			<title>Лист.51</title>

-			<rect x="0" y="353.25" width="45" height="15" class="st7"/>

-		</g>

-		<g id="shape52-111" v:mID="52" v:groupContext="shape" transform="translate(-8.65,-302.925)">

-			<title>Лист.52</title>

-			<desc>IRQ I/F</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="31.525" cy="362.85" width="63.05" height="10.8"/>

-			<rect x="0" y="357.45" width="63.05" height="10.8" class="st7"/>

-			<text x="18.49" y="365.55" class="st8" v:langID="2057"><v:paragraph v:horizAlign="1"/><v:tabList/>IRQ I/F</text>		</g>

-		<g id="shape53-114" v:mID="53" v:groupContext="shape" transform="translate(67.875,-0.375)">

-			<title>Лист.53</title>

-			<rect x="0" y="353.25" width="75" height="15" class="st7"/>

-		</g>

-		<g id="shape54-116" v:mID="54" v:groupContext="shape" transform="translate(55.85,-2.925)">

-			<title>Лист.54</title>

-			<desc>AHB/AXI I/F</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="49.525" cy="362.85" width="99.05" height="10.8"/>

-			<rect x="0" y="357.45" width="99.05" height="10.8" class="st7"/>

-			<text x="24.37" y="365.85" class="st9" v:langID="2057"><v:paragraph v:horizAlign="1"/><v:tabList/>AHB/AXI I/F</text>		</g>

-		<g id="shape55-119" v:mID="55" v:groupContext="shape" transform="translate(105.375,-22.305)">

-			<title>Лист.55</title>

-			<path d="M0 352.11 L0 368.25 L0 352.11 Z" class="st4"/>

-			<path d="M0 352.11 L0 368.25" class="st5"/>

-		</g>

-		<g id="shape56-122" v:mID="56" v:groupContext="shape" transform="translate(102.75,-38.445)">

-			<title>Лист.56</title>

-			<path d="M2.63 363 L5.25 368.25 L0 368.25 L2.63 363 Z" class="st6"/>

-		</g>

-		<g id="shape57-124" v:mID="57" v:groupContext="shape" transform="translate(102.75,-17.055)">

-			<title>Лист.57</title>

-			<path d="M2.63 368.25 L0 363 L5.25 363 L2.63 368.25 Z" class="st6"/>

-		</g>

-		<g id="shape58-126" v:mID="58" v:groupContext="shape" transform="translate(270.375,-0.375)">

-			<title>Лист.58</title>

-			<rect x="0" y="353.25" width="75" height="15" class="st7"/>

-		</g>

-		<g id="shape59-128" v:mID="59" v:groupContext="shape" transform="translate(258.35,-2.925)">

-			<title>Лист.59</title>

-			<desc>AHB/AXI I/F</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="49.525" cy="362.85" width="99.06" height="10.8"/>

-			<rect x="0" y="357.45" width="99.05" height="10.8" class="st7"/>

-			<text x="24.37" y="365.85" class="st9" v:langID="2057"><v:paragraph v:horizAlign="1"/><v:tabList/>AHB/AXI I/F</text>		</g>

-		<g id="shape60-131" v:mID="60" v:groupContext="shape" transform="translate(307.875,-22.305)">

-			<title>Лист.60</title>

-			<path d="M0 352.11 L0 368.25 L0 352.11 Z" class="st4"/>

-			<path d="M0 352.11 L0 368.25" class="st5"/>

-		</g>

-		<g id="shape61-134" v:mID="61" v:groupContext="shape" transform="translate(305.25,-38.445)">

-			<title>Лист.61</title>

-			<path d="M2.63 363 L5.25 368.25 L0 368.25 L2.63 363 Z" class="st6"/>

-		</g>

-		<g id="shape62-136" v:mID="62" v:groupContext="shape" transform="translate(305.25,-17.055)">

-			<title>Лист.62</title>

-			<path d="M2.63 368.25 L0 363 L5.25 363 L2.63 368.25 Z" class="st6"/>

-		</g>

-		<g id="shape63-138" v:mID="63" v:groupContext="shape" transform="translate(67.875,-349.125)">

-			<title>Лист.63</title>

-			<rect x="0" y="353.25" width="82.5" height="15" class="st7"/>

-		</g>

-		<g id="shape64-140" v:mID="64" v:groupContext="shape" transform="translate(82.675,-351.45)">

-			<title>Лист.64</title>

-			<desc>SCR1 cluster</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="43.325" cy="362.85" width="86.65" height="10.8"/>

-			<rect x="0" y="357.45" width="86.65" height="10.8" class="st7"/>

-			<text x="0" y="365.85" class="st10" v:langID="2057"><v:paragraph/><v:tabList/>SCR1 cluster</text>		</g>

-		<g id="shape65-143" v:mID="65" v:groupContext="shape" transform="translate(75.375,-326.625)">

-			<title>Лист.65</title>

-			<rect x="0" y="353.25" width="75" height="15" class="st7"/>

-		</g>

-		<g id="shape66-145" v:mID="66" v:groupContext="shape" transform="translate(76.85,-329.175)">

-			<title>Лист.66</title>

-			<desc>SCR1 core</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="40.525" cy="362.85" width="81.06" height="10.8"/>

-			<rect x="0" y="357.45" width="81.05" height="10.8" class="st7"/>

-			<text x="0" y="365.85" class="st10" v:langID="2057"><v:paragraph/><v:tabList/>SCR1 core</text>		</g>

-		<g id="shape67-148" v:mID="67" v:groupContext="shape" transform="translate(90.375,-300.375)">

-			<title>Лист.67</title>

-			<rect x="0" y="353.25" width="30" height="15" class="st7"/>

-		</g>

-		<g id="shape68-150" v:mID="68" v:groupContext="shape" transform="translate(64.125,-301.95)">

-			<title>Лист.68</title>

-			<desc>Interrupt Controller</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="40.525" cy="362.85" width="81.05" height="10.8"/>

-			<rect x="0" y="357.45" width="81.05" height="10.8" class="st7"/>

-			<text x="23.5" y="360.15" class="st8" v:langID="1033"><v:paragraph v:horizAlign="1"/><v:tabList/>Interrupt <v:lf/><tspan

-						x="21.65" dy="1.2em" class="st11">Controller</tspan></text>		</g>

-		<g id="shape69-154" v:mID="69" v:groupContext="shape" transform="translate(157.875,-300.375)">

-			<title>Лист.69</title>

-			<rect x="0" y="353.25" width="30" height="15" class="st7"/>

-		</g>

-		<g id="shape70-156" v:mID="70" v:groupContext="shape" transform="translate(132.35,-302.925)">

-			<title>Лист.70</title>

-			<desc>System Control Unit</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="40.525" cy="362.85" width="81.06" height="10.8"/>

-			<rect x="0" y="357.45" width="81.05" height="10.8" class="st7"/>

-			<text x="26.99" y="360.15" class="st8" v:langID="1033"><v:paragraph v:horizAlign="1"/><v:tabList/>System <v:lf/><tspan

-						x="17.59" dy="1.2em" class="st11">Control Unit</tspan></text>		</g>

-		<g id="shape71-160" v:mID="71" v:groupContext="shape" transform="translate(225.375,-300.375)">

-			<title>Лист.71</title>

-			<rect x="0" y="353.25" width="30" height="15" class="st7"/>

-		</g>

-		<g id="shape72-162" v:mID="72" v:groupContext="shape" transform="translate(204.35,-302.925)">

-			<title>Лист.72</title>

-			<desc>Debug Module</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="36.025" cy="362.85" width="72.06" height="10.8"/>

-			<rect x="0" y="357.45" width="72.05" height="10.8" class="st7"/>

-			<text x="23.96" y="360.15" class="st8" v:langID="1033"><v:paragraph v:horizAlign="1"/><v:tabList/>Debug <v:lf/><tspan

-						x="21.47" dy="1.2em" class="st11">Module</tspan></text>		</g>

-		<g id="shape73-166" v:mID="73" v:groupContext="shape" transform="translate(292.875,-300.375)">

-			<title>Лист.73</title>

-			<rect x="0" y="353.25" width="30" height="15" class="st7"/>

-		</g>

-		<g id="shape74-168" v:mID="74" v:groupContext="shape" transform="translate(267.35,-302.925)">

-			<title>Лист.74</title>

-			<desc>TAP Controller</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="40.525" cy="362.85" width="81.06" height="10.8"/>

-			<rect x="0" y="357.45" width="81.05" height="10.8" class="st7"/>

-			<text x="33.18" y="360.15" class="st8" v:langID="1033"><v:paragraph v:horizAlign="1"/><v:tabList/>TAP<v:lf/><tspan

-						x="21.65" dy="1.2em" class="st11">Controller</tspan></text>		</g>

-		<g id="shape75-172" v:mID="75" v:groupContext="shape" transform="translate(90.375,-262.875)">

-			<title>Лист.75</title>

-			<rect x="0" y="353.25" width="30" height="15" class="st7"/>

-		</g>

-		<g id="shape76-174" v:mID="76" v:groupContext="shape" transform="translate(64.85,-265.425)">

-			<title>Лист.76</title>

-			<desc>Control-Status Register File</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="40.525" cy="362.85" width="81.05" height="10.8"/>

-			<rect x="0" y="357.45" width="81.05" height="10.8" class="st7"/>

-			<text x="13.57" y="360.15" class="st8" v:langID="1033"><v:paragraph v:horizAlign="1"/><v:tabList/>Control-Status <v:lf/><tspan

-						x="17.71" dy="1.2em" class="st11">Register File</tspan></text>		</g>

-		<g id="shape77-178" v:mID="77" v:groupContext="shape" transform="translate(191.625,-142.125)">

-			<title>Лист.77</title>

-			<rect x="0" y="353.25" width="30" height="15" class="st7"/>

-		</g>

-		<g id="shape78-180" v:mID="78" v:groupContext="shape" transform="translate(162,-144)">

-			<title>Лист.78</title>

-			<desc>Tightly-Coupled Memory</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="45" cy="362.85" width="90" height="10.8"/>

-			<rect x="0" y="357.45" width="90" height="10.8" class="st7"/>

-			<text x="12.25" y="359.85" class="st9" v:langID="1033"><v:paragraph v:horizAlign="1"/><v:tabList/>Tightly-Coupled <tspan

-						x="27.21" dy="1.2em" class="st11">Memory</tspan></text>		</g>

-		<g id="shape79-184" v:mID="79" v:groupContext="shape" transform="translate(214.125,-93.375)">

-			<title>Лист.79</title>

-			<rect x="0" y="353.25" width="30" height="15" class="st7"/>

-		</g>

-		<g id="shape80-186" v:mID="80" v:groupContext="shape" transform="translate(206.6,-95.925)">

-			<title>Лист.80</title>

-			<desc>Timer</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="22.525" cy="362.85" width="45.05" height="10.8"/>

-			<rect x="0" y="357.45" width="45.05" height="10.8" class="st7"/>

-			<text x="10.46" y="365.85" class="st9" v:langID="2057"><v:paragraph v:horizAlign="1"/><v:tabList/>Timer</text>		</g>

-		<g id="shape81-189" v:mID="81" v:groupContext="shape" transform="translate(157.875,-225.375)">

-			<title>Лист.81</title>

-			<rect x="0" y="353.25" width="30" height="15" class="st7"/>

-		</g>

-		<g id="shape82-191" v:mID="82" v:groupContext="shape" transform="translate(132.35,-227.925)">

-			<title>Лист.82</title>

-			<desc>Instruction Decode Unit</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="40.525" cy="362.85" width="81.06" height="10.8"/>

-			<rect x="0" y="357.45" width="81.05" height="10.8" class="st7"/>

-			<text x="20.16" y="360.15" class="st8" v:langID="1033"><v:paragraph v:horizAlign="1"/><v:tabList/>Instruction <v:lf/><tspan

-						x="17.41" dy="1.2em" class="st11">Decode Unit</tspan></text>		</g>

-		<g id="shape83-195" v:mID="83" v:groupContext="shape" transform="translate(225.375,-225.375)">

-			<title>Лист.83</title>

-			<rect x="0" y="353.25" width="30" height="15" class="st7"/>

-		</g>

-		<g id="shape84-197" v:mID="84" v:groupContext="shape" transform="translate(199.85,-227.925)">

-			<title>Лист.84</title>

-			<desc>Execution Unit</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="40.525" cy="362.85" width="81.06" height="10.8"/>

-			<rect x="0" y="357.45" width="81.05" height="10.8" class="st7"/>

-			<text x="22.2" y="360.15" class="st8" v:langID="1033"><v:paragraph v:horizAlign="1"/><v:tabList/>Execution <v:lf/><tspan

-						x="32.51" dy="1.2em" class="st11">Unit</tspan></text>		</g>

-		<g id="shape85-201" v:mID="85" v:groupContext="shape" transform="translate(292.875,-225.375)">

-			<title>Лист.85</title>

-			<rect x="0" y="353.25" width="30" height="15" class="st7"/>

-		</g>

-		<g id="shape86-203" v:mID="86" v:groupContext="shape" transform="translate(267.35,-227.925)">

-			<title>Лист.86</title>

-			<desc>Load-Store Unit</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="40.525" cy="362.85" width="81.06" height="10.8"/>

-			<rect x="0" y="357.45" width="81.05" height="10.8" class="st7"/>

-			<text x="20.22" y="360.15" class="st8" v:langID="1033"><v:paragraph v:horizAlign="1"/><v:tabList/>Load-Store <v:lf/><tspan

-						x="32.51" dy="1.2em" class="st11">Unit</tspan></text>		</g>

-		<g id="shape87-207" v:mID="87" v:groupContext="shape" transform="translate(225.375,-262.875)">

-			<title>Лист.87</title>

-			<rect x="0" y="353.25" width="30" height="15" class="st7"/>

-		</g>

-		<g id="shape88-209" v:mID="88" v:groupContext="shape" transform="translate(199.85,-265.425)">

-			<title>Лист.88</title>

-			<desc>Trigger Debug Unit</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="40.525" cy="362.85" width="81.06" height="10.8"/>

-			<rect x="0" y="357.45" width="81.05" height="10.8" class="st7"/>

-			<text x="27.46" y="360.15" class="st8" v:langID="1033"><v:paragraph v:horizAlign="1"/><v:tabList/>Trigger <v:lf/><tspan

-						x="19.42" dy="1.2em" class="st11">Debug Unit</tspan></text>		</g>

-		<g id="shape89-213" v:mID="89" v:groupContext="shape" transform="translate(90.375,-67.875)">

-			<title>Лист.89</title>

-			<rect x="0" y="360.75" width="30" height="7.5" class="st7"/>

-		</g>

-		<g id="shape90-215" v:mID="90" v:groupContext="shape" transform="translate(87.35,-66.675)">

-			<title>Лист.90</title>

-			<desc>IMEM</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="18.025" cy="362.85" width="36.05" height="10.8"/>

-			<rect x="0" y="357.45" width="36.05" height="10.8" class="st7"/>

-			<text x="5.51" y="365.85" class="st9" v:langID="2057"><v:paragraph v:horizAlign="1"/><v:tabList/>IMEM</text>		</g>

-		<g id="shape91-218" v:mID="91" v:groupContext="shape" transform="translate(90.375,-58.875)">

-			<title>Лист.91</title>

-			<rect x="0" y="360.75" width="30" height="7.5" class="st7"/>

-		</g>

-		<g id="shape92-220" v:mID="92" v:groupContext="shape" transform="translate(73.85,-57.675)">

-			<title>Лист.92</title>

-			<desc>AHB/AXI</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="31.525" cy="362.85" width="63.05" height="10.8"/>

-			<rect x="0" y="357.45" width="63.05" height="10.8" class="st7"/>

-			<text x="13.27" y="365.85" class="st9" v:langID="2057"><v:paragraph v:horizAlign="1"/><v:tabList/>AHB/AXI</text>		</g>

-		<g id="shape93-223" v:mID="93" v:groupContext="shape" transform="translate(90.375,-49.875)">

-			<title>Лист.93</title>

-			<rect x="0" y="360.75" width="30" height="7.5" class="st7"/>

-		</g>

-		<g id="shape94-225" v:mID="94" v:groupContext="shape" transform="translate(78.35,-48.675)">

-			<title>Лист.94</title>

-			<desc>bridge</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="27.025" cy="362.85" width="54.05" height="10.8"/>

-			<rect x="0" y="357.45" width="54.05" height="10.8" class="st7"/>

-			<text x="13.77" y="365.85" class="st9" v:langID="2057"><v:paragraph v:horizAlign="1"/><v:tabList/>bridge</text>		</g>

-		<g id="shape95-228" v:mID="95" v:groupContext="shape" transform="translate(292.875,-67.875)">

-			<title>Лист.95</title>

-			<rect x="0" y="360.75" width="30" height="7.5" class="st7"/>

-		</g>

-		<g id="shape96-230" v:mID="96" v:groupContext="shape" transform="translate(289.85,-66.675)">

-			<title>Лист.96</title>

-			<desc>DMEM</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="18.025" cy="362.85" width="36.05" height="10.8"/>

-			<rect x="0" y="357.45" width="36.05" height="10.8" class="st7"/>

-			<text x="3.69" y="365.85" class="st9" v:langID="2057"><v:paragraph v:horizAlign="1"/><v:tabList/>DMEM</text>		</g>

-		<g id="shape97-233" v:mID="97" v:groupContext="shape" transform="translate(292.875,-58.875)">

-			<title>Лист.97</title>

-			<rect x="0" y="360.75" width="30" height="7.5" class="st7"/>

-		</g>

-		<g id="shape98-235" v:mID="98" v:groupContext="shape" transform="translate(276.35,-57.675)">

-			<title>Лист.98</title>

-			<desc>AHB/AXI</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="31.525" cy="362.85" width="63.05" height="10.8"/>

-			<rect x="0" y="357.45" width="63.05" height="10.8" class="st7"/>

-			<text x="13.27" y="365.85" class="st9" v:langID="2057"><v:paragraph v:horizAlign="1"/><v:tabList/>AHB/AXI</text>		</g>

-		<g id="shape99-238" v:mID="99" v:groupContext="shape" transform="translate(292.875,-49.875)">

-			<title>Лист.99</title>

-			<rect x="0" y="360.75" width="30" height="7.5" class="st7"/>

-		</g>

-		<g id="shape100-240" v:mID="100" v:groupContext="shape" transform="translate(280.85,-48.675)">

-			<title>Лист.100</title>

-			<desc>bridge</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="27.025" cy="362.85" width="54.05" height="10.8"/>

-			<rect x="0" y="357.45" width="54.05" height="10.8" class="st7"/>

-			<text x="13.77" y="365.85" class="st9" v:langID="2057"><v:paragraph v:horizAlign="1"/><v:tabList/>bridge</text>		</g>

-		<g id="shape101-243" v:mID="101" v:groupContext="shape" transform="translate(90.375,-180.375)">

-			<title>Лист.101</title>

-			<rect x="0" y="360.75" width="30" height="7.5" class="st7"/>

-		</g>

-		<g id="shape102-245" v:mID="102" v:groupContext="shape" transform="translate(87.35,-179.175)">

-			<title>Лист.102</title>

-			<desc>IMEM</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="18.025" cy="362.85" width="36.05" height="10.8"/>

-			<rect x="0" y="357.45" width="36.05" height="10.8" class="st7"/>

-			<text x="5.51" y="365.85" class="st9" v:langID="2057"><v:paragraph v:horizAlign="1"/><v:tabList/>IMEM</text>		</g>

-		<g id="shape103-248" v:mID="103" v:groupContext="shape" transform="translate(90.375,-171.375)">

-			<title>Лист.103</title>

-			<rect x="0" y="360.75" width="30" height="7.5" class="st7"/>

-		</g>

-		<g id="shape104-250" v:mID="104" v:groupContext="shape" transform="translate(78.35,-170.175)">

-			<title>Лист.104</title>

-			<desc>router</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="27.025" cy="362.85" width="54.05" height="10.8"/>

-			<rect x="0" y="357.45" width="54.05" height="10.8" class="st7"/>

-			<text x="13.85" y="365.85" class="st9" v:langID="2057"><v:paragraph v:horizAlign="1"/><v:tabList/>router</text>		</g>

-		<g id="shape105-253" v:mID="105" v:groupContext="shape" transform="translate(292.875,-180.375)">

-			<title>Лист.105</title>

-			<rect x="0" y="360.75" width="30" height="7.5" class="st7"/>

-		</g>

-		<g id="shape106-255" v:mID="106" v:groupContext="shape" transform="translate(289.85,-179.175)">

-			<title>Лист.106</title>

-			<desc>DMEM</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="18.025" cy="362.85" width="36.05" height="10.8"/>

-			<rect x="0" y="357.45" width="36.05" height="10.8" class="st7"/>

-			<text x="3.69" y="365.85" class="st9" v:langID="2057"><v:paragraph v:horizAlign="1"/><v:tabList/>DMEM</text>		</g>

-		<g id="shape107-258" v:mID="107" v:groupContext="shape" transform="translate(292.875,-171.375)">

-			<title>Лист.107</title>

-			<rect x="0" y="360.75" width="30" height="7.5" class="st7"/>

-		</g>

-		<g id="shape108-260" v:mID="108" v:groupContext="shape" transform="translate(280.85,-170.175)">

-			<title>Лист.108</title>

-			<desc>router</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="27.025" cy="362.85" width="54.05" height="10.8"/>

-			<rect x="0" y="357.45" width="54.05" height="10.8" class="st7"/>

-			<text x="13.85" y="365.85" class="st9" v:langID="2057"><v:paragraph v:horizAlign="1"/><v:tabList/>router</text>		</g>

-		<g id="shape109-263" v:mID="109" v:groupContext="shape" transform="translate(157.875,-262.875)">

-			<title>Лист.109</title>

-			<rect x="0" y="353.25" width="30" height="15" class="st7"/>

-		</g>

-		<g id="shape110-265" v:mID="110" v:groupContext="shape" transform="translate(132.35,-265.425)">

-			<title>Лист.110</title>

-			<desc>Multi-Port Register File</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="40.525" cy="362.85" width="81.06" height="10.8"/>

-			<rect x="0" y="357.45" width="81.05" height="10.8" class="st7"/>

-			<text x="21.06" y="360.15" class="st8" v:langID="1033"><v:paragraph v:horizAlign="1"/><v:tabList/>Multi-Port <v:lf/><tspan

-						x="17.71" dy="1.2em" class="st11">Register File</tspan></text>		</g>

-		<g id="shape111-269" v:mID="111" v:groupContext="shape" transform="translate(292.875,-262.875)">

-			<title>Лист.111</title>

-			<rect x="0" y="353.25" width="30" height="15" class="st7"/>

-		</g>

-		<g id="shape112-271" v:mID="112" v:groupContext="shape" transform="translate(267.35,-265.425)">

-			<title>Лист.112</title>

-			<desc>Hart Debug Unit</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="40.525" cy="362.85" width="81.06" height="10.8"/>

-			<rect x="0" y="357.45" width="81.05" height="10.8" class="st7"/>

-			<text x="32.31" y="360.15" class="st8" v:langID="1033"><v:paragraph v:horizAlign="1"/><v:tabList/>Hart <v:lf/><tspan

-						x="19.42" dy="1.2em" class="st11">Debug Unit</tspan></text>		</g>

-		<g id="shape113-275" v:mID="113" v:groupContext="shape" transform="translate(90.375,-225.375)">

-			<title>Лист.113</title>

-			<rect x="0" y="353.25" width="30" height="15" class="st7"/>

-		</g>

-		<g id="shape114-277" v:mID="114" v:groupContext="shape" transform="translate(64.85,-227.925)">

-			<title>Лист.114</title>

-			<desc>Instruction Fetch Unit</desc>

-			<v:textBlock v:margins="rect(0,0,0,0)"/>

-			<v:textRect cx="40.525" cy="362.85" width="81.05" height="10.8"/>

-			<rect x="0" y="357.45" width="81.05" height="10.8" class="st7"/>

-			<text x="20.16" y="360.15" class="st8" v:langID="1033"><v:paragraph v:horizAlign="1"/><v:tabList/>Instruction<v:newlineChar/><tspan

-						x="21.3" dy="1.2em" class="st11">Fetch Unit</tspan></text>		</g>

-	</g>

-</svg>

diff --git a/verilog/rtl/syntacore_scr1/docs/scr1_eas.pdf b/verilog/rtl/syntacore_scr1/docs/scr1_eas.pdf
deleted file mode 100644
index e436e1c..0000000
--- a/verilog/rtl/syntacore_scr1/docs/scr1_eas.pdf
+++ /dev/null
Binary files differ
diff --git a/verilog/rtl/syntacore_scr1/docs/scr1_um.pdf b/verilog/rtl/syntacore_scr1/docs/scr1_um.pdf
deleted file mode 100644
index 07a69d1..0000000
--- a/verilog/rtl/syntacore_scr1/docs/scr1_um.pdf
+++ /dev/null
Binary files differ