blob: 7cc9c387fbd3759085993903c28e499a3c70865d [file] [log] [blame]
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/ali11-2000/FYP/mpw/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 441 library cells
[INFO ODB-0226] Finished LEF file: /home/ali11-2000/FYP/mpw/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/ali11-2000/FYP/mpw/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/results/routing/Motor_Top.def
[INFO ODB-0128] Design: Motor_Top
[INFO ODB-0130] Created 97 pins.
[INFO ODB-0131] Created 32552 components and 145377 component-terminals.
[INFO ODB-0132] Created 2 special nets and 123660 connections.
[INFO ODB-0133] Created 5997 nets and 21717 connections.
[INFO ODB-0134] Finished DEF file: /home/ali11-2000/FYP/mpw/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/results/routing/Motor_Top.def
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__fill_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__fill_1 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__tapvpwrvgnd_1 has no liberty cell.
###############################################################################
# Created by write_sdc
# Sun Mar 20 08:55:29 2022
###############################################################################
current_design Motor_Top
###############################################################################
# Timing Constraints
###############################################################################
create_clock -name clock -period 20.0000 [get_ports {clock}]
set_clock_transition 0.1500 [get_clocks {clock}]
set_clock_uncertainty 0.2500 clock
set_propagated_clock [get_clocks {clock}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_ba_match}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_qei_ch_a}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_qei_ch_b}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[10]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[11]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[12]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[13]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[14]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[15]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[4]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[5]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[6]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[7]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[8]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[9]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[10]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[11]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[12]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[13]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[14]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[15]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[16]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[17]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[18]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[19]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[20]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[21]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[22]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[23]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[24]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[25]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[26]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[27]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[28]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[29]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[30]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[31]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[4]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[5]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[6]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[7]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[8]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[9]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_stb}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_we}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {reset}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_motor_irq}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_pwm_high}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_pwm_low}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_ack_o}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[0]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[10]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[11]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[12]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[13]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[14]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[15]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[16]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[17]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[18]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[19]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[1]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[20]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[21]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[22]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[23]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[24]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[25]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[26]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[27]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[28]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[29]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[2]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[30]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[31]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[3]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[4]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[5]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[6]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[7]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[8]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[9]}]
###############################################################################
# Environment
###############################################################################
set_load -pin_load 0.0334 [get_ports {io_motor_irq}]
set_load -pin_load 0.0334 [get_ports {io_pwm_high}]
set_load -pin_load 0.0334 [get_ports {io_pwm_low}]
set_load -pin_load 0.0334 [get_ports {io_wbs_ack_o}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[31]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[30]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[29]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[28]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[27]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[26]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[25]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[24]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[23]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[22]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[21]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[20]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[19]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[18]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[17]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[16]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[15]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[14]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[13]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[12]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[11]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[10]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[9]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[8]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[7]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[6]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[5]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[4]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[3]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[2]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[1]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {clock}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_ba_match}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_qei_ch_a}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_qei_ch_b}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_stb}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_we}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {reset}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[0]}]
set_timing_derate -early 0.9500
set_timing_derate -late 1.0500
###############################################################################
# Design Rules
###############################################################################
set_max_fanout 5.0000 [current_design]
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
======================= Slowest Corner ===================================
Startpoint: io_wbs_m2s_data[20] (input port clocked by clock)
Endpoint: _10604_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.06 0.03 4.03 ^ io_wbs_m2s_data[20] (in)
2 0.01 io_wbs_m2s_data[20] (net)
0.06 0.00 4.03 ^ input28/A (sky130_fd_sc_hd__buf_6)
0.23 0.27 4.30 ^ input28/X (sky130_fd_sc_hd__buf_6)
8 0.07 net28 (net)
0.23 0.02 4.32 ^ _06228_/A1 (sky130_fd_sc_hd__o211a_1)
0.07 0.35 4.67 ^ _06228_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00064_ (net)
0.07 0.00 4.67 ^ _10604_/D (sky130_fd_sc_hd__dfxtp_1)
4.67 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_51_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.83 4.18 ^ clkbuf_leaf_51_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_51_clock (net)
0.12 0.00 4.19 ^ _10604_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.44 clock uncertainty
0.00 4.44 clock reconvergence pessimism
-0.06 4.37 library hold time
4.37 data required time
-----------------------------------------------------------------------------
4.37 data required time
-4.67 data arrival time
-----------------------------------------------------------------------------
0.29 slack (MET)
Startpoint: io_wbs_m2s_data[29] (input port clocked by clock)
Endpoint: _10613_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.07 0.05 4.05 ^ io_wbs_m2s_data[29] (in)
2 0.01 io_wbs_m2s_data[29] (net)
0.07 0.00 4.05 ^ input37/A (sky130_fd_sc_hd__buf_8)
0.24 0.27 4.32 ^ input37/X (sky130_fd_sc_hd__buf_8)
8 0.09 net37 (net)
0.24 0.02 4.34 ^ _06250_/A1 (sky130_fd_sc_hd__o211a_1)
0.07 0.35 4.70 ^ _06250_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00073_ (net)
0.07 0.00 4.70 ^ _10613_/D (sky130_fd_sc_hd__dfxtp_1)
4.70 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_47_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.82 4.18 ^ clkbuf_leaf_47_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_47_clock (net)
0.11 0.00 4.18 ^ _10613_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.43 clock uncertainty
0.00 4.43 clock reconvergence pessimism
-0.07 4.37 library hold time
4.37 data required time
-----------------------------------------------------------------------------
4.37 data required time
-4.70 data arrival time
-----------------------------------------------------------------------------
0.33 slack (MET)
Startpoint: io_wbs_m2s_data[20] (input port clocked by clock)
Endpoint: _10668_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.06 0.03 4.03 ^ io_wbs_m2s_data[20] (in)
2 0.01 io_wbs_m2s_data[20] (net)
0.06 0.00 4.03 ^ input28/A (sky130_fd_sc_hd__buf_6)
0.23 0.27 4.30 ^ input28/X (sky130_fd_sc_hd__buf_6)
8 0.07 net28 (net)
0.23 0.02 4.32 ^ _08010_/A1 (sky130_fd_sc_hd__o211a_1)
0.07 0.35 4.67 ^ _08010_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00128_ (net)
0.07 0.00 4.67 ^ _10668_/D (sky130_fd_sc_hd__dfxtp_1)
4.67 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.01 3.36 ^ clkbuf_leaf_45_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.79 4.14 ^ clkbuf_leaf_45_clock/X (sky130_fd_sc_hd__clkbuf_16)
3 0.01 clknet_leaf_45_clock (net)
0.10 0.00 4.14 ^ _10668_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.39 clock uncertainty
0.00 4.39 clock reconvergence pessimism
-0.07 4.33 library hold time
4.33 data required time
-----------------------------------------------------------------------------
4.33 data required time
-4.67 data arrival time
-----------------------------------------------------------------------------
0.34 slack (MET)
Startpoint: io_wbs_m2s_data[25] (input port clocked by clock)
Endpoint: _10609_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.06 0.03 4.03 ^ io_wbs_m2s_data[25] (in)
2 0.01 io_wbs_m2s_data[25] (net)
0.06 0.00 4.03 ^ input33/A (sky130_fd_sc_hd__buf_6)
0.27 0.30 4.33 ^ input33/X (sky130_fd_sc_hd__buf_6)
8 0.08 net33 (net)
0.28 0.02 4.35 ^ _06241_/A1 (sky130_fd_sc_hd__o211a_1)
0.07 0.36 4.71 ^ _06241_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00069_ (net)
0.07 0.00 4.71 ^ _10609_/D (sky130_fd_sc_hd__dfxtp_1)
4.71 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_47_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.82 4.18 ^ clkbuf_leaf_47_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_47_clock (net)
0.11 0.00 4.18 ^ _10609_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.43 clock uncertainty
0.00 4.43 clock reconvergence pessimism
-0.06 4.37 library hold time
4.37 data required time
-----------------------------------------------------------------------------
4.37 data required time
-4.71 data arrival time
-----------------------------------------------------------------------------
0.35 slack (MET)
Startpoint: io_wbs_m2s_data[26] (input port clocked by clock)
Endpoint: _10610_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.07 0.04 4.04 ^ io_wbs_m2s_data[26] (in)
2 0.01 io_wbs_m2s_data[26] (net)
0.07 0.00 4.04 ^ input34/A (sky130_fd_sc_hd__buf_8)
0.23 0.29 4.33 ^ input34/X (sky130_fd_sc_hd__buf_8)
8 0.09 net34 (net)
0.23 0.01 4.34 ^ _06243_/A1 (sky130_fd_sc_hd__o211a_1)
0.09 0.37 4.71 ^ _06243_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00070_ (net)
0.09 0.00 4.71 ^ _10610_/D (sky130_fd_sc_hd__dfxtp_1)
4.71 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_47_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.82 4.18 ^ clkbuf_leaf_47_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_47_clock (net)
0.11 0.00 4.18 ^ _10610_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.43 clock uncertainty
0.00 4.43 clock reconvergence pessimism
-0.07 4.36 library hold time
4.36 data required time
-----------------------------------------------------------------------------
4.36 data required time
-4.71 data arrival time
-----------------------------------------------------------------------------
0.35 slack (MET)
Startpoint: io_wbs_m2s_data[28] (input port clocked by clock)
Endpoint: _10612_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.06 0.03 4.03 ^ io_wbs_m2s_data[28] (in)
2 0.01 io_wbs_m2s_data[28] (net)
0.06 0.00 4.03 ^ input36/A (sky130_fd_sc_hd__buf_6)
0.28 0.30 4.34 ^ input36/X (sky130_fd_sc_hd__buf_6)
8 0.09 net36 (net)
0.28 0.02 4.36 ^ _06248_/A1 (sky130_fd_sc_hd__o211a_1)
0.07 0.37 4.73 ^ _06248_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00072_ (net)
0.07 0.00 4.73 ^ _10612_/D (sky130_fd_sc_hd__dfxtp_1)
4.73 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_47_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.82 4.18 ^ clkbuf_leaf_47_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_47_clock (net)
0.11 0.00 4.18 ^ _10612_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.43 clock uncertainty
0.00 4.43 clock reconvergence pessimism
-0.07 4.37 library hold time
4.37 data required time
-----------------------------------------------------------------------------
4.37 data required time
-4.73 data arrival time
-----------------------------------------------------------------------------
0.36 slack (MET)
Startpoint: io_wbs_m2s_data[18] (input port clocked by clock)
Endpoint: _10602_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.05 0.02 4.02 ^ io_wbs_m2s_data[18] (in)
2 0.00 io_wbs_m2s_data[18] (net)
0.05 0.00 4.02 ^ input25/A (sky130_fd_sc_hd__buf_4)
0.28 0.33 4.35 ^ input25/X (sky130_fd_sc_hd__buf_4)
8 0.06 net25 (net)
0.28 0.01 4.36 ^ _06222_/A1 (sky130_fd_sc_hd__o211a_1)
0.07 0.36 4.72 ^ _06222_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00062_ (net)
0.07 0.00 4.72 ^ _10602_/D (sky130_fd_sc_hd__dfxtp_1)
4.72 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.01 3.35 ^ clkbuf_leaf_42_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.81 4.17 ^ clkbuf_leaf_42_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_42_clock (net)
0.11 0.00 4.17 ^ _10602_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.42 clock uncertainty
0.00 4.42 clock reconvergence pessimism
-0.06 4.35 library hold time
4.35 data required time
-----------------------------------------------------------------------------
4.35 data required time
-4.72 data arrival time
-----------------------------------------------------------------------------
0.37 slack (MET)
Startpoint: io_wbs_m2s_data[21] (input port clocked by clock)
Endpoint: _10605_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.06 0.03 4.03 ^ io_wbs_m2s_data[21] (in)
2 0.01 io_wbs_m2s_data[21] (net)
0.06 0.00 4.03 ^ input29/A (sky130_fd_sc_hd__buf_6)
0.30 0.32 4.35 ^ input29/X (sky130_fd_sc_hd__buf_6)
8 0.09 net29 (net)
0.30 0.01 4.37 ^ _06230_/A1 (sky130_fd_sc_hd__o211a_1)
0.08 0.38 4.74 ^ _06230_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00065_ (net)
0.08 0.00 4.74 ^ _10605_/D (sky130_fd_sc_hd__dfxtp_1)
4.74 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_51_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.83 4.18 ^ clkbuf_leaf_51_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_51_clock (net)
0.12 0.00 4.19 ^ _10605_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.44 clock uncertainty
0.00 4.44 clock reconvergence pessimism
-0.07 4.37 library hold time
4.37 data required time
-----------------------------------------------------------------------------
4.37 data required time
-4.74 data arrival time
-----------------------------------------------------------------------------
0.37 slack (MET)
Startpoint: io_wbs_m2s_data[17] (input port clocked by clock)
Endpoint: _10601_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.05 0.03 4.03 ^ io_wbs_m2s_data[17] (in)
2 0.01 io_wbs_m2s_data[17] (net)
0.05 0.00 4.03 ^ input24/A (sky130_fd_sc_hd__buf_4)
0.27 0.32 4.34 ^ input24/X (sky130_fd_sc_hd__buf_4)
8 0.06 net24 (net)
0.27 0.01 4.36 ^ _06219_/A1 (sky130_fd_sc_hd__o211a_1)
0.07 0.36 4.72 ^ _06219_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00061_ (net)
0.07 0.00 4.72 ^ _10601_/D (sky130_fd_sc_hd__dfxtp_1)
4.72 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.01 3.35 ^ clkbuf_leaf_41_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.81 4.16 ^ clkbuf_leaf_41_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_41_clock (net)
0.11 0.00 4.16 ^ _10601_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.41 clock uncertainty
0.00 4.41 clock reconvergence pessimism
-0.07 4.34 library hold time
4.34 data required time
-----------------------------------------------------------------------------
4.34 data required time
-4.72 data arrival time
-----------------------------------------------------------------------------
0.38 slack (MET)
Startpoint: io_wbs_m2s_data[17] (input port clocked by clock)
Endpoint: _10665_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.05 0.03 4.03 ^ io_wbs_m2s_data[17] (in)
2 0.01 io_wbs_m2s_data[17] (net)
0.05 0.00 4.03 ^ input24/A (sky130_fd_sc_hd__buf_4)
0.27 0.32 4.34 ^ input24/X (sky130_fd_sc_hd__buf_4)
8 0.06 net24 (net)
0.27 0.01 4.36 ^ _08001_/A1 (sky130_fd_sc_hd__o211a_1)
0.06 0.36 4.71 ^ _08001_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00125_ (net)
0.06 0.00 4.71 ^ _10665_/D (sky130_fd_sc_hd__dfxtp_1)
4.71 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.01 3.35 ^ clkbuf_leaf_43_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.80 4.15 ^ clkbuf_leaf_43_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.01 clknet_leaf_43_clock (net)
0.10 0.00 4.15 ^ _10665_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.40 clock uncertainty
0.00 4.40 clock reconvergence pessimism
-0.07 4.33 library hold time
4.33 data required time
-----------------------------------------------------------------------------
4.33 data required time
-4.71 data arrival time
-----------------------------------------------------------------------------
0.38 slack (MET)
Startpoint: io_wbs_m2s_data[16] (input port clocked by clock)
Endpoint: _10600_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.06 0.04 4.04 ^ io_wbs_m2s_data[16] (in)
2 0.01 io_wbs_m2s_data[16] (net)
0.06 0.00 4.04 ^ input23/A (sky130_fd_sc_hd__buf_6)
0.28 0.30 4.34 ^ input23/X (sky130_fd_sc_hd__buf_6)
8 0.09 net23 (net)
0.28 0.02 4.36 ^ _06217_/A1 (sky130_fd_sc_hd__o211a_1)
0.07 0.37 4.72 ^ _06217_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00060_ (net)
0.07 0.00 4.72 ^ _10600_/D (sky130_fd_sc_hd__dfxtp_1)
4.72 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.01 3.35 ^ clkbuf_leaf_41_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.81 4.16 ^ clkbuf_leaf_41_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_41_clock (net)
0.11 0.00 4.16 ^ _10600_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.41 clock uncertainty
0.00 4.41 clock reconvergence pessimism
-0.07 4.34 library hold time
4.34 data required time
-----------------------------------------------------------------------------
4.34 data required time
-4.72 data arrival time
-----------------------------------------------------------------------------
0.38 slack (MET)
Startpoint: _10881_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10628_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.19 0.89 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.89 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.27 1.16 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.16 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.29 0.38 1.55 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.29 0.00 1.55 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.08 0.95 2.49 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
18 0.13 clknet_3_5_0_clock (net)
1.08 0.00 2.50 ^ _10881_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.15 1.01 3.51 ^ _10881_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pid._T_110 (net)
0.15 0.00 3.51 ^ _07806_/C1 (sky130_fd_sc_hd__a211o_4)
0.16 0.25 3.76 ^ _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.16 0.00 3.76 ^ _07850_/A (sky130_fd_sc_hd__buf_4)
0.23 0.35 4.11 ^ _07850_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02856_ (net)
0.23 0.00 4.11 ^ _07860_/B1 (sky130_fd_sc_hd__o221ai_4)
0.15 0.28 4.39 v _07860_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.01 _02864_ (net)
0.15 0.00 4.39 v _07861_/C1 (sky130_fd_sc_hd__o211a_1)
0.06 0.22 4.61 v _07861_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00088_ (net)
0.06 0.00 4.61 v _10628_/D (sky130_fd_sc_hd__dfxtp_1)
4.61 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_38_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.83 4.19 ^ clkbuf_leaf_38_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_38_clock (net)
0.12 0.00 4.19 ^ _10628_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.44 clock uncertainty
-0.12 4.32 clock reconvergence pessimism
-0.09 4.23 library hold time
4.23 data required time
-----------------------------------------------------------------------------
4.23 data required time
-4.61 data arrival time
-----------------------------------------------------------------------------
0.38 slack (MET)
Startpoint: _10881_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10627_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.19 0.89 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.89 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.27 1.16 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.16 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.29 0.38 1.55 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.29 0.00 1.55 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.08 0.95 2.49 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
18 0.13 clknet_3_5_0_clock (net)
1.08 0.00 2.50 ^ _10881_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.15 1.01 3.51 ^ _10881_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pid._T_110 (net)
0.15 0.00 3.51 ^ _07806_/C1 (sky130_fd_sc_hd__a211o_4)
0.16 0.25 3.76 ^ _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.16 0.00 3.76 ^ _07850_/A (sky130_fd_sc_hd__buf_4)
0.23 0.35 4.11 ^ _07850_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02856_ (net)
0.23 0.00 4.11 ^ _07857_/B1 (sky130_fd_sc_hd__o221ai_4)
0.15 0.28 4.39 v _07857_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.01 _02862_ (net)
0.15 0.00 4.40 v _07858_/C1 (sky130_fd_sc_hd__o211a_1)
0.05 0.22 4.61 v _07858_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00087_ (net)
0.05 0.00 4.61 v _10627_/D (sky130_fd_sc_hd__dfxtp_1)
4.61 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_38_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.83 4.19 ^ clkbuf_leaf_38_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_38_clock (net)
0.12 0.00 4.19 ^ _10627_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.44 clock uncertainty
-0.12 4.32 clock reconvergence pessimism
-0.09 4.23 library hold time
4.23 data required time
-----------------------------------------------------------------------------
4.23 data required time
-4.61 data arrival time
-----------------------------------------------------------------------------
0.38 slack (MET)
Startpoint: io_wbs_m2s_data[16] (input port clocked by clock)
Endpoint: _10664_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.06 0.04 4.04 ^ io_wbs_m2s_data[16] (in)
2 0.01 io_wbs_m2s_data[16] (net)
0.06 0.00 4.04 ^ input23/A (sky130_fd_sc_hd__buf_6)
0.28 0.30 4.34 ^ input23/X (sky130_fd_sc_hd__buf_6)
8 0.09 net23 (net)
0.28 0.02 4.36 ^ _07999_/A1 (sky130_fd_sc_hd__o211a_1)
0.07 0.36 4.72 ^ _07999_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00124_ (net)
0.07 0.00 4.72 ^ _10664_/D (sky130_fd_sc_hd__dfxtp_1)
4.72 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.01 3.35 ^ clkbuf_leaf_43_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.80 4.15 ^ clkbuf_leaf_43_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.01 clknet_leaf_43_clock (net)
0.10 0.00 4.15 ^ _10664_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.40 clock uncertainty
0.00 4.40 clock reconvergence pessimism
-0.07 4.33 library hold time
4.33 data required time
-----------------------------------------------------------------------------
4.33 data required time
-4.72 data arrival time
-----------------------------------------------------------------------------
0.39 slack (MET)
Startpoint: io_wbs_m2s_data[18] (input port clocked by clock)
Endpoint: _10666_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.05 0.02 4.02 ^ io_wbs_m2s_data[18] (in)
2 0.00 io_wbs_m2s_data[18] (net)
0.05 0.00 4.02 ^ input25/A (sky130_fd_sc_hd__buf_4)
0.28 0.33 4.35 ^ input25/X (sky130_fd_sc_hd__buf_4)
8 0.06 net25 (net)
0.28 0.01 4.36 ^ _08004_/A1 (sky130_fd_sc_hd__o211a_1)
0.08 0.38 4.74 ^ _08004_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00126_ (net)
0.08 0.00 4.74 ^ _10666_/D (sky130_fd_sc_hd__dfxtp_1)
4.74 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.01 3.35 ^ clkbuf_leaf_42_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.81 4.17 ^ clkbuf_leaf_42_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_42_clock (net)
0.11 0.00 4.17 ^ _10666_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.42 clock uncertainty
0.00 4.42 clock reconvergence pessimism
-0.07 4.35 library hold time
4.35 data required time
-----------------------------------------------------------------------------
4.35 data required time
-4.74 data arrival time
-----------------------------------------------------------------------------
0.39 slack (MET)
Startpoint: io_wbs_m2s_data[27] (input port clocked by clock)
Endpoint: _10611_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.09 0.06 4.06 ^ io_wbs_m2s_data[27] (in)
2 0.01 io_wbs_m2s_data[27] (net)
0.09 0.00 4.06 ^ input35/A (sky130_fd_sc_hd__buf_12)
0.22 0.26 4.32 ^ input35/X (sky130_fd_sc_hd__buf_12)
8 0.12 net35 (net)
0.25 0.06 4.38 ^ _06245_/A1 (sky130_fd_sc_hd__o211a_1)
0.08 0.37 4.75 ^ _06245_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00071_ (net)
0.08 0.00 4.75 ^ _10611_/D (sky130_fd_sc_hd__dfxtp_1)
4.75 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_47_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.82 4.18 ^ clkbuf_leaf_47_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_47_clock (net)
0.11 0.00 4.18 ^ _10611_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.43 clock uncertainty
0.00 4.43 clock reconvergence pessimism
-0.07 4.36 library hold time
4.36 data required time
-----------------------------------------------------------------------------
4.36 data required time
-4.75 data arrival time
-----------------------------------------------------------------------------
0.39 slack (MET)
Startpoint: _10881_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10626_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.19 0.89 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.89 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.27 1.16 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.16 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.29 0.38 1.55 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.29 0.00 1.55 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.08 0.95 2.49 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
18 0.13 clknet_3_5_0_clock (net)
1.08 0.00 2.50 ^ _10881_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.15 1.01 3.51 ^ _10881_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pid._T_110 (net)
0.15 0.00 3.51 ^ _07806_/C1 (sky130_fd_sc_hd__a211o_4)
0.16 0.25 3.76 ^ _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.16 0.00 3.76 ^ _07850_/A (sky130_fd_sc_hd__buf_4)
0.23 0.35 4.11 ^ _07850_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02856_ (net)
0.23 0.00 4.11 ^ _07854_/B1 (sky130_fd_sc_hd__o221ai_4)
0.15 0.28 4.39 v _07854_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.01 _02860_ (net)
0.15 0.00 4.39 v _07855_/C1 (sky130_fd_sc_hd__o211a_1)
0.05 0.22 4.61 v _07855_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00086_ (net)
0.05 0.00 4.61 v _10626_/D (sky130_fd_sc_hd__dfxtp_1)
4.61 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_35_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.81 4.18 ^ clkbuf_leaf_35_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_35_clock (net)
0.11 0.00 4.18 ^ _10626_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.43 clock uncertainty
-0.12 4.30 clock reconvergence pessimism
-0.09 4.22 library hold time
4.22 data required time
-----------------------------------------------------------------------------
4.22 data required time
-4.61 data arrival time
-----------------------------------------------------------------------------
0.39 slack (MET)
Startpoint: _10881_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10630_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.19 0.89 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.89 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.27 1.16 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.16 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.29 0.38 1.55 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.29 0.00 1.55 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.08 0.95 2.49 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
18 0.13 clknet_3_5_0_clock (net)
1.08 0.00 2.50 ^ _10881_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.15 1.01 3.51 ^ _10881_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pid._T_110 (net)
0.15 0.00 3.51 ^ _07806_/C1 (sky130_fd_sc_hd__a211o_4)
0.16 0.25 3.76 ^ _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.16 0.00 3.76 ^ _07850_/A (sky130_fd_sc_hd__buf_4)
0.23 0.35 4.11 ^ _07850_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02856_ (net)
0.23 0.00 4.11 ^ _07867_/B1 (sky130_fd_sc_hd__o221ai_4)
0.14 0.27 4.38 v _07867_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.01 _02869_ (net)
0.14 0.00 4.38 v _07868_/C1 (sky130_fd_sc_hd__o211a_1)
0.07 0.23 4.62 v _07868_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00090_ (net)
0.07 0.00 4.62 v _10630_/D (sky130_fd_sc_hd__dfxtp_1)
4.62 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_38_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.83 4.19 ^ clkbuf_leaf_38_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_38_clock (net)
0.12 0.00 4.19 ^ _10630_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.44 clock uncertainty
-0.12 4.32 clock reconvergence pessimism
-0.09 4.22 library hold time
4.22 data required time
-----------------------------------------------------------------------------
4.22 data required time
-4.62 data arrival time
-----------------------------------------------------------------------------
0.39 slack (MET)
Startpoint: io_wbs_m2s_data[19] (input port clocked by clock)
Endpoint: _10603_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.08 0.05 4.05 ^ io_wbs_m2s_data[19] (in)
2 0.01 io_wbs_m2s_data[19] (net)
0.08 0.00 4.05 ^ input26/A (sky130_fd_sc_hd__buf_8)
0.25 0.28 4.33 ^ input26/X (sky130_fd_sc_hd__buf_8)
8 0.10 net26 (net)
0.26 0.04 4.37 ^ _06224_/A1 (sky130_fd_sc_hd__o211a_1)
0.08 0.37 4.74 ^ _06224_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00063_ (net)
0.08 0.00 4.74 ^ _10603_/D (sky130_fd_sc_hd__dfxtp_1)
4.74 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.01 3.35 ^ clkbuf_leaf_41_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.81 4.16 ^ clkbuf_leaf_41_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_41_clock (net)
0.11 0.00 4.16 ^ _10603_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.41 clock uncertainty
0.00 4.41 clock reconvergence pessimism
-0.07 4.34 library hold time
4.34 data required time
-----------------------------------------------------------------------------
4.34 data required time
-4.74 data arrival time
-----------------------------------------------------------------------------
0.40 slack (MET)
Startpoint: io_wbs_m2s_data[21] (input port clocked by clock)
Endpoint: _10669_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.06 0.03 4.03 ^ io_wbs_m2s_data[21] (in)
2 0.01 io_wbs_m2s_data[21] (net)
0.06 0.00 4.03 ^ input29/A (sky130_fd_sc_hd__buf_6)
0.30 0.32 4.35 ^ input29/X (sky130_fd_sc_hd__buf_6)
8 0.09 net29 (net)
0.30 0.01 4.36 ^ _08012_/A1 (sky130_fd_sc_hd__o211a_1)
0.06 0.37 4.73 ^ _08012_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00129_ (net)
0.06 0.00 4.73 ^ _10669_/D (sky130_fd_sc_hd__dfxtp_1)
4.73 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.01 3.36 ^ clkbuf_leaf_45_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.79 4.14 ^ clkbuf_leaf_45_clock/X (sky130_fd_sc_hd__clkbuf_16)
3 0.01 clknet_leaf_45_clock (net)
0.10 0.00 4.14 ^ _10669_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.39 clock uncertainty
0.00 4.39 clock reconvergence pessimism
-0.07 4.33 library hold time
4.33 data required time
-----------------------------------------------------------------------------
4.33 data required time
-4.73 data arrival time
-----------------------------------------------------------------------------
0.40 slack (MET)
Startpoint: io_wbs_m2s_data[23] (input port clocked by clock)
Endpoint: _10671_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 v input external delay
0.03 0.02 4.02 v io_wbs_m2s_data[23] (in)
2 0.01 io_wbs_m2s_data[23] (net)
0.03 0.00 4.02 v input31/A (sky130_fd_sc_hd__buf_6)
0.16 0.27 4.28 v input31/X (sky130_fd_sc_hd__buf_6)
8 0.09 net31 (net)
0.17 0.02 4.31 v _08017_/A1 (sky130_fd_sc_hd__o211a_1)
0.06 0.43 4.74 v _08017_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00131_ (net)
0.06 0.00 4.74 v _10671_/D (sky130_fd_sc_hd__dfxtp_2)
4.74 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_46_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.80 4.16 ^ clkbuf_leaf_46_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_leaf_46_clock (net)
0.10 0.00 4.16 ^ _10671_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 4.41 clock uncertainty
0.00 4.41 clock reconvergence pessimism
-0.08 4.33 library hold time
4.33 data required time
-----------------------------------------------------------------------------
4.33 data required time
-4.74 data arrival time
-----------------------------------------------------------------------------
0.40 slack (MET)
Startpoint: io_wbs_m2s_data[19] (input port clocked by clock)
Endpoint: _10667_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.08 0.05 4.05 ^ io_wbs_m2s_data[19] (in)
2 0.01 io_wbs_m2s_data[19] (net)
0.08 0.00 4.05 ^ input26/A (sky130_fd_sc_hd__buf_8)
0.25 0.28 4.33 ^ input26/X (sky130_fd_sc_hd__buf_8)
8 0.10 net26 (net)
0.26 0.04 4.37 ^ _08007_/A1 (sky130_fd_sc_hd__o211a_1)
0.07 0.36 4.73 ^ _08007_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00127_ (net)
0.07 0.00 4.73 ^ _10667_/D (sky130_fd_sc_hd__dfxtp_1)
4.73 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.01 3.36 ^ clkbuf_leaf_45_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.79 4.14 ^ clkbuf_leaf_45_clock/X (sky130_fd_sc_hd__clkbuf_16)
3 0.01 clknet_leaf_45_clock (net)
0.10 0.00 4.14 ^ _10667_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.39 clock uncertainty
0.00 4.39 clock reconvergence pessimism
-0.07 4.33 library hold time
4.33 data required time
-----------------------------------------------------------------------------
4.33 data required time
-4.73 data arrival time
-----------------------------------------------------------------------------
0.40 slack (MET)
Startpoint: io_wbs_m2s_data[23] (input port clocked by clock)
Endpoint: _10607_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.06 0.03 4.03 ^ io_wbs_m2s_data[23] (in)
2 0.01 io_wbs_m2s_data[23] (net)
0.06 0.00 4.03 ^ input31/A (sky130_fd_sc_hd__buf_6)
0.30 0.31 4.35 ^ input31/X (sky130_fd_sc_hd__buf_6)
8 0.09 net31 (net)
0.31 0.03 4.37 ^ _06235_/A1 (sky130_fd_sc_hd__o211a_1)
0.07 0.38 4.75 ^ _06235_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00067_ (net)
0.07 0.00 4.75 ^ _10607_/D (sky130_fd_sc_hd__dfxtp_1)
4.75 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_49_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.80 4.16 ^ clkbuf_leaf_49_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_49_clock (net)
0.10 0.00 4.16 ^ _10607_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.41 clock uncertainty
0.00 4.41 clock reconvergence pessimism
-0.07 4.34 library hold time
4.34 data required time
-----------------------------------------------------------------------------
4.34 data required time
-4.75 data arrival time
-----------------------------------------------------------------------------
0.41 slack (MET)
Startpoint: io_wbs_m2s_data[30] (input port clocked by clock)
Endpoint: _10614_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.09 0.06 4.06 ^ io_wbs_m2s_data[30] (in)
2 0.01 io_wbs_m2s_data[30] (net)
0.09 0.00 4.06 ^ input39/A (sky130_fd_sc_hd__buf_12)
0.25 0.26 4.32 ^ input39/X (sky130_fd_sc_hd__buf_12)
8 0.13 net39 (net)
0.29 0.07 4.39 ^ _06252_/A1 (sky130_fd_sc_hd__o211a_1)
0.07 0.37 4.76 ^ _06252_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00074_ (net)
0.07 0.00 4.76 ^ _10614_/D (sky130_fd_sc_hd__dfxtp_1)
4.76 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_46_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.80 4.16 ^ clkbuf_leaf_46_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_leaf_46_clock (net)
0.10 0.00 4.16 ^ _10614_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.41 clock uncertainty
0.00 4.41 clock reconvergence pessimism
-0.07 4.35 library hold time
4.35 data required time
-----------------------------------------------------------------------------
4.35 data required time
-4.76 data arrival time
-----------------------------------------------------------------------------
0.41 slack (MET)
Startpoint: io_wbs_m2s_data[22] (input port clocked by clock)
Endpoint: _10606_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.05 0.03 4.03 ^ io_wbs_m2s_data[22] (in)
2 0.00 io_wbs_m2s_data[22] (net)
0.05 0.00 4.03 ^ input30/A (sky130_fd_sc_hd__buf_4)
0.31 0.35 4.37 ^ input30/X (sky130_fd_sc_hd__buf_4)
8 0.07 net30 (net)
0.32 0.01 4.39 ^ _06232_/A1 (sky130_fd_sc_hd__o211a_1)
0.07 0.38 4.77 ^ _06232_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00066_ (net)
0.07 0.00 4.77 ^ _10606_/D (sky130_fd_sc_hd__dfxtp_1)
4.77 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_49_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.80 4.16 ^ clkbuf_leaf_49_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_49_clock (net)
0.10 0.00 4.16 ^ _10606_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.41 clock uncertainty
0.00 4.41 clock reconvergence pessimism
-0.07 4.34 library hold time
4.34 data required time
-----------------------------------------------------------------------------
4.34 data required time
-4.77 data arrival time
-----------------------------------------------------------------------------
0.42 slack (MET)
Startpoint: io_wbs_m2s_data[31] (input port clocked by clock)
Endpoint: _10615_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.10 0.06 4.06 ^ io_wbs_m2s_data[31] (in)
2 0.01 io_wbs_m2s_data[31] (net)
0.10 0.00 4.06 ^ input40/A (sky130_fd_sc_hd__buf_12)
0.27 0.28 4.34 ^ input40/X (sky130_fd_sc_hd__buf_12)
8 0.15 net40 (net)
0.31 0.07 4.41 ^ _06254_/A1 (sky130_fd_sc_hd__o211a_1)
0.07 0.37 4.79 ^ _06254_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00075_ (net)
0.07 0.00 4.79 ^ _10615_/D (sky130_fd_sc_hd__dfxtp_1)
4.79 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_46_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.80 4.16 ^ clkbuf_leaf_46_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_leaf_46_clock (net)
0.10 0.00 4.16 ^ _10615_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.41 clock uncertainty
0.00 4.41 clock reconvergence pessimism
-0.07 4.35 library hold time
4.35 data required time
-----------------------------------------------------------------------------
4.35 data required time
-4.79 data arrival time
-----------------------------------------------------------------------------
0.44 slack (MET)
Startpoint: io_wbs_m2s_data[22] (input port clocked by clock)
Endpoint: _10670_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.05 0.03 4.03 ^ io_wbs_m2s_data[22] (in)
2 0.00 io_wbs_m2s_data[22] (net)
0.05 0.00 4.03 ^ input30/A (sky130_fd_sc_hd__buf_4)
0.31 0.35 4.37 ^ input30/X (sky130_fd_sc_hd__buf_4)
8 0.07 net30 (net)
0.32 0.01 4.39 ^ _08014_/A1 (sky130_fd_sc_hd__o211a_1)
0.08 0.38 4.77 ^ _08014_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00130_ (net)
0.08 0.00 4.77 ^ _10670_/D (sky130_fd_sc_hd__dfxtp_1)
4.77 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_48_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.78 4.14 ^ clkbuf_leaf_48_clock/X (sky130_fd_sc_hd__clkbuf_16)
3 0.01 clknet_leaf_48_clock (net)
0.09 0.00 4.14 ^ _10670_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.39 clock uncertainty
0.00 4.39 clock reconvergence pessimism
-0.07 4.32 library hold time
4.32 data required time
-----------------------------------------------------------------------------
4.32 data required time
-4.77 data arrival time
-----------------------------------------------------------------------------
0.45 slack (MET)
Startpoint: _10881_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10625_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.19 0.89 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.89 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.27 1.16 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.16 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.29 0.38 1.55 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.29 0.00 1.55 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.08 0.95 2.49 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
18 0.13 clknet_3_5_0_clock (net)
1.08 0.00 2.50 ^ _10881_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.15 1.01 3.51 ^ _10881_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pid._T_110 (net)
0.15 0.00 3.51 ^ _07806_/C1 (sky130_fd_sc_hd__a211o_4)
0.16 0.25 3.76 ^ _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.16 0.00 3.76 ^ _07829_/A (sky130_fd_sc_hd__buf_4)
0.23 0.35 4.11 ^ _07829_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02840_ (net)
0.23 0.00 4.11 ^ _07846_/B1 (sky130_fd_sc_hd__o221ai_4)
0.22 0.33 4.44 v _07846_/Y (sky130_fd_sc_hd__o221ai_4)
2 0.02 _02853_ (net)
0.22 0.00 4.44 v _07847_/C1 (sky130_fd_sc_hd__o211a_1)
0.05 0.24 4.69 v _07847_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00085_ (net)
0.05 0.00 4.69 v _10625_/D (sky130_fd_sc_hd__dfxtp_1)
4.69 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_34_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.82 4.18 ^ clkbuf_leaf_34_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_34_clock (net)
0.12 0.00 4.19 ^ _10625_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.44 clock uncertainty
-0.12 4.31 clock reconvergence pessimism
-0.09 4.23 library hold time
4.23 data required time
-----------------------------------------------------------------------------
4.23 data required time
-4.69 data arrival time
-----------------------------------------------------------------------------
0.46 slack (MET)
Startpoint: _10881_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10624_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.19 0.89 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.89 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.27 1.16 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.16 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.29 0.38 1.55 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.29 0.00 1.55 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.08 0.95 2.49 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
18 0.13 clknet_3_5_0_clock (net)
1.08 0.00 2.50 ^ _10881_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.15 1.01 3.51 ^ _10881_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pid._T_110 (net)
0.15 0.00 3.51 ^ _07806_/C1 (sky130_fd_sc_hd__a211o_4)
0.16 0.25 3.76 ^ _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.16 0.00 3.76 ^ _07829_/A (sky130_fd_sc_hd__buf_4)
0.23 0.35 4.11 ^ _07829_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02840_ (net)
0.23 0.00 4.11 ^ _07842_/B1 (sky130_fd_sc_hd__o221ai_4)
0.23 0.34 4.45 v _07842_/Y (sky130_fd_sc_hd__o221ai_4)
2 0.02 _02850_ (net)
0.23 0.00 4.45 v _07843_/C1 (sky130_fd_sc_hd__o211a_1)
0.06 0.26 4.71 v _07843_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00084_ (net)
0.06 0.00 4.71 v _10624_/D (sky130_fd_sc_hd__dfxtp_1)
4.71 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_34_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.82 4.18 ^ clkbuf_leaf_34_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_34_clock (net)
0.12 0.00 4.19 ^ _10624_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.44 clock uncertainty
-0.12 4.31 clock reconvergence pessimism
-0.09 4.22 library hold time
4.22 data required time
-----------------------------------------------------------------------------
4.22 data required time
-4.71 data arrival time
-----------------------------------------------------------------------------
0.49 slack (MET)
Startpoint: _10881_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10623_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.19 0.89 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.89 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.27 1.16 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.16 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.29 0.38 1.55 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.29 0.00 1.55 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.08 0.95 2.49 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
18 0.13 clknet_3_5_0_clock (net)
1.08 0.00 2.50 ^ _10881_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.15 1.01 3.51 ^ _10881_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pid._T_110 (net)
0.15 0.00 3.51 ^ _07806_/C1 (sky130_fd_sc_hd__a211o_4)
0.16 0.25 3.76 ^ _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.16 0.00 3.76 ^ _07829_/A (sky130_fd_sc_hd__buf_4)
0.23 0.35 4.11 ^ _07829_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02840_ (net)
0.23 0.00 4.11 ^ _07839_/B1 (sky130_fd_sc_hd__o221ai_4)
0.24 0.34 4.46 v _07839_/Y (sky130_fd_sc_hd__o221ai_4)
2 0.02 _02848_ (net)
0.24 0.00 4.46 v _07840_/C1 (sky130_fd_sc_hd__o211a_1)
0.06 0.26 4.72 v _07840_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00083_ (net)
0.06 0.00 4.72 v _10623_/D (sky130_fd_sc_hd__dfxtp_1)
4.72 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_34_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.82 4.18 ^ clkbuf_leaf_34_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_34_clock (net)
0.12 0.00 4.19 ^ _10623_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.44 clock uncertainty
-0.12 4.31 clock reconvergence pessimism
-0.09 4.22 library hold time
4.22 data required time
-----------------------------------------------------------------------------
4.22 data required time
-4.72 data arrival time
-----------------------------------------------------------------------------
0.50 slack (MET)
Startpoint: io_wbs_m2s_data[16] (input port clocked by clock)
Endpoint: _10815_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 v input external delay
0.03 0.02 4.02 v io_wbs_m2s_data[16] (in)
2 0.01 io_wbs_m2s_data[16] (net)
0.03 0.00 4.02 v input23/A (sky130_fd_sc_hd__buf_6)
0.15 0.26 4.28 v input23/X (sky130_fd_sc_hd__buf_6)
8 0.08 net23 (net)
0.15 0.02 4.30 v _08812_/A1 (sky130_fd_sc_hd__a22oi_1)
0.26 0.31 4.61 ^ _08812_/Y (sky130_fd_sc_hd__a22oi_1)
1 0.00 _03630_ (net)
0.26 0.00 4.61 ^ _08813_/A2 (sky130_fd_sc_hd__a21oi_1)
0.07 0.18 4.80 v _08813_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _00274_ (net)
0.07 0.00 4.80 v _10815_/D (sky130_fd_sc_hd__dfxtp_1)
4.80 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.01 3.36 ^ clkbuf_leaf_39_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.79 4.15 ^ clkbuf_leaf_39_clock/X (sky130_fd_sc_hd__clkbuf_16)
3 0.01 clknet_leaf_39_clock (net)
0.10 0.00 4.15 ^ _10815_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.40 clock uncertainty
0.00 4.40 clock reconvergence pessimism
-0.10 4.30 library hold time
4.30 data required time
-----------------------------------------------------------------------------
4.30 data required time
-4.80 data arrival time
-----------------------------------------------------------------------------
0.50 slack (MET)
Startpoint: io_wbs_m2s_data[26] (input port clocked by clock)
Endpoint: _10825_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.07 0.04 4.04 ^ io_wbs_m2s_data[26] (in)
2 0.01 io_wbs_m2s_data[26] (net)
0.07 0.00 4.04 ^ input34/A (sky130_fd_sc_hd__buf_8)
0.23 0.29 4.33 ^ input34/X (sky130_fd_sc_hd__buf_8)
8 0.09 net34 (net)
0.23 0.01 4.33 ^ _08894_/A1 (sky130_fd_sc_hd__a22o_1)
0.08 0.32 4.65 ^ _08894_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 _03702_ (net)
0.08 0.00 4.65 ^ _08895_/A2 (sky130_fd_sc_hd__o21a_1)
0.06 0.19 4.84 ^ _08895_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 _00284_ (net)
0.06 0.00 4.84 ^ _10825_/D (sky130_fd_sc_hd__dfxtp_1)
4.84 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.01 3.35 ^ clkbuf_leaf_41_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.81 4.16 ^ clkbuf_leaf_41_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_41_clock (net)
0.11 0.00 4.16 ^ _10825_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.41 clock uncertainty
0.00 4.41 clock reconvergence pessimism
-0.06 4.34 library hold time
4.34 data required time
-----------------------------------------------------------------------------
4.34 data required time
-4.84 data arrival time
-----------------------------------------------------------------------------
0.50 slack (MET)
Startpoint: _10725_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10944_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.19 0.90 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.90 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.31 1.21 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.21 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.24 0.37 1.58 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.24 0.00 1.58 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.37 1.11 2.69 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.17 clknet_3_0_0_clock (net)
1.37 0.01 2.71 ^ clkbuf_leaf_9_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.65 3.36 ^ clkbuf_leaf_9_clock/X (sky130_fd_sc_hd__clkbuf_16)
12 0.04 clknet_leaf_9_clock (net)
0.12 0.00 3.36 ^ _10725_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.19 0.72 4.08 v _10725_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.04 interlink._T_236[13] (net)
0.19 0.00 4.08 v _10423_/A2 (sky130_fd_sc_hd__o221a_1)
0.06 0.45 4.53 v _10423_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00403_ (net)
0.06 0.00 4.53 v _10944_/D (sky130_fd_sc_hd__dfxtp_1)
4.53 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.28 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.29 0.43 1.71 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.29 0.00 1.71 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.64 1.45 3.16 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
28 0.20 clknet_3_4_0_clock (net)
1.64 0.01 3.18 ^ clkbuf_leaf_65_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.75 3.93 ^ clkbuf_leaf_65_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_leaf_65_clock (net)
0.10 0.00 3.93 ^ _10944_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.18 clock uncertainty
-0.07 4.10 clock reconvergence pessimism
-0.09 4.01 library hold time
4.01 data required time
-----------------------------------------------------------------------------
4.01 data required time
-4.53 data arrival time
-----------------------------------------------------------------------------
0.52 slack (MET)
Startpoint: io_wbs_m2s_data[28] (input port clocked by clock)
Endpoint: _10827_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.06 0.03 4.03 ^ io_wbs_m2s_data[28] (in)
2 0.01 io_wbs_m2s_data[28] (net)
0.06 0.00 4.03 ^ input36/A (sky130_fd_sc_hd__buf_6)
0.28 0.30 4.34 ^ input36/X (sky130_fd_sc_hd__buf_6)
8 0.09 net36 (net)
0.28 0.02 4.36 ^ _08908_/A1 (sky130_fd_sc_hd__a22o_1)
0.09 0.34 4.70 ^ _08908_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 _03714_ (net)
0.09 0.00 4.70 ^ _08909_/B1 (sky130_fd_sc_hd__a21oi_1)
0.05 0.07 4.77 v _08909_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _03715_ (net)
0.05 0.00 4.77 v _08910_/B (sky130_fd_sc_hd__nor2_1)
0.12 0.12 4.89 ^ _08910_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 _00286_ (net)
0.12 0.00 4.89 ^ _10827_/D (sky130_fd_sc_hd__dfxtp_1)
4.89 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_51_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.83 4.18 ^ clkbuf_leaf_51_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_51_clock (net)
0.12 0.00 4.19 ^ _10827_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.44 clock uncertainty
0.00 4.44 clock reconvergence pessimism
-0.08 4.36 library hold time
4.36 data required time
-----------------------------------------------------------------------------
4.36 data required time
-4.89 data arrival time
-----------------------------------------------------------------------------
0.53 slack (MET)
Startpoint: _10722_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10941_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.19 0.90 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.90 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.31 1.21 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.21 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.24 0.37 1.58 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.24 0.00 1.58 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.37 1.11 2.69 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.17 clknet_3_0_0_clock (net)
1.37 0.01 2.71 ^ clkbuf_leaf_5_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.62 3.32 ^ clkbuf_leaf_5_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_5_clock (net)
0.09 0.00 3.32 ^ _10722_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.69 4.02 v _10722_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.04 interlink._T_236[10] (net)
0.17 0.00 4.02 v hold15/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.33 4.35 v hold15/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 net102 (net)
0.19 0.00 4.35 v _10413_/A2 (sky130_fd_sc_hd__o221a_1)
0.06 0.45 4.80 v _10413_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00400_ (net)
0.06 0.00 4.80 v _10941_/D (sky130_fd_sc_hd__dfxtp_1)
4.80 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_34_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.82 4.18 ^ clkbuf_leaf_34_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_34_clock (net)
0.12 0.00 4.19 ^ _10941_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.44 clock uncertainty
-0.07 4.36 clock reconvergence pessimism
-0.09 4.27 library hold time
4.27 data required time
-----------------------------------------------------------------------------
4.27 data required time
-4.80 data arrival time
-----------------------------------------------------------------------------
0.53 slack (MET)
Startpoint: io_wbs_m2s_data[30] (input port clocked by clock)
Endpoint: _10829_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.09 0.06 4.06 ^ io_wbs_m2s_data[30] (in)
2 0.01 io_wbs_m2s_data[30] (net)
0.09 0.00 4.06 ^ input39/A (sky130_fd_sc_hd__buf_12)
0.25 0.26 4.32 ^ input39/X (sky130_fd_sc_hd__buf_12)
8 0.13 net39 (net)
0.28 0.06 4.38 ^ _08924_/A1 (sky130_fd_sc_hd__a22o_1)
0.08 0.33 4.71 ^ _08924_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 _03728_ (net)
0.08 0.00 4.71 ^ _08925_/B1 (sky130_fd_sc_hd__a21oi_1)
0.05 0.07 4.78 v _08925_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _03729_ (net)
0.05 0.00 4.78 v _08926_/B (sky130_fd_sc_hd__nor2_1)
0.11 0.11 4.90 ^ _08926_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 _00288_ (net)
0.11 0.00 4.90 ^ _10829_/D (sky130_fd_sc_hd__dfxtp_1)
4.90 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_51_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.83 4.18 ^ clkbuf_leaf_51_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_51_clock (net)
0.12 0.00 4.19 ^ _10829_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.44 clock uncertainty
0.00 4.44 clock reconvergence pessimism
-0.07 4.36 library hold time
4.36 data required time
-----------------------------------------------------------------------------
4.36 data required time
-4.90 data arrival time
-----------------------------------------------------------------------------
0.54 slack (MET)
Startpoint: _10906_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10890_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.19 0.90 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.90 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.31 1.21 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.21 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.24 0.37 1.58 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.24 0.00 1.58 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.13 0.96 2.54 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.14 clknet_3_1_0_clock (net)
1.13 0.01 2.54 ^ clkbuf_leaf_85_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.56 3.11 ^ clkbuf_leaf_85_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_85_clock (net)
0.09 0.00 3.11 ^ _10906_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.17 0.69 3.80 v _10906_/Q (sky130_fd_sc_hd__dfxtp_2)
10 0.04 pid.e_prev1[8] (net)
0.17 0.00 3.80 v _06976_/A (sky130_fd_sc_hd__buf_2)
0.19 0.39 4.19 v _06976_/X (sky130_fd_sc_hd__buf_2)
10 0.04 _01992_ (net)
0.19 0.00 4.19 v _10179_/A1 (sky130_fd_sc_hd__a22o_1)
0.07 0.40 4.59 v _10179_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 _00349_ (net)
0.07 0.00 4.59 v _10890_/D (sky130_fd_sc_hd__dfxtp_1)
4.59 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.28 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.29 0.43 1.71 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.29 0.00 1.71 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.64 1.45 3.16 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
28 0.20 clknet_3_4_0_clock (net)
1.64 0.01 3.17 ^ clkbuf_leaf_82_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.77 3.94 ^ clkbuf_leaf_82_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_82_clock (net)
0.11 0.00 3.94 ^ _10890_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.19 clock uncertainty
-0.07 4.12 clock reconvergence pessimism
-0.10 4.03 library hold time
4.03 data required time
-----------------------------------------------------------------------------
4.03 data required time
-4.59 data arrival time
-----------------------------------------------------------------------------
0.56 slack (MET)
Startpoint: _10583_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10580_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.19 0.90 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.90 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.31 1.21 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.21 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.28 0.40 1.61 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.28 0.00 1.61 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.24 1.04 2.66 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.15 clknet_3_2_0_clock (net)
1.24 0.01 2.66 ^ clkbuf_leaf_10_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.60 3.26 ^ clkbuf_leaf_10_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_10_clock (net)
0.10 0.00 3.26 ^ _10583_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.12 0.70 3.97 v _10583_/Q (sky130_fd_sc_hd__dfxtp_4)
8 0.04 interlink.io_tmr_cfg_do[0] (net)
0.12 0.00 3.97 v hold24/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.28 4.25 v hold24/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 net111 (net)
0.16 0.00 4.25 v _10580_/D (sky130_fd_sc_hd__dfxtp_1)
4.25 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.21 0.99 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.99 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.34 1.33 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.34 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.28 0.45 1.78 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.28 0.00 1.78 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.39 1.26 3.05 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_3_0_clock (net)
1.39 0.00 3.05 ^ clkbuf_leaf_22_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.69 3.74 ^ clkbuf_leaf_22_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_22_clock (net)
0.09 0.00 3.74 ^ _10580_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 3.99 clock uncertainty
-0.17 3.82 clock reconvergence pessimism
-0.13 3.69 library hold time
3.69 data required time
-----------------------------------------------------------------------------
3.69 data required time
-4.25 data arrival time
-----------------------------------------------------------------------------
0.57 slack (MET)
Startpoint: io_wbs_m2s_data[11] (input port clocked by clock)
Endpoint: _10942_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.04 0.02 4.02 ^ io_wbs_m2s_data[11] (in)
2 0.00 io_wbs_m2s_data[11] (net)
0.04 0.00 4.02 ^ input18/A (sky130_fd_sc_hd__clkbuf_4)
0.23 0.33 4.35 ^ input18/X (sky130_fd_sc_hd__clkbuf_4)
4 0.04 net18 (net)
0.23 0.00 4.36 ^ _10416_/A1 (sky130_fd_sc_hd__a21bo_1)
0.07 0.26 4.61 ^ _10416_/X (sky130_fd_sc_hd__a21bo_1)
1 0.00 _05107_ (net)
0.07 0.00 4.61 ^ _10417_/B2 (sky130_fd_sc_hd__o221a_1)
0.09 0.33 4.94 ^ _10417_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00401_ (net)
0.09 0.00 4.94 ^ _10942_/D (sky130_fd_sc_hd__dfxtp_1)
4.94 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_34_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.82 4.18 ^ clkbuf_leaf_34_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_34_clock (net)
0.12 0.00 4.19 ^ _10942_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.44 clock uncertainty
0.00 4.44 clock reconvergence pessimism
-0.07 4.37 library hold time
4.37 data required time
-----------------------------------------------------------------------------
4.37 data required time
-4.94 data arrival time
-----------------------------------------------------------------------------
0.58 slack (MET)
Startpoint: _10940_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10907_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.19 0.90 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.90 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.31 1.21 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.21 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.24 0.37 1.58 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.24 0.00 1.58 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.13 0.96 2.54 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.14 clknet_3_1_0_clock (net)
1.13 0.01 2.55 ^ _10940_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.14 0.98 3.53 v _10940_/Q (sky130_fd_sc_hd__dfxtp_1)
4 0.01 interlink.io_pid_fb_do[9] (net)
0.14 0.00 3.53 v _10274_/B_N (sky130_fd_sc_hd__or2b_1)
0.17 0.37 3.90 ^ _10274_/X (sky130_fd_sc_hd__or2b_1)
4 0.01 _05000_ (net)
0.17 0.00 3.90 ^ _10276_/A (sky130_fd_sc_hd__nand2_1)
0.13 0.17 4.07 v _10276_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _05002_ (net)
0.13 0.00 4.07 v _10281_/A1 (sky130_fd_sc_hd__o21ai_1)
0.15 0.25 4.31 ^ _10281_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.00 _05007_ (net)
0.15 0.00 4.31 ^ _10282_/B1 (sky130_fd_sc_hd__o211a_1)
0.09 0.33 4.64 ^ _10282_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00366_ (net)
0.09 0.00 4.64 ^ _10907_/D (sky130_fd_sc_hd__dfxtp_1)
4.64 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.28 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.29 0.43 1.71 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.29 0.00 1.71 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.64 1.45 3.16 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
28 0.20 clknet_3_4_0_clock (net)
1.64 0.01 3.17 ^ clkbuf_leaf_82_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.77 3.94 ^ clkbuf_leaf_82_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_82_clock (net)
0.11 0.00 3.94 ^ _10907_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.19 clock uncertainty
-0.07 4.12 clock reconvergence pessimism
-0.07 4.05 library hold time
4.05 data required time
-----------------------------------------------------------------------------
4.05 data required time
-4.64 data arrival time
-----------------------------------------------------------------------------
0.59 slack (MET)
Startpoint: _10727_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10946_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.19 0.90 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.90 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.31 1.21 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.21 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.28 0.40 1.61 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.28 0.00 1.61 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.24 1.04 2.66 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.15 clknet_3_2_0_clock (net)
1.24 0.01 2.66 ^ clkbuf_leaf_10_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.60 3.26 ^ clkbuf_leaf_10_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_10_clock (net)
0.10 0.00 3.26 ^ _10727_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.16 0.69 3.95 v _10727_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 interlink._T_236[15] (net)
0.16 0.00 3.95 v hold4/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.22 0.44 4.39 v hold4/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net91 (net)
0.22 0.00 4.39 v _10429_/A2 (sky130_fd_sc_hd__o221a_1)
0.07 0.48 4.87 v _10429_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00405_ (net)
0.07 0.00 4.87 v _10946_/D (sky130_fd_sc_hd__dfxtp_1)
4.87 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_34_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.82 4.18 ^ clkbuf_leaf_34_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_34_clock (net)
0.12 0.00 4.19 ^ _10946_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.44 clock uncertainty
-0.07 4.36 clock reconvergence pessimism
-0.09 4.27 library hold time
4.27 data required time
-----------------------------------------------------------------------------
4.27 data required time
-4.87 data arrival time
-----------------------------------------------------------------------------
0.60 slack (MET)
Startpoint: _10724_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10943_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.19 0.90 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.90 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.31 1.21 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.21 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.24 0.37 1.58 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.24 0.00 1.58 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.37 1.11 2.69 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.17 clknet_3_0_0_clock (net)
1.37 0.01 2.71 ^ clkbuf_leaf_9_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.65 3.36 ^ clkbuf_leaf_9_clock/X (sky130_fd_sc_hd__clkbuf_16)
12 0.04 clknet_leaf_9_clock (net)
0.12 0.00 3.36 ^ _10724_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.15 0.69 4.05 v _10724_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 interlink._T_236[12] (net)
0.15 0.00 4.05 v hold18/A (sky130_fd_sc_hd__clkbuf_2)
0.24 0.35 4.41 v hold18/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 net105 (net)
0.24 0.00 4.41 v _10420_/A2 (sky130_fd_sc_hd__o221a_1)
0.06 0.47 4.88 v _10420_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00402_ (net)
0.06 0.00 4.88 v _10943_/D (sky130_fd_sc_hd__dfxtp_1)
4.88 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_34_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.82 4.18 ^ clkbuf_leaf_34_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_34_clock (net)
0.12 0.00 4.19 ^ _10943_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.44 clock uncertainty
-0.07 4.36 clock reconvergence pessimism
-0.09 4.27 library hold time
4.27 data required time
-----------------------------------------------------------------------------
4.27 data required time
-4.88 data arrival time
-----------------------------------------------------------------------------
0.61 slack (MET)
Startpoint: _10799_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10800_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.19 0.89 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.89 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.27 1.16 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.16 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.40 1.56 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.57 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.38 1.15 2.72 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_7_0_clock (net)
1.38 0.01 2.73 ^ clkbuf_leaf_61_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.61 3.34 ^ clkbuf_leaf_61_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_leaf_61_clock (net)
0.09 0.00 3.35 ^ _10799_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.18 0.69 4.04 v _10799_/Q (sky130_fd_sc_hd__dfxtp_2)
10 0.04 interlink.io_qei_count_do[0] (net)
0.18 0.00 4.04 v _08669_/A (sky130_fd_sc_hd__nand3_1)
0.18 0.21 4.26 ^ _08669_/Y (sky130_fd_sc_hd__nand3_1)
3 0.01 _03502_ (net)
0.18 0.00 4.26 ^ _08670_/C (sky130_fd_sc_hd__and3_1)
0.11 0.35 4.60 ^ _08670_/X (sky130_fd_sc_hd__and3_1)
1 0.00 _03503_ (net)
0.11 0.00 4.60 ^ _08677_/A1 (sky130_fd_sc_hd__o21a_1)
0.06 0.22 4.83 ^ _08677_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 _00259_ (net)
0.06 0.00 4.83 ^ _10800_/D (sky130_fd_sc_hd__dfxtp_2)
4.83 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_35_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.11 0.81 4.18 ^ clkbuf_leaf_35_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_35_clock (net)
0.11 0.00 4.18 ^ _10800_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 4.43 clock uncertainty
-0.16 4.26 clock reconvergence pessimism
-0.06 4.20 library hold time
4.20 data required time
-----------------------------------------------------------------------------
4.20 data required time
-4.83 data arrival time
-----------------------------------------------------------------------------
0.63 slack (MET)
Startpoint: _10798_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10798_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.19 0.90 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.90 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.31 1.21 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.21 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.24 0.37 1.58 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.24 0.00 1.58 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.37 1.11 2.69 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.17 clknet_3_0_0_clock (net)
1.37 0.00 2.69 ^ clkbuf_leaf_2_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.61 3.31 ^ clkbuf_leaf_2_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_2_clock (net)
0.09 0.00 3.31 ^ _10798_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.61 3.92 v _10798_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 qei.count_reg_2[15] (net)
0.11 0.00 3.92 v _08651_/A (sky130_fd_sc_hd__inv_2)
0.05 0.08 4.00 ^ _08651_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 _03486_ (net)
0.05 0.00 4.00 ^ _08653_/A1 (sky130_fd_sc_hd__a21oi_1)
0.05 0.10 4.10 v _08653_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _00257_ (net)
0.05 0.00 4.10 v _10798_/D (sky130_fd_sc_hd__dfxtp_1)
4.10 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.21 0.99 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.99 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.34 1.33 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.33 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.24 0.41 1.74 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.24 0.00 1.75 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.37 1.23 2.98 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.17 clknet_3_0_0_clock (net)
1.37 0.00 2.98 ^ clkbuf_leaf_2_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.68 3.66 ^ clkbuf_leaf_2_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_2_clock (net)
0.09 0.00 3.66 ^ _10798_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 3.91 clock uncertainty
-0.35 3.56 clock reconvergence pessimism
-0.09 3.47 library hold time
3.47 data required time
-----------------------------------------------------------------------------
3.47 data required time
-4.10 data arrival time
-----------------------------------------------------------------------------
0.64 slack (MET)
Startpoint: _10879_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10629_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.19 0.89 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.89 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.27 1.16 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.16 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.29 0.38 1.55 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.29 0.00 1.55 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.08 0.95 2.49 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
18 0.13 clknet_3_5_0_clock (net)
1.08 0.01 2.50 ^ _10879_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.91 3.41 v _10879_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pid.reg_pid_out[13] (net)
0.07 0.00 3.41 v hold1/A (sky130_fd_sc_hd__clkbuf_1)
0.13 0.21 3.63 v hold1/X (sky130_fd_sc_hd__clkbuf_1)
1 0.01 net88 (net)
0.13 0.00 3.63 v _07862_/A (sky130_fd_sc_hd__nand2_1)
0.06 0.10 3.73 ^ _07862_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _02865_ (net)
0.06 0.00 3.73 ^ hold2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.23 0.26 3.99 ^ hold2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.01 net89 (net)
0.23 0.00 3.99 ^ _07863_/B2 (sky130_fd_sc_hd__o221ai_4)
0.16 0.25 4.24 v _07863_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.01 _02866_ (net)
0.16 0.00 4.24 v _07864_/C1 (sky130_fd_sc_hd__o211a_1)
0.06 0.22 4.46 v _07864_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00089_ (net)
0.06 0.00 4.46 v hold3/A (sky130_fd_sc_hd__clkdlybuf4s25_1)
0.08 0.43 4.89 v hold3/X (sky130_fd_sc_hd__clkdlybuf4s25_1)
1 0.00 net90 (net)
0.08 0.00 4.89 v _10629_/D (sky130_fd_sc_hd__dfxtp_1)
4.89 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_38_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.83 4.19 ^ clkbuf_leaf_38_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_38_clock (net)
0.12 0.00 4.19 ^ _10629_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.44 clock uncertainty
-0.12 4.32 clock reconvergence pessimism
-0.09 4.22 library hold time
4.22 data required time
-----------------------------------------------------------------------------
4.22 data required time
-4.89 data arrival time
-----------------------------------------------------------------------------
0.66 slack (MET)
Startpoint: _10751_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10751_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.19 0.90 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.90 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.31 1.21 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.21 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.24 0.37 1.58 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.24 0.00 1.58 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.37 1.11 2.69 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.17 clknet_3_0_0_clock (net)
1.37 0.01 2.70 ^ clkbuf_leaf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.63 3.33 ^ clkbuf_leaf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_0_clock (net)
0.10 0.00 3.34 ^ _10751_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.63 3.97 ^ _10751_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 qei.qei_speed_count[5] (net)
0.10 0.00 3.97 ^ _08505_/B2 (sky130_fd_sc_hd__a22o_1)
0.06 0.22 4.19 ^ _08505_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 _00210_ (net)
0.06 0.00 4.19 ^ _10751_/D (sky130_fd_sc_hd__dfxtp_1)
4.19 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.21 0.99 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.99 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.34 1.33 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.33 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.24 0.41 1.74 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.24 0.00 1.75 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.37 1.23 2.98 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.17 clknet_3_0_0_clock (net)
1.37 0.01 2.99 ^ clkbuf_leaf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.70 3.69 ^ clkbuf_leaf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_0_clock (net)
0.10 0.00 3.69 ^ _10751_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 3.94 clock uncertainty
-0.35 3.59 clock reconvergence pessimism
-0.07 3.52 library hold time
3.52 data required time
-----------------------------------------------------------------------------
3.52 data required time
-4.19 data arrival time
-----------------------------------------------------------------------------
0.67 slack (MET)
Startpoint: _10616_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10541_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.19 0.90 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.90 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.31 1.21 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.21 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.28 0.40 1.61 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.28 0.00 1.61 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.24 1.04 2.66 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.15 clknet_3_2_0_clock (net)
1.24 0.01 2.66 ^ clkbuf_leaf_11_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.59 3.26 ^ clkbuf_leaf_11_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_11_clock (net)
0.10 0.00 3.26 ^ _10616_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.19 0.71 3.97 v _10616_/Q (sky130_fd_sc_hd__dfxtp_2)
8 0.04 interlink.io_tmr_duty_do[0] (net)
0.19 0.00 3.97 v _05476_/A1 (sky130_fd_sc_hd__a22o_1)
0.09 0.42 4.39 v _05476_/X (sky130_fd_sc_hd__a22o_1)
1 0.01 _00001_ (net)
0.09 0.00 4.39 v _10541_/D (sky130_fd_sc_hd__dfxtp_2)
4.39 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.21 0.99 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.99 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.34 1.33 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.34 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.28 0.45 1.78 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.28 0.00 1.78 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.39 1.26 3.05 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_3_0_clock (net)
1.39 0.00 3.05 ^ clkbuf_leaf_23_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.68 3.73 ^ clkbuf_leaf_23_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.01 clknet_leaf_23_clock (net)
0.09 0.00 3.73 ^ _10541_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 3.98 clock uncertainty
-0.17 3.81 clock reconvergence pessimism
-0.09 3.72 library hold time
3.72 data required time
-----------------------------------------------------------------------------
3.72 data required time
-4.39 data arrival time
-----------------------------------------------------------------------------
0.68 slack (MET)
Startpoint: _10788_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10788_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.19 0.90 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.90 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.31 1.21 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.21 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.24 0.37 1.58 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.24 0.00 1.58 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.37 1.11 2.69 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.17 clknet_3_0_0_clock (net)
1.37 0.01 2.70 ^ clkbuf_leaf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.63 3.33 ^ clkbuf_leaf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_0_clock (net)
0.10 0.00 3.34 ^ _10788_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.61 3.94 v _10788_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 qei.count_reg_2[5] (net)
0.11 0.00 3.95 v _08614_/A (sky130_fd_sc_hd__inv_2)
0.05 0.08 4.03 ^ _08614_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 _03459_ (net)
0.05 0.00 4.03 ^ _08616_/A1 (sky130_fd_sc_hd__a221oi_1)
0.09 0.13 4.16 v _08616_/Y (sky130_fd_sc_hd__a221oi_1)
1 0.00 _00247_ (net)
0.09 0.00 4.16 v _10788_/D (sky130_fd_sc_hd__dfxtp_1)
4.16 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.21 0.99 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.99 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.34 1.33 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.33 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.24 0.41 1.74 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.24 0.00 1.75 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.37 1.23 2.98 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.17 clknet_3_0_0_clock (net)
1.37 0.01 2.99 ^ clkbuf_leaf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.10 0.70 3.69 ^ clkbuf_leaf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_0_clock (net)
0.10 0.00 3.69 ^ _10788_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 3.94 clock uncertainty
-0.35 3.59 clock reconvergence pessimism
-0.10 3.48 library hold time
3.48 data required time
-----------------------------------------------------------------------------
3.48 data required time
-4.16 data arrival time
-----------------------------------------------------------------------------
0.68 slack (MET)
Startpoint: io_wbs_m2s_data[31] (input port clocked by clock)
Endpoint: _10830_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 v input external delay
0.05 0.03 4.03 v io_wbs_m2s_data[31] (in)
2 0.01 io_wbs_m2s_data[31] (net)
0.05 0.00 4.03 v input40/A (sky130_fd_sc_hd__buf_12)
0.14 0.25 4.29 v input40/X (sky130_fd_sc_hd__buf_12)
8 0.15 net40 (net)
0.20 0.07 4.35 v _08931_/A1 (sky130_fd_sc_hd__o221a_1)
0.07 0.50 4.86 v _08931_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _03734_ (net)
0.07 0.00 4.86 v _08932_/B1 (sky130_fd_sc_hd__o21a_1)
0.05 0.17 5.03 v _08932_/X (sky130_fd_sc_hd__o21a_1)
1 0.00 _00289_ (net)
0.05 0.00 5.03 v _10830_/D (sky130_fd_sc_hd__dfxtp_1)
5.03 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.21 0.99 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.07 0.00 0.99 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.30 1.28 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.19 0.00 1.29 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.31 0.44 1.73 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.31 0.00 1.73 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.85 1.61 3.34 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.85 0.02 3.36 ^ clkbuf_leaf_51_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.83 4.18 ^ clkbuf_leaf_51_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_51_clock (net)
0.12 0.00 4.19 ^ _10830_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 4.44 clock uncertainty
0.00 4.44 clock reconvergence pessimism
-0.09 4.35 library hold time
4.35 data required time
-----------------------------------------------------------------------------
4.35 data required time
-5.03 data arrival time
-----------------------------------------------------------------------------
0.68 slack (MET)
Startpoint: _10766_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10766_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.39 0.71 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.71 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.19 0.90 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.90 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.31 1.21 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.21 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.24 0.37 1.58 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.24 0.00 1.58 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.37 1.11 2.69 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.17 clknet_3_0_0_clock (net)
1.37 0.01 2.71 ^ clkbuf_leaf_9_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.65 3.36 ^ clkbuf_leaf_9_clock/X (sky130_fd_sc_hd__clkbuf_16)
12 0.04 clknet_leaf_9_clock (net)
0.12 0.00 3.36 ^ _10766_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.14 0.67 4.03 ^ _10766_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 qei.speed_enable (net)
0.14 0.00 4.03 ^ _08530_/A1 (sky130_fd_sc_hd__a21o_1)
0.05 0.21 4.23 ^ _08530_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 _00225_ (net)
0.05 0.00 4.23 ^ _10766_/D (sky130_fd_sc_hd__dfxtp_1)
4.23 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.35 0.35 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.35 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.09 0.43 0.78 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.09 0.00 0.78 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.21 0.99 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.08 0.00 0.99 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.25 0.34 1.33 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.25 0.00 1.33 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.24 0.41 1.74 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.24 0.00 1.75 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.37 1.23 2.98 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.17 clknet_3_0_0_clock (net)
1.37 0.02 2.99 ^ clkbuf_leaf_9_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.12 0.72 3.71 ^ clkbuf_leaf_9_clock/X (sky130_fd_sc_hd__clkbuf_16)
12 0.04 clknet_leaf_9_clock (net)
0.12 0.00 3.71 ^ _10766_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 3.96 clock uncertainty
-0.35 3.61 clock reconvergence pessimism
-0.06 3.55 library hold time
3.55 data required time
-----------------------------------------------------------------------------
3.55 data required time
-4.23 data arrival time
-----------------------------------------------------------------------------
0.68 slack (MET)
Startpoint: _10798_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10761_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Corner: ss
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.47 0.32 0.32 ^ clock (in)
2 0.06 clock (net)
0.47 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)