blob: b3e6032042cdba25c22649126fb5e148e3d4c6ce [file] [log] [blame]
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/ali11-2000/FYP/mpw/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 441 library cells
[INFO ODB-0226] Finished LEF file: /home/ali11-2000/FYP/mpw/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/ali11-2000/FYP/mpw/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/results/placement/Motor_Top.def
[INFO ODB-0128] Design: Motor_Top
[INFO ODB-0130] Created 97 pins.
[INFO ODB-0131] Created 9430 components and 50672 component-terminals.
[INFO ODB-0132] Created 2 special nets and 31172 connections.
[INFO ODB-0133] Created 5865 nets and 19500 connections.
[INFO ODB-0134] Finished DEF file: /home/ali11-2000/FYP/mpw/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/results/placement/Motor_Top.def
###############################################################################
# Created by write_sdc
# Sun Mar 20 08:54:38 2022
###############################################################################
current_design Motor_Top
###############################################################################
# Timing Constraints
###############################################################################
create_clock -name clock -period 20.0000 [get_ports {clock}]
set_clock_transition 0.1500 [get_clocks {clock}]
set_clock_uncertainty 0.2500 clock
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_ba_match}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_qei_ch_a}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_qei_ch_b}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[10]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[11]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[12]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[13]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[14]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[15]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[4]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[5]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[6]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[7]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[8]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[9]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[10]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[11]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[12]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[13]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[14]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[15]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[16]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[17]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[18]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[19]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[20]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[21]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[22]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[23]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[24]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[25]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[26]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[27]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[28]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[29]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[30]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[31]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[4]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[5]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[6]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[7]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[8]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[9]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_stb}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_we}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {reset}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_motor_irq}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_pwm_high}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_pwm_low}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_ack_o}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[0]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[10]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[11]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[12]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[13]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[14]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[15]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[16]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[17]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[18]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[19]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[1]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[20]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[21]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[22]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[23]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[24]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[25]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[26]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[27]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[28]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[29]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[2]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[30]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[31]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[3]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[4]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[5]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[6]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[7]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[8]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[9]}]
###############################################################################
# Environment
###############################################################################
set_load -pin_load 0.0334 [get_ports {io_motor_irq}]
set_load -pin_load 0.0334 [get_ports {io_pwm_high}]
set_load -pin_load 0.0334 [get_ports {io_pwm_low}]
set_load -pin_load 0.0334 [get_ports {io_wbs_ack_o}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[31]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[30]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[29]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[28]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[27]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[26]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[25]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[24]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[23]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[22]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[21]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[20]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[19]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[18]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[17]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[16]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[15]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[14]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[13]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[12]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[11]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[10]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[9]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[8]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[7]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[6]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[5]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[4]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[3]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[2]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[1]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {clock}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_ba_match}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_qei_ch_a}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_qei_ch_b}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_stb}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_we}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {reset}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[0]}]
set_timing_derate -early 0.9500
set_timing_derate -late 1.0500
###############################################################################
# Design Rules
###############################################################################
set_max_fanout 5.0000 [current_design]
[INFO]: Setting RC values...
[INFO]: Configuring cts characterization...
[INFO]: Performing clock tree synthesis...
[INFO]: Looking for the following net(s):
[INFO]: Running Clock Tree Synthesis...
[INFO CTS-0038] Number of created patterns = 50000.
[INFO CTS-0038] Number of created patterns = 100000.
[INFO CTS-0039] Number of created patterns = 137808.
[INFO CTS-0084] Compiling LUT.
Min. len Max. len Min. cap Max. cap Min. slew Max. slew
2 8 1 36 1 150
[WARNING CTS-0043] 4752 wires are pure wire and no slew degradation.
TritonCTS forced slew degradation on these wires.
[INFO CTS-0046] Number of wire segments: 136611.
[INFO CTS-0047] Number of keys in characterization LUT: 1923.
[INFO CTS-0048] Actual min input cap: 1.
[INFO CTS-0007] Net "clock" found for clock "clock".
[INFO CTS-0010] Clock net "clock" has 448 sinks.
[INFO CTS-0008] TritonCTS found 1 clock nets.
[INFO CTS-0097] Characterization used 3 buffer(s) types.
[INFO CTS-0027] Generating H-Tree topology for net clock.
[INFO CTS-0028] Total number of sinks: 448.
[INFO CTS-0029] Sinks will be clustered in groups of up to 25 and with maximum cluster diameter of 50.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0019] Total number of sinks after clustering: 95.
[INFO CTS-0024] Normalized sink region: [(1.18269, 1.15538), (37.2804, 36.7193)].
[INFO CTS-0025] Width: 36.0977.
[INFO CTS-0026] Height: 35.5640.
Level 1
Direction: Horizontal
Sinks per sub-region: 48
Sub-region size: 18.0488 X 35.5640
[INFO CTS-0034] Segment length (rounded): 10.
Key: 4068 outSlew: 13 load: 1 length: 8 isBuffered: true
Key: 29 outSlew: 7 load: 1 length: 2 isBuffered: true
Level 2
Direction: Vertical
Sinks per sub-region: 24
Sub-region size: 18.0488 X 17.7820
[INFO CTS-0034] Segment length (rounded): 8.
Key: 4073 outSlew: 13 load: 1 length: 8 isBuffered: true
Level 3
Direction: Horizontal
Sinks per sub-region: 12
Sub-region size: 9.0244 X 17.7820
[INFO CTS-0034] Segment length (rounded): 4.
Key: 173 outSlew: 7 load: 1 length: 4 isBuffered: true
Out of 23 sinks, 2 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 95.
[INFO CTS-0036] Average source sink dist: 33206.61 dbu.
[INFO CTS-0037] Number of outlier sinks: 7.
[INFO CTS-0018] Created 108 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 5.
[INFO CTS-0013] Maximum number of buffers in the clock path: 8.
[INFO CTS-0015] Created 108 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 2:9, 3:12, 4:14, 5:8, 6:12, 7:9, 8:7, 9:4, 10:4, 11:4, 12:2, 13:1, 14:1, 16:1..
[INFO CTS-0017] Max level of the clock tree: 3.
[INFO CTS-0098] Clock net "clock"
[INFO CTS-0099] Sinks 448
[INFO CTS-0100] Leaf buffers 80
[INFO CTS-0101] Average sink wire length 812.28 um
[INFO CTS-0102] Path depth 5 - 8
[INFO]: Repairing long wires on clock nets...
[INFO RSZ-0058] Using max wire length 2319um.
[INFO]: Legalizing...
Placement Analysis
---------------------------------
total displacement 638.1 u
average displacement 0.1 u
max displacement 8.3 u
original HPWL 198076.4 u
legalized HPWL 202858.7 u
delta HPWL 2 %
[INFO DPL-0020] Mirrored 2398 instances
[INFO DPL-0021] HPWL before 202858.7 u
[INFO DPL-0022] HPWL after 198241.5 u
[INFO DPL-0023] HPWL delta -2.3 %
cts_report
[INFO CTS-0003] Total number of Clock Roots: 1.
[INFO CTS-0004] Total number of Buffers Inserted: 108.
[INFO CTS-0005] Total number of Clock Subnets: 108.
[INFO CTS-0006] Total number of Sinks: 448.
cts_report_end
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _10879_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10629_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.24 0.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 0.55 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.55 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.14 0.69 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.69 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.90 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 0.90 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.69 0.56 1.47 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 clknet_3_5_0_clock (net)
0.69 0.00 1.47 ^ _10879_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.46 1.93 v _10879_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.02 pid.reg_pid_out[13] (net)
0.08 0.00 1.93 v _07862_/A (sky130_fd_sc_hd__nand2_1)
0.13 0.13 2.06 ^ _07862_/Y (sky130_fd_sc_hd__nand2_1)
1 0.01 _02865_ (net)
0.13 0.00 2.06 ^ _07863_/B2 (sky130_fd_sc_hd__o221ai_4)
0.08 0.11 2.17 v _07863_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.01 _02866_ (net)
0.08 0.00 2.17 v _07864_/C1 (sky130_fd_sc_hd__o211a_1)
0.03 0.11 2.28 v _07864_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00089_ (net)
0.03 0.00 2.28 v _10629_/D (sky130_fd_sc_hd__dfxtp_1)
2.28 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.09 0.91 1.91 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.21 clknet_3_6_0_clock (net)
1.09 0.00 1.92 ^ clkbuf_leaf_38_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.25 ^ clkbuf_leaf_38_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_38_clock (net)
0.08 0.00 2.25 ^ _10629_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.50 clock uncertainty
-0.07 2.43 clock reconvergence pessimism
-0.04 2.39 library hold time
2.39 data required time
-----------------------------------------------------------------------------
2.39 data required time
-2.28 data arrival time
-----------------------------------------------------------------------------
-0.11 slack (VIOLATED)
Startpoint: _10727_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10946_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.24 0.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 0.55 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.04 0.00 0.55 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 0.72 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_1_clock (net)
0.15 0.00 0.72 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.20 0.92 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_1_0_clock (net)
0.15 0.00 0.92 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.77 0.61 1.53 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.14 clknet_3_2_0_clock (net)
0.77 0.00 1.53 ^ clkbuf_leaf_10_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 1.80 ^ clkbuf_leaf_10_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_10_clock (net)
0.06 0.00 1.80 ^ _10727_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.13 0.37 2.17 ^ _10727_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.02 interlink._T_236[15] (net)
0.13 0.00 2.17 ^ _10429_/A2 (sky130_fd_sc_hd__o221a_1)
0.05 0.18 2.35 ^ _10429_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00405_ (net)
0.05 0.00 2.35 ^ _10946_/D (sky130_fd_sc_hd__dfxtp_1)
2.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.09 0.91 1.91 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.21 clknet_3_6_0_clock (net)
1.09 0.00 1.92 ^ clkbuf_leaf_34_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.25 ^ clkbuf_leaf_34_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_34_clock (net)
0.08 0.00 2.25 ^ _10946_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.50 clock uncertainty
-0.05 2.45 clock reconvergence pessimism
-0.03 2.42 library hold time
2.42 data required time
-----------------------------------------------------------------------------
2.42 data required time
-2.35 data arrival time
-----------------------------------------------------------------------------
-0.08 slack (VIOLATED)
Startpoint: _10596_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10628_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.24 0.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 0.55 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.55 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.14 0.69 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.69 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.90 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 0.90 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.69 0.56 1.47 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 clknet_3_5_0_clock (net)
0.69 0.00 1.47 ^ clkbuf_leaf_62_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.25 1.72 ^ clkbuf_leaf_62_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_62_clock (net)
0.05 0.00 1.72 ^ _10596_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.03 0.29 2.01 v _10596_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.00 pwm.reg_duty[12] (net)
0.03 0.00 2.01 v _06192_/A (sky130_fd_sc_hd__inv_2)
0.08 0.07 2.08 ^ _06192_/Y (sky130_fd_sc_hd__inv_2)
2 0.02 _01228_ (net)
0.08 0.00 2.08 ^ _07860_/A1 (sky130_fd_sc_hd__o221ai_4)
0.08 0.12 2.20 v _07860_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.01 _02864_ (net)
0.08 0.00 2.20 v _07861_/C1 (sky130_fd_sc_hd__o211a_1)
0.03 0.11 2.31 v _07861_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00088_ (net)
0.03 0.00 2.31 v _10628_/D (sky130_fd_sc_hd__dfxtp_1)
2.31 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.09 0.91 1.91 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.21 clknet_3_6_0_clock (net)
1.09 0.00 1.92 ^ clkbuf_leaf_38_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.25 ^ clkbuf_leaf_38_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_38_clock (net)
0.08 0.00 2.25 ^ _10628_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.50 clock uncertainty
-0.07 2.43 clock reconvergence pessimism
-0.04 2.39 library hold time
2.39 data required time
-----------------------------------------------------------------------------
2.39 data required time
-2.31 data arrival time
-----------------------------------------------------------------------------
-0.07 slack (VIOLATED)
Startpoint: _10726_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10945_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.24 0.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 0.55 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.04 0.00 0.55 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 0.72 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_1_clock (net)
0.15 0.00 0.72 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.20 0.92 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_1_0_clock (net)
0.15 0.00 0.92 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.77 0.61 1.53 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.14 clknet_3_2_0_clock (net)
0.77 0.00 1.53 ^ clkbuf_leaf_10_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 1.80 ^ clkbuf_leaf_10_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_10_clock (net)
0.06 0.00 1.80 ^ _10726_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.13 0.37 2.17 ^ _10726_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.03 interlink._T_236[14] (net)
0.14 0.00 2.18 ^ _10426_/A2 (sky130_fd_sc_hd__o221a_1)
0.05 0.18 2.35 ^ _10426_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00404_ (net)
0.05 0.00 2.35 ^ _10945_/D (sky130_fd_sc_hd__dfxtp_1)
2.35 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.09 0.91 1.91 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.21 clknet_3_6_0_clock (net)
1.09 0.00 1.92 ^ clkbuf_leaf_34_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.25 ^ clkbuf_leaf_34_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_34_clock (net)
0.08 0.00 2.25 ^ _10945_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.50 clock uncertainty
-0.05 2.45 clock reconvergence pessimism
-0.03 2.43 library hold time
2.43 data required time
-----------------------------------------------------------------------------
2.43 data required time
-2.35 data arrival time
-----------------------------------------------------------------------------
-0.07 slack (VIOLATED)
Startpoint: _10595_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10627_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.24 0.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 0.55 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.55 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.14 0.69 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.69 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.90 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 0.90 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.69 0.56 1.47 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 clknet_3_5_0_clock (net)
0.69 0.00 1.47 ^ clkbuf_leaf_62_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.25 1.72 ^ clkbuf_leaf_62_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_62_clock (net)
0.05 0.00 1.72 ^ _10595_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.29 2.01 v _10595_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 pwm.reg_duty[11] (net)
0.04 0.00 2.01 v _06188_/A (sky130_fd_sc_hd__inv_2)
0.07 0.07 2.09 ^ _06188_/Y (sky130_fd_sc_hd__inv_2)
2 0.02 _01225_ (net)
0.07 0.00 2.09 ^ _07857_/A1 (sky130_fd_sc_hd__o221ai_4)
0.08 0.12 2.21 v _07857_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.01 _02862_ (net)
0.08 0.00 2.21 v _07858_/C1 (sky130_fd_sc_hd__o211a_1)
0.03 0.11 2.32 v _07858_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00087_ (net)
0.03 0.00 2.32 v _10627_/D (sky130_fd_sc_hd__dfxtp_1)
2.32 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.09 0.91 1.91 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.21 clknet_3_6_0_clock (net)
1.09 0.00 1.92 ^ clkbuf_leaf_38_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.25 ^ clkbuf_leaf_38_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_38_clock (net)
0.08 0.00 2.25 ^ _10627_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.50 clock uncertainty
-0.07 2.43 clock reconvergence pessimism
-0.04 2.39 library hold time
2.39 data required time
-----------------------------------------------------------------------------
2.39 data required time
-2.32 data arrival time
-----------------------------------------------------------------------------
-0.07 slack (VIOLATED)
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10617_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.95 0.81 1.81 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.18 clknet_3_4_0_clock (net)
0.95 0.00 1.81 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.12 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_81_clock (net)
0.07 0.00 2.12 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.38 2.51 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.51 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.70 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.70 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.07 0.41 3.11 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.00 _01318_ (net)
0.07 0.00 3.11 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.07 0.34 3.45 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01321_ (net)
0.07 0.00 3.45 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.84 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.09 0.00 3.84 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.17 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.17 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.40 0.45 4.62 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.40 0.00 4.62 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.86 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.08 0.00 4.86 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.24 5.11 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.11 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 5.23 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01358_ (net)
0.10 0.00 5.23 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.22 5.45 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.45 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.82 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.09 0.00 5.82 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.06 0.18 6.00 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.06 0.00 6.00 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.26 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.26 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.46 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.46 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.10 0.41 6.86 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.86 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 7.08 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 7.08 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.51 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.51 v _06608_/A1 (sky130_fd_sc_hd__a21o_1)
0.05 0.20 7.71 v _06608_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01624_ (net)
0.05 0.00 7.71 v _06613_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.92 v _06613_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01629_ (net)
0.06 0.00 7.92 v _06615_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 8.15 v _06615_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01631_ (net)
0.06 0.00 8.15 v _06617_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.37 v _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.06 0.00 8.37 v _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.25 8.63 v _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.10 0.00 8.63 v _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.16 0.19 8.81 ^ _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.16 0.00 8.81 ^ _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.24 0.19 9.00 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.24 0.00 9.00 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.21 0.25 9.25 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.21 0.00 9.25 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.47 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.47 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.24 9.71 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.12 0.00 9.71 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.96 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.19 0.00 9.96 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.26 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.26 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.55 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 10.55 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.69 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 10.69 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.10 0.23 10.91 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.10 0.00 10.91 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.27 11.19 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.19 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.47 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 11.47 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.26 11.73 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 11.73 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 11.93 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 11.93 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.08 0.18 12.12 v _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01982_ (net)
0.08 0.00 12.12 v _07605_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.22 12.33 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.11 0.00 12.33 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.19 0.24 12.57 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _02725_ (net)
0.19 0.00 12.57 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.22 12.79 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 12.79 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.38 13.17 v _07806_/X (sky130_fd_sc_hd__a211o_4)
3 0.03 _02822_ (net)
0.09 0.00 13.18 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.07 0.21 13.39 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.07 0.00 13.39 v _07815_/B1 (sky130_fd_sc_hd__o221ai_4)
0.26 0.26 13.65 ^ _07815_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.02 _02830_ (net)
0.26 0.00 13.65 ^ _07816_/C1 (sky130_fd_sc_hd__o211a_1)
0.09 0.24 13.89 ^ _07816_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00077_ (net)
0.09 0.00 13.89 ^ _10617_/D (sky130_fd_sc_hd__dfxtp_1)
13.89 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 20.55 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.04 0.00 20.55 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 20.72 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_1_clock (net)
0.15 0.00 20.72 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.20 20.92 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_1_0_clock (net)
0.15 0.00 20.92 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.77 0.61 21.53 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.14 clknet_3_2_0_clock (net)
0.77 0.00 21.53 ^ clkbuf_leaf_13_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 21.81 ^ clkbuf_leaf_13_clock/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 clknet_leaf_13_clock (net)
0.07 0.00 21.81 ^ _10617_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.56 clock uncertainty
0.05 21.60 clock reconvergence pessimism
-0.06 21.54 library setup time
21.54 data required time
-----------------------------------------------------------------------------
21.54 data required time
-13.89 data arrival time
-----------------------------------------------------------------------------
7.65 slack (MET)
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10619_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.95 0.81 1.81 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.18 clknet_3_4_0_clock (net)
0.95 0.00 1.81 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.12 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_81_clock (net)
0.07 0.00 2.12 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.38 2.51 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.51 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.70 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.70 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.07 0.41 3.11 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.00 _01318_ (net)
0.07 0.00 3.11 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.07 0.34 3.45 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01321_ (net)
0.07 0.00 3.45 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.84 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.09 0.00 3.84 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.17 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.17 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.40 0.45 4.62 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.40 0.00 4.62 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.86 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.08 0.00 4.86 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.24 5.11 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.11 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 5.23 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01358_ (net)
0.10 0.00 5.23 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.22 5.45 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.45 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.82 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.09 0.00 5.82 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.06 0.18 6.00 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.06 0.00 6.00 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.26 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.26 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.46 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.46 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.10 0.41 6.86 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.86 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 7.08 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 7.08 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.51 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.51 v _06608_/A1 (sky130_fd_sc_hd__a21o_1)
0.05 0.20 7.71 v _06608_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01624_ (net)
0.05 0.00 7.71 v _06613_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.92 v _06613_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01629_ (net)
0.06 0.00 7.92 v _06615_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 8.15 v _06615_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01631_ (net)
0.06 0.00 8.15 v _06617_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.37 v _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.06 0.00 8.37 v _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.25 8.63 v _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.10 0.00 8.63 v _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.16 0.19 8.81 ^ _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.16 0.00 8.81 ^ _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.24 0.19 9.00 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.24 0.00 9.00 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.21 0.25 9.25 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.21 0.00 9.25 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.47 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.47 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.24 9.71 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.12 0.00 9.71 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.96 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.19 0.00 9.96 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.26 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.26 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.55 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 10.55 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.69 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 10.69 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.10 0.23 10.91 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.10 0.00 10.91 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.27 11.19 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.19 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.47 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 11.47 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.26 11.73 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 11.73 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 11.93 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 11.93 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.08 0.18 12.12 v _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01982_ (net)
0.08 0.00 12.12 v _07605_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.22 12.33 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.11 0.00 12.33 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.19 0.24 12.57 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _02725_ (net)
0.19 0.00 12.57 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.22 12.79 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 12.79 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.38 13.17 v _07806_/X (sky130_fd_sc_hd__a211o_4)
3 0.03 _02822_ (net)
0.09 0.00 13.18 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.07 0.21 13.39 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.07 0.00 13.39 v _07821_/B1 (sky130_fd_sc_hd__o221ai_4)
0.27 0.27 13.66 ^ _07821_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.02 _02834_ (net)
0.27 0.00 13.66 ^ _07822_/C1 (sky130_fd_sc_hd__o211a_1)
0.07 0.23 13.89 ^ _07822_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00079_ (net)
0.07 0.00 13.89 ^ _10619_/D (sky130_fd_sc_hd__dfxtp_1)
13.89 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 20.55 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.04 0.00 20.55 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 20.72 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_1_clock (net)
0.15 0.00 20.72 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.20 20.92 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_1_0_clock (net)
0.15 0.00 20.92 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.77 0.61 21.53 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.14 clknet_3_2_0_clock (net)
0.77 0.00 21.53 ^ clkbuf_leaf_10_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 21.80 ^ clkbuf_leaf_10_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_10_clock (net)
0.06 0.00 21.80 ^ _10619_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.55 clock uncertainty
0.05 21.60 clock reconvergence pessimism
-0.06 21.54 library setup time
21.54 data required time
-----------------------------------------------------------------------------
21.54 data required time
-13.89 data arrival time
-----------------------------------------------------------------------------
7.65 slack (MET)
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10618_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.95 0.81 1.81 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.18 clknet_3_4_0_clock (net)
0.95 0.00 1.81 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.12 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_81_clock (net)
0.07 0.00 2.12 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.38 2.51 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.51 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.70 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.70 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.07 0.41 3.11 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.00 _01318_ (net)
0.07 0.00 3.11 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.07 0.34 3.45 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01321_ (net)
0.07 0.00 3.45 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.84 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.09 0.00 3.84 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.17 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.17 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.40 0.45 4.62 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.40 0.00 4.62 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.86 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.08 0.00 4.86 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.24 5.11 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.11 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 5.23 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01358_ (net)
0.10 0.00 5.23 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.22 5.45 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.45 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.82 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.09 0.00 5.82 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.06 0.18 6.00 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.06 0.00 6.00 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.26 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.26 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.46 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.46 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.10 0.41 6.86 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.86 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 7.08 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 7.08 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.51 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.51 v _06608_/A1 (sky130_fd_sc_hd__a21o_1)
0.05 0.20 7.71 v _06608_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01624_ (net)
0.05 0.00 7.71 v _06613_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.92 v _06613_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01629_ (net)
0.06 0.00 7.92 v _06615_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 8.15 v _06615_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01631_ (net)
0.06 0.00 8.15 v _06617_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.37 v _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.06 0.00 8.37 v _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.25 8.63 v _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.10 0.00 8.63 v _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.16 0.19 8.81 ^ _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.16 0.00 8.81 ^ _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.24 0.19 9.00 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.24 0.00 9.00 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.21 0.25 9.25 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.21 0.00 9.25 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.47 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.47 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.24 9.71 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.12 0.00 9.71 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.96 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.19 0.00 9.96 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.26 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.26 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.55 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 10.55 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.69 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 10.69 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.10 0.23 10.91 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.10 0.00 10.91 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.27 11.19 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.19 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.47 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 11.47 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.26 11.73 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 11.73 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 11.93 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 11.93 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.08 0.18 12.12 v _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01982_ (net)
0.08 0.00 12.12 v _07605_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.22 12.33 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.11 0.00 12.33 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.19 0.24 12.57 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _02725_ (net)
0.19 0.00 12.57 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.22 12.79 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 12.79 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.38 13.17 v _07806_/X (sky130_fd_sc_hd__a211o_4)
3 0.03 _02822_ (net)
0.09 0.00 13.18 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.07 0.21 13.39 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.07 0.00 13.39 v _07818_/B1 (sky130_fd_sc_hd__o221ai_4)
0.27 0.27 13.66 ^ _07818_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.02 _02832_ (net)
0.27 0.00 13.66 ^ _07819_/C1 (sky130_fd_sc_hd__o211a_1)
0.08 0.23 13.89 ^ _07819_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00078_ (net)
0.08 0.00 13.89 ^ _10618_/D (sky130_fd_sc_hd__dfxtp_1)
13.89 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 20.55 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.04 0.00 20.55 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 20.72 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_1_clock (net)
0.15 0.00 20.72 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.20 20.92 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_1_0_clock (net)
0.15 0.00 20.92 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.77 0.61 21.53 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.14 clknet_3_2_0_clock (net)
0.77 0.00 21.53 ^ clkbuf_leaf_13_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 21.81 ^ clkbuf_leaf_13_clock/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 clknet_leaf_13_clock (net)
0.07 0.00 21.81 ^ _10618_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.56 clock uncertainty
0.05 21.60 clock reconvergence pessimism
-0.06 21.55 library setup time
21.55 data required time
-----------------------------------------------------------------------------
21.55 data required time
-13.89 data arrival time
-----------------------------------------------------------------------------
7.66 slack (MET)
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10620_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.95 0.81 1.81 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.18 clknet_3_4_0_clock (net)
0.95 0.00 1.81 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.12 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_81_clock (net)
0.07 0.00 2.12 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.38 2.51 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.51 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.70 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.70 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.07 0.41 3.11 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.00 _01318_ (net)
0.07 0.00 3.11 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.07 0.34 3.45 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01321_ (net)
0.07 0.00 3.45 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.84 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.09 0.00 3.84 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.17 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.17 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.40 0.45 4.62 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.40 0.00 4.62 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.86 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.08 0.00 4.86 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.24 5.11 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.11 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 5.23 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01358_ (net)
0.10 0.00 5.23 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.22 5.45 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.45 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.82 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.09 0.00 5.82 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.06 0.18 6.00 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.06 0.00 6.00 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.26 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.26 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.46 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.46 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.10 0.41 6.86 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.86 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 7.08 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 7.08 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.51 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.51 v _06608_/A1 (sky130_fd_sc_hd__a21o_1)
0.05 0.20 7.71 v _06608_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01624_ (net)
0.05 0.00 7.71 v _06613_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.92 v _06613_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01629_ (net)
0.06 0.00 7.92 v _06615_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 8.15 v _06615_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01631_ (net)
0.06 0.00 8.15 v _06617_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.37 v _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.06 0.00 8.37 v _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.25 8.63 v _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.10 0.00 8.63 v _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.16 0.19 8.81 ^ _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.16 0.00 8.81 ^ _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.24 0.19 9.00 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.24 0.00 9.00 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.21 0.25 9.25 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.21 0.00 9.25 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.47 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.47 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.24 9.71 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.12 0.00 9.71 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.96 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.19 0.00 9.96 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.26 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.26 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.55 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 10.55 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.69 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 10.69 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.10 0.23 10.91 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.10 0.00 10.91 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.27 11.19 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.19 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.47 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 11.47 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.26 11.73 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 11.73 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 11.93 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 11.93 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.08 0.18 12.12 v _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01982_ (net)
0.08 0.00 12.12 v _07605_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.22 12.33 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.11 0.00 12.33 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.19 0.24 12.57 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _02725_ (net)
0.19 0.00 12.57 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.22 12.79 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 12.79 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.38 13.17 v _07806_/X (sky130_fd_sc_hd__a211o_4)
3 0.03 _02822_ (net)
0.09 0.00 13.18 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.07 0.21 13.39 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.07 0.00 13.39 v _07825_/B1 (sky130_fd_sc_hd__o221ai_4)
0.26 0.26 13.65 ^ _07825_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.02 _02837_ (net)
0.26 0.00 13.66 ^ _07826_/C1 (sky130_fd_sc_hd__o211a_1)
0.07 0.22 13.88 ^ _07826_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00080_ (net)
0.07 0.00 13.88 ^ _10620_/D (sky130_fd_sc_hd__dfxtp_1)
13.88 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 20.55 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.04 0.00 20.55 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 20.72 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_1_clock (net)
0.15 0.00 20.72 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.20 20.92 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_1_0_clock (net)
0.15 0.00 20.92 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.77 0.61 21.53 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.14 clknet_3_2_0_clock (net)
0.77 0.00 21.53 ^ clkbuf_leaf_11_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 21.80 ^ clkbuf_leaf_11_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_11_clock (net)
0.06 0.00 21.80 ^ _10620_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.55 clock uncertainty
0.05 21.59 clock reconvergence pessimism
-0.06 21.54 library setup time
21.54 data required time
-----------------------------------------------------------------------------
21.54 data required time
-13.88 data arrival time
-----------------------------------------------------------------------------
7.66 slack (MET)
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10616_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.95 0.81 1.81 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.18 clknet_3_4_0_clock (net)
0.95 0.00 1.81 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.12 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_81_clock (net)
0.07 0.00 2.12 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.38 2.51 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.51 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.70 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.70 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.07 0.41 3.11 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.00 _01318_ (net)
0.07 0.00 3.11 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.07 0.34 3.45 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01321_ (net)
0.07 0.00 3.45 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.84 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.09 0.00 3.84 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.17 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.17 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.40 0.45 4.62 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.40 0.00 4.62 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.86 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.08 0.00 4.86 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.24 5.11 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.11 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 5.23 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01358_ (net)
0.10 0.00 5.23 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.22 5.45 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.45 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.82 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.09 0.00 5.82 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.06 0.18 6.00 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.06 0.00 6.00 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.26 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.26 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.46 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.46 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.10 0.41 6.86 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.86 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 7.08 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 7.08 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.51 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.51 v _06608_/A1 (sky130_fd_sc_hd__a21o_1)
0.05 0.20 7.71 v _06608_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01624_ (net)
0.05 0.00 7.71 v _06613_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.92 v _06613_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01629_ (net)
0.06 0.00 7.92 v _06615_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 8.15 v _06615_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01631_ (net)
0.06 0.00 8.15 v _06617_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.37 v _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.06 0.00 8.37 v _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.25 8.63 v _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.10 0.00 8.63 v _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.16 0.19 8.81 ^ _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.16 0.00 8.81 ^ _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.24 0.19 9.00 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.24 0.00 9.00 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.21 0.25 9.25 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.21 0.00 9.25 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.47 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.47 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.24 9.71 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.12 0.00 9.71 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.96 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.19 0.00 9.96 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.26 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.26 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.55 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 10.55 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.69 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 10.69 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.10 0.23 10.91 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.10 0.00 10.91 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.27 11.19 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.19 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.47 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 11.47 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.26 11.73 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 11.73 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 11.93 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 11.93 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.08 0.18 12.12 v _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01982_ (net)
0.08 0.00 12.12 v _07605_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.22 12.33 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.11 0.00 12.33 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.19 0.24 12.57 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _02725_ (net)
0.19 0.00 12.57 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.22 12.79 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 12.79 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.38 13.17 v _07806_/X (sky130_fd_sc_hd__a211o_4)
3 0.03 _02822_ (net)
0.09 0.00 13.18 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.07 0.21 13.39 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.07 0.00 13.39 v _07812_/B1 (sky130_fd_sc_hd__o221ai_4)
0.26 0.26 13.65 ^ _07812_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.02 _02828_ (net)
0.26 0.00 13.65 ^ _07813_/C1 (sky130_fd_sc_hd__o211a_1)
0.04 0.20 13.84 ^ _07813_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00076_ (net)
0.04 0.00 13.84 ^ _10616_/D (sky130_fd_sc_hd__dfxtp_2)
13.84 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 20.55 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.04 0.00 20.55 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 20.72 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_1_clock (net)
0.15 0.00 20.72 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.20 20.92 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_1_0_clock (net)
0.15 0.00 20.92 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.77 0.61 21.53 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.14 clknet_3_2_0_clock (net)
0.77 0.00 21.53 ^ clkbuf_leaf_11_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 21.80 ^ clkbuf_leaf_11_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_11_clock (net)
0.06 0.00 21.80 ^ _10616_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.25 21.55 clock uncertainty
0.05 21.59 clock reconvergence pessimism
-0.06 21.54 library setup time
21.54 data required time
-----------------------------------------------------------------------------
21.54 data required time
-13.84 data arrival time
-----------------------------------------------------------------------------
7.69 slack (MET)
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10617_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.95 0.81 1.81 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.18 clknet_3_4_0_clock (net)
0.95 0.00 1.81 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.12 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_81_clock (net)
0.07 0.00 2.12 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.38 2.51 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.51 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.70 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.70 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.07 0.41 3.11 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.00 _01318_ (net)
0.07 0.00 3.11 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.07 0.34 3.45 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01321_ (net)
0.07 0.00 3.45 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.84 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.09 0.00 3.84 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.17 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.17 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.40 0.45 4.62 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.40 0.00 4.62 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.86 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.08 0.00 4.86 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.24 5.11 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.11 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 5.23 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01358_ (net)
0.10 0.00 5.23 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.22 5.45 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.45 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.82 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.09 0.00 5.82 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.06 0.18 6.00 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.06 0.00 6.00 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.26 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.26 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.46 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.46 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.10 0.41 6.86 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.86 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 7.08 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 7.08 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.51 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.51 v _06608_/A1 (sky130_fd_sc_hd__a21o_1)
0.05 0.20 7.71 v _06608_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01624_ (net)
0.05 0.00 7.71 v _06613_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.92 v _06613_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01629_ (net)
0.06 0.00 7.92 v _06615_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 8.15 v _06615_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01631_ (net)
0.06 0.00 8.15 v _06617_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.37 v _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.06 0.00 8.37 v _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.25 8.63 v _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.10 0.00 8.63 v _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.16 0.19 8.81 ^ _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.16 0.00 8.81 ^ _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.24 0.19 9.00 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.24 0.00 9.00 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.21 0.25 9.25 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.21 0.00 9.25 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.47 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.47 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.24 9.71 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.12 0.00 9.71 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.96 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.19 0.00 9.96 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.26 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.26 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.55 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 10.55 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.69 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 10.69 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.10 0.23 10.91 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.10 0.00 10.91 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.27 11.19 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.19 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.47 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 11.47 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.26 11.73 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 11.73 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 11.93 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 11.93 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.08 0.18 12.12 v _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01982_ (net)
0.08 0.00 12.12 v _07605_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.22 12.33 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.11 0.00 12.33 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.19 0.24 12.57 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _02725_ (net)
0.19 0.00 12.57 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.22 12.79 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 12.79 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.38 13.17 v _07806_/X (sky130_fd_sc_hd__a211o_4)
3 0.03 _02822_ (net)
0.09 0.00 13.18 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.07 0.21 13.39 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.07 0.00 13.39 v _07815_/B1 (sky130_fd_sc_hd__o221ai_4)
0.26 0.26 13.65 ^ _07815_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.02 _02830_ (net)
0.26 0.00 13.65 ^ _07816_/C1 (sky130_fd_sc_hd__o211a_1)
0.09 0.24 13.89 ^ _07816_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00077_ (net)
0.09 0.00 13.89 ^ _10617_/D (sky130_fd_sc_hd__dfxtp_1)
13.89 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 20.55 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.04 0.00 20.55 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 20.72 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_1_clock (net)
0.15 0.00 20.72 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.20 20.92 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_1_0_clock (net)
0.15 0.00 20.92 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.77 0.61 21.53 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.14 clknet_3_2_0_clock (net)
0.77 0.00 21.53 ^ clkbuf_leaf_13_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 21.81 ^ clkbuf_leaf_13_clock/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 clknet_leaf_13_clock (net)
0.07 0.00 21.81 ^ _10617_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.56 clock uncertainty
0.05 21.60 clock reconvergence pessimism
-0.06 21.54 library setup time
21.54 data required time
-----------------------------------------------------------------------------
21.54 data required time
-13.89 data arrival time
-----------------------------------------------------------------------------
7.65 slack (MET)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
===========================================================================
max slew violation count 0
max fanout violation count 0
max cap violation count 0
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack 7.65
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack -0.11
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock clock
Latency CRPR Skew
_10637_/CLK ^
2.23
_10855_/CLK ^
1.53 -0.05 0.66
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 9.59e-04 6.64e-05 3.78e-09 1.03e-03 18.0%
Combinational 2.30e-03 2.37e-03 2.27e-08 4.68e-03 82.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 3.26e-03 2.44e-03 2.65e-08 5.70e-03 100.0%
57.2% 42.8% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 57625 u^2 25% utilization.
area_report_end