blob: 54f47fea360a74ab73f666ec2c5da5474a6e9d6c [file] [log] [blame]
/* Generated by Yosys 0.12+45 (git sha1 UNKNOWN, gcc 8.3.1 -fPIC -Os) */
module WB_InterConnect(clock, reset, io_dbus_addr, io_dbus_wdata, io_dbus_rdata, io_dbus_rd_en, io_dbus_wr_en, io_dbus_st_type, io_dbus_ld_type, io_dbus_valid, io_ibus_addr, io_ibus_inst, io_ibus_valid, io_imem_io_addr, io_imem_io_rdata, io_imem_io_wdata, io_imem_io_wr_en, io_imem_io_cs, io_imem_io_st_type, io_dmem_io_addr, io_dmem_io_wdata
, io_dmem_io_rdata, io_dmem_io_cs, io_dmem_io_wr_en, io_dmem_io_st_type, io_wbm_m2s_addr, io_wbm_m2s_data, io_wbm_m2s_we, io_wbm_m2s_sel, io_wbm_m2s_stb, io_uart_tx, io_uart_txen, io_uart_rx, io_uart_irq, io_spi_cs, io_spi_clk, io_spi_mosi, io_spi_miso, io_spi_irq, io_spi_cs_en, io_spi_clk_en, io_spi_mosi_en
, io_motor_ack_i, io_motor_data_i, io_motor_addr_sel);
wire _0000_;
wire _0001_;
wire _0002_;
wire _0003_;
wire _0004_;
wire _0005_;
wire _0006_;
wire _0007_;
wire _0008_;
wire _0009_;
wire _0010_;
wire _0011_;
wire _0012_;
wire _0013_;
wire _0014_;
wire _0015_;
wire _0016_;
wire _0017_;
wire _0018_;
wire _0019_;
wire _0020_;
wire _0021_;
wire _0022_;
wire _0023_;
wire _0024_;
wire _0025_;
wire _0026_;
wire _0027_;
wire _0028_;
wire _0029_;
wire _0030_;
wire _0031_;
wire _0032_;
wire _0033_;
wire _0034_;
wire _0035_;
wire _0036_;
wire _0037_;
wire _0038_;
wire _0039_;
wire _0040_;
wire _0041_;
wire _0042_;
wire _0043_;
wire _0044_;
wire _0045_;
wire _0046_;
wire _0047_;
wire _0048_;
wire _0049_;
wire _0050_;
wire _0051_;
wire _0052_;
wire _0053_;
wire _0054_;
wire _0055_;
wire _0056_;
wire _0057_;
wire _0058_;
wire _0059_;
wire _0060_;
wire _0061_;
wire _0062_;
wire _0063_;
wire _0064_;
wire _0065_;
wire _0066_;
wire _0067_;
wire _0068_;
wire _0069_;
wire _0070_;
wire _0071_;
wire _0072_;
wire _0073_;
wire _0074_;
wire _0075_;
wire _0076_;
wire _0077_;
wire _0078_;
wire _0079_;
wire _0080_;
wire _0081_;
wire _0082_;
wire _0083_;
wire _0084_;
wire _0085_;
wire _0086_;
wire _0087_;
wire _0088_;
wire _0089_;
wire _0090_;
wire _0091_;
wire _0092_;
wire _0093_;
wire _0094_;
wire _0095_;
wire _0096_;
wire _0097_;
wire _0098_;
wire _0099_;
wire _0100_;
wire _0101_;
wire _0102_;
wire _0103_;
wire _0104_;
wire _0105_;
wire _0106_;
wire _0107_;
wire _0108_;
wire _0109_;
wire _0110_;
wire _0111_;
wire _0112_;
wire _0113_;
wire _0114_;
wire _0115_;
wire _0116_;
wire _0117_;
wire _0118_;
wire _0119_;
wire _0120_;
wire _0121_;
wire _0122_;
wire _0123_;
wire _0124_;
wire _0125_;
wire _0126_;
wire _0127_;
wire _0128_;
wire _0129_;
wire _0130_;
wire _0131_;
wire _0132_;
wire _0133_;
wire _0134_;
wire _0135_;
wire _0136_;
wire _0137_;
wire _0138_;
wire _0139_;
wire _0140_;
wire _0141_;
wire _0142_;
wire _0143_;
wire _0144_;
wire _0145_;
wire _0146_;
wire _0147_;
wire _0148_;
wire _0149_;
wire _0150_;
wire _0151_;
wire _0152_;
wire _0153_;
wire _0154_;
wire _0155_;
wire _0156_;
wire _0157_;
wire _0158_;
wire _0159_;
wire _0160_;
wire _0161_;
wire _0162_;
wire _0163_;
wire _0164_;
wire _0165_;
wire _0166_;
wire _0167_;
wire _0168_;
wire _0169_;
wire _0170_;
wire _0171_;
wire _0172_;
wire _0173_;
wire _0174_;
wire _0175_;
wire _0176_;
wire _0177_;
wire _0178_;
wire _0179_;
wire _0180_;
wire _0181_;
wire _0182_;
wire _0183_;
wire _0184_;
wire _0185_;
wire _0186_;
wire _0187_;
wire _0188_;
wire _0189_;
wire _0190_;
wire _0191_;
wire _0192_;
wire _0193_;
wire _0194_;
wire _0195_;
wire _0196_;
wire _0197_;
wire _0198_;
wire _0199_;
wire _0200_;
wire _0201_;
wire _0202_;
wire _0203_;
wire _0204_;
wire _0205_;
wire _0206_;
wire _0207_;
wire _0208_;
wire _0209_;
wire _0210_;
wire _0211_;
wire _0212_;
wire _0213_;
wire _0214_;
wire _0215_;
wire _0216_;
wire _0217_;
wire _0218_;
wire _0219_;
wire _0220_;
wire _0221_;
wire _0222_;
wire _0223_;
wire _0224_;
wire _0225_;
wire _0226_;
wire _0227_;
wire _0228_;
wire _0229_;
wire _0230_;
wire _0231_;
wire _0232_;
wire _0233_;
wire _0234_;
wire _0235_;
wire _0236_;
wire _0237_;
wire _0238_;
wire _0239_;
wire _0240_;
wire _0241_;
wire _0242_;
wire _0243_;
wire _0244_;
wire _0245_;
wire _0246_;
wire _0247_;
wire _0248_;
wire _0249_;
wire _0250_;
wire _0251_;
wire _0252_;
wire _0253_;
wire _0254_;
wire _0255_;
wire _0256_;
wire _0257_;
wire _0258_;
wire _0259_;
wire _0260_;
wire _0261_;
wire _0262_;
wire _0263_;
wire _0264_;
wire _0265_;
wire _0266_;
wire _0267_;
wire _0268_;
wire _0269_;
wire _0270_;
wire _0271_;
wire _0272_;
wire _0273_;
wire _0274_;
wire _0275_;
wire _0276_;
wire _0277_;
wire _0278_;
wire _0279_;
wire _0280_;
wire _0281_;
wire _0282_;
wire _0283_;
wire _0284_;
wire _0285_;
wire _0286_;
wire _0287_;
wire _0288_;
wire _0289_;
wire _0290_;
wire _0291_;
wire _0292_;
wire _0293_;
wire _0294_;
wire _0295_;
wire _0296_;
wire _0297_;
wire _0298_;
wire _0299_;
wire _0300_;
wire _0301_;
wire _0302_;
wire _0303_;
wire _0304_;
wire _0305_;
wire _0306_;
wire _0307_;
wire _0308_;
wire _0309_;
wire _0310_;
wire _0311_;
wire _0312_;
wire _0313_;
wire _0314_;
wire _0315_;
wire _0316_;
wire _0317_;
wire _0318_;
wire _0319_;
wire _0320_;
wire _0321_;
wire _0322_;
wire _0323_;
wire _0324_;
wire _0325_;
wire _0326_;
wire _0327_;
wire _0328_;
wire _0329_;
wire _0330_;
wire _0331_;
wire _0332_;
wire _0333_;
wire _0334_;
wire _0335_;
wire _0336_;
wire _0337_;
wire _0338_;
wire _0339_;
wire _0340_;
wire _0341_;
wire _0342_;
wire _0343_;
wire _0344_;
wire _0345_;
wire _0346_;
wire _0347_;
wire _0348_;
wire _0349_;
wire _0350_;
wire _0351_;
wire _0352_;
wire _0353_;
wire _0354_;
wire _0355_;
wire _0356_;
wire _0357_;
wire _0358_;
wire _0359_;
wire _0360_;
wire _0361_;
wire _0362_;
wire _0363_;
wire _0364_;
wire _0365_;
wire _0366_;
wire _0367_;
wire _0368_;
wire _0369_;
wire _0370_;
wire _0371_;
wire _0372_;
wire _0373_;
wire _0374_;
wire _0375_;
wire _0376_;
wire _0377_;
wire _0378_;
wire _0379_;
wire _0380_;
wire _0381_;
wire _0382_;
wire _0383_;
wire _0384_;
wire _0385_;
wire _0386_;
wire _0387_;
wire _0388_;
wire _0389_;
wire _0390_;
wire _0391_;
wire _0392_;
wire _0393_;
wire _0394_;
wire _0395_;
wire _0396_;
wire _0397_;
wire _0398_;
wire _0399_;
wire _0400_;
wire _0401_;
wire _0402_;
wire _0403_;
wire _0404_;
wire _0405_;
wire _0406_;
wire _0407_;
wire _0408_;
wire _0409_;
wire _0410_;
wire _0411_;
wire _0412_;
wire _0413_;
wire _0414_;
wire _0415_;
wire _0416_;
wire _0417_;
wire _0418_;
wire _0419_;
wire _0420_;
wire _0421_;
wire _0422_;
wire _0423_;
wire _0424_;
wire _0425_;
wire _0426_;
wire _0427_;
wire _0428_;
wire _0429_;
wire _0430_;
wire _0431_;
wire _0432_;
wire _0433_;
wire _0434_;
wire _0435_;
wire _0436_;
wire _0437_;
wire _0438_;
wire _0439_;
wire _0440_;
wire _0441_;
wire _0442_;
wire _0443_;
wire _0444_;
wire _0445_;
wire _0446_;
wire _0447_;
wire _0448_;
wire _0449_;
wire _0450_;
wire _0451_;
wire _0452_;
wire _0453_;
wire _0454_;
wire _0455_;
wire _0456_;
wire _0457_;
wire _0458_;
wire _0459_;
wire _0460_;
wire _0461_;
wire _0462_;
wire _0463_;
wire _0464_;
wire _0465_;
wire _0466_;
wire _0467_;
wire _0468_;
wire _0469_;
wire _0470_;
wire _0471_;
wire _0472_;
wire _0473_;
wire _0474_;
wire _0475_;
wire _0476_;
wire _0477_;
wire _0478_;
wire _0479_;
wire _0480_;
wire _0481_;
wire _0482_;
wire _0483_;
wire _0484_;
wire _0485_;
wire _0486_;
wire _0487_;
wire _0488_;
wire _0489_;
wire _0490_;
wire _0491_;
wire _0492_;
wire _0493_;
wire _0494_;
wire _0495_;
wire _0496_;
wire _0497_;
wire _0498_;
wire _0499_;
wire _0500_;
wire _0501_;
wire _0502_;
wire _0503_;
wire _0504_;
wire _0505_;
wire _0506_;
wire _0507_;
wire _0508_;
wire _0509_;
wire _0510_;
wire _0511_;
wire _0512_;
wire _0513_;
wire _0514_;
wire _0515_;
wire _0516_;
wire _0517_;
wire _0518_;
wire _0519_;
wire _0520_;
wire _0521_;
wire _0522_;
wire _0523_;
wire _0524_;
wire _0525_;
wire _0526_;
wire _0527_;
wire _0528_;
wire _0529_;
wire _0530_;
wire _0531_;
wire _0532_;
wire _0533_;
wire _0534_;
wire _0535_;
wire _0536_;
wire _0537_;
wire _0538_;
wire _0539_;
wire _0540_;
wire _0541_;
wire _0542_;
wire _0543_;
wire _0544_;
wire _0545_;
wire _0546_;
wire _0547_;
wire _0548_;
wire _0549_;
wire _0550_;
wire _0551_;
wire _0552_;
wire _0553_;
wire _0554_;
wire _0555_;
wire _0556_;
wire _0557_;
wire _0558_;
wire _0559_;
wire _0560_;
wire _0561_;
wire _0562_;
wire _0563_;
wire _0564_;
wire _0565_;
wire _0566_;
wire _0567_;
wire _0568_;
wire _0569_;
wire _0570_;
wire _0571_;
wire _0572_;
wire _0573_;
wire _0574_;
wire _0575_;
wire _0576_;
wire _0577_;
wire _0578_;
wire _0579_;
wire _0580_;
wire _0581_;
wire _0582_;
wire _0583_;
wire _0584_;
wire _0585_;
wire _0586_;
wire _0587_;
wire _0588_;
wire _0589_;
wire _0590_;
wire _0591_;
wire _0592_;
wire _0593_;
wire _0594_;
wire _0595_;
wire _0596_;
wire _0597_;
wire _0598_;
wire _0599_;
wire _0600_;
wire _0601_;
wire _0602_;
wire _0603_;
wire _0604_;
wire _0605_;
wire _0606_;
wire _0607_;
wire _0608_;
wire _0609_;
wire _0610_;
wire _0611_;
wire _0612_;
wire _0613_;
wire _0614_;
wire _0615_;
wire _0616_;
wire _0617_;
wire _0618_;
wire _0619_;
wire _0620_;
wire _0621_;
wire _0622_;
wire _0623_;
wire _0624_;
wire _0625_;
wire _0626_;
wire _0627_;
wire _0628_;
wire _0629_;
wire _0630_;
wire _0631_;
wire _0632_;
wire _0633_;
wire _0634_;
wire _0635_;
wire _0636_;
wire _0637_;
wire _0638_;
wire _0639_;
wire _0640_;
wire _0641_;
wire _0642_;
wire _0643_;
wire _0644_;
wire _0645_;
wire _0646_;
wire _0647_;
wire _0648_;
wire _0649_;
wire _0650_;
wire _0651_;
wire _0652_;
wire _0653_;
wire _0654_;
wire _0655_;
wire _0656_;
wire _0657_;
wire _0658_;
wire _0659_;
wire _0660_;
wire _0661_;
wire _0662_;
wire _0663_;
wire _0664_;
wire _0665_;
wire _0666_;
wire _0667_;
wire _0668_;
wire _0669_;
wire _0670_;
wire _0671_;
wire _0672_;
wire _0673_;
wire _0674_;
wire _0675_;
wire _0676_;
wire _0677_;
wire _0678_;
wire _0679_;
wire _0680_;
wire _0681_;
wire _0682_;
wire _0683_;
wire _0684_;
wire _0685_;
wire _0686_;
wire _0687_;
wire _0688_;
wire _0689_;
wire _0690_;
wire _0691_;
wire _0692_;
wire _0693_;
wire _0694_;
wire _0695_;
wire _0696_;
wire _0697_;
wire _0698_;
wire _0699_;
wire _0700_;
wire _0701_;
wire _0702_;
wire _0703_;
wire _0704_;
wire _0705_;
wire _0706_;
wire _0707_;
wire _0708_;
wire _0709_;
wire _0710_;
wire _0711_;
wire _0712_;
wire _0713_;
wire _0714_;
wire _0715_;
wire _0716_;
wire _0717_;
wire _0718_;
wire _0719_;
wire _0720_;
wire _0721_;
wire _0722_;
wire _0723_;
wire _0724_;
wire _0725_;
wire _0726_;
wire _0727_;
wire _0728_;
wire _0729_;
wire _0730_;
wire _0731_;
wire _0732_;
wire _0733_;
wire _0734_;
wire _0735_;
wire _0736_;
wire _0737_;
wire _0738_;
wire _0739_;
wire _0740_;
wire _0741_;
wire _0742_;
wire _0743_;
wire _0744_;
wire _0745_;
wire _0746_;
wire _0747_;
wire _0748_;
wire _0749_;
wire _0750_;
wire _0751_;
wire _0752_;
wire _0753_;
wire _0754_;
wire _0755_;
wire _0756_;
wire _0757_;
wire _0758_;
wire _0759_;
wire _0760_;
wire _0761_;
wire _0762_;
wire _0763_;
wire _0764_;
wire _0765_;
wire _0766_;
wire _0767_;
wire _0768_;
wire _0769_;
wire _0770_;
wire _0771_;
wire _0772_;
wire _0773_;
wire _0774_;
wire _0775_;
wire _0776_;
wire _0777_;
wire _0778_;
wire _0779_;
wire _0780_;
wire _0781_;
wire _0782_;
wire _0783_;
wire _0784_;
wire _0785_;
wire _0786_;
wire _0787_;
wire _0788_;
wire _0789_;
wire _0790_;
wire _0791_;
wire _0792_;
wire _0793_;
wire _0794_;
wire _0795_;
wire _0796_;
wire _0797_;
wire _0798_;
wire _0799_;
wire _0800_;
wire _0801_;
wire _0802_;
wire _0803_;
wire _0804_;
wire _0805_;
wire _0806_;
wire _0807_;
wire _0808_;
wire _0809_;
wire _0810_;
wire _0811_;
wire _0812_;
wire _0813_;
wire _0814_;
wire _0815_;
wire _0816_;
wire _0817_;
wire _0818_;
wire _0819_;
wire _0820_;
wire _0821_;
wire _0822_;
wire _0823_;
wire _0824_;
wire _0825_;
wire _0826_;
wire _0827_;
wire _0828_;
wire _0829_;
wire _0830_;
wire _0831_;
wire _0832_;
wire _0833_;
wire _0834_;
wire _0835_;
wire _0836_;
wire _0837_;
wire _0838_;
wire _0839_;
wire _0840_;
wire _0841_;
wire _0842_;
wire _0843_;
wire _0844_;
wire _0845_;
wire _0846_;
wire _0847_;
wire _0848_;
wire _0849_;
wire _0850_;
wire _0851_;
wire _0852_;
wire _0853_;
wire _0854_;
wire _0855_;
wire _0856_;
wire _0857_;
wire _0858_;
wire _0859_;
wire _0860_;
wire _0861_;
wire _0862_;
wire _0863_;
wire _0864_;
wire _0865_;
wire _0866_;
wire _0867_;
wire _0868_;
wire _0869_;
wire _0870_;
wire _0871_;
wire _0872_;
wire _0873_;
wire _0874_;
wire _0875_;
wire _0876_;
wire _0877_;
wire _0878_;
wire _0879_;
wire _0880_;
wire _0881_;
wire _0882_;
wire _0883_;
wire _0884_;
wire _0885_;
wire _0886_;
wire _0887_;
wire _0888_;
wire _0889_;
wire _0890_;
wire _0891_;
wire _0892_;
wire _0893_;
wire _0894_;
wire _0895_;
wire _0896_;
wire _0897_;
wire _0898_;
wire _0899_;
wire _0900_;
wire _0901_;
wire _0902_;
wire _0903_;
wire _0904_;
wire _0905_;
wire _0906_;
wire _0907_;
wire _0908_;
wire _0909_;
wire _0910_;
wire _0911_;
wire _0912_;
wire _0913_;
wire _0914_;
wire _0915_;
wire _0916_;
wire _0917_;
wire _0918_;
wire _0919_;
wire _0920_;
wire _0921_;
wire _0922_;
wire _0923_;
wire _0924_;
wire _0925_;
wire _0926_;
wire _0927_;
wire _0928_;
wire _0929_;
wire _0930_;
wire _0931_;
wire _0932_;
wire _0933_;
wire _0934_;
wire _0935_;
wire _0936_;
wire _0937_;
wire _0938_;
wire _0939_;
wire _0940_;
wire _0941_;
wire _0942_;
wire _0943_;
wire _0944_;
wire _0945_;
wire _0946_;
wire _0947_;
wire _0948_;
wire _0949_;
wire _0950_;
wire _0951_;
wire _0952_;
wire _0953_;
wire _0954_;
wire _0955_;
wire _0956_;
wire _0957_;
wire _0958_;
wire _0959_;
wire _0960_;
wire _0961_;
wire _0962_;
wire _0963_;
wire _0964_;
wire _0965_;
wire _0966_;
wire _0967_;
wire _0968_;
wire _0969_;
wire _0970_;
wire _0971_;
wire _0972_;
wire _0973_;
wire _0974_;
wire _0975_;
wire _0976_;
wire _0977_;
wire _0978_;
wire _0979_;
wire _0980_;
wire _0981_;
wire _0982_;
wire _0983_;
wire _0984_;
wire _0985_;
wire _0986_;
wire _0987_;
wire _0988_;
wire _0989_;
wire _0990_;
wire _0991_;
wire _0992_;
wire _0993_;
wire _0994_;
wire _0995_;
wire _0996_;
wire _0997_;
wire _0998_;
wire _0999_;
wire _1000_;
wire _1001_;
wire _1002_;
wire _1003_;
wire _1004_;
wire _1005_;
wire _1006_;
wire _1007_;
wire _1008_;
wire _1009_;
wire _1010_;
wire _1011_;
wire _1012_;
wire _1013_;
wire _1014_;
wire _1015_;
wire _1016_;
wire _1017_;
wire _1018_;
wire _1019_;
wire _1020_;
wire _1021_;
wire _1022_;
wire _1023_;
wire _1024_;
wire _1025_;
wire _1026_;
wire _1027_;
wire _1028_;
wire _1029_;
wire _1030_;
wire _1031_;
wire _1032_;
wire _1033_;
wire _1034_;
wire _1035_;
wire _1036_;
wire _1037_;
wire _1038_;
wire _1039_;
wire _1040_;
wire _1041_;
wire _1042_;
wire _1043_;
wire _1044_;
wire _1045_;
wire _1046_;
wire _1047_;
wire _1048_;
wire _1049_;
wire _1050_;
wire _1051_;
wire _1052_;
wire _1053_;
wire _1054_;
wire _1055_;
wire _1056_;
wire _1057_;
wire _1058_;
wire _1059_;
wire _1060_;
wire _1061_;
wire _1062_;
wire _1063_;
wire _1064_;
wire _1065_;
wire _1066_;
wire _1067_;
wire _1068_;
wire _1069_;
wire _1070_;
wire _1071_;
wire _1072_;
wire _1073_;
wire _1074_;
wire _1075_;
wire _1076_;
wire _1077_;
wire _1078_;
wire _1079_;
wire _1080_;
wire _1081_;
wire _1082_;
wire _1083_;
wire _1084_;
wire _1085_;
wire _1086_;
wire _1087_;
wire _1088_;
wire _1089_;
wire _1090_;
wire _1091_;
wire _1092_;
wire _1093_;
wire _1094_;
wire _1095_;
wire _1096_;
wire _1097_;
wire _1098_;
wire _1099_;
wire _1100_;
wire _1101_;
wire _1102_;
wire _1103_;
wire _1104_;
wire _1105_;
wire _1106_;
wire _1107_;
wire _1108_;
wire _1109_;
wire _1110_;
wire _1111_;
wire _1112_;
wire _1113_;
wire _1114_;
wire _1115_;
wire _1116_;
wire _1117_;
wire _1118_;
wire _1119_;
wire _1120_;
wire _1121_;
wire _1122_;
wire _1123_;
wire _1124_;
wire _1125_;
wire _1126_;
wire _1127_;
wire _1128_;
wire _1129_;
wire _1130_;
wire _1131_;
wire _1132_;
wire _1133_;
wire _1134_;
wire _1135_;
wire _1136_;
wire _1137_;
wire _1138_;
wire _1139_;
wire _1140_;
wire _1141_;
wire _1142_;
wire _1143_;
wire _1144_;
wire _1145_;
wire _1146_;
wire _1147_;
wire _1148_;
wire _1149_;
wire _1150_;
wire _1151_;
wire _1152_;
wire _1153_;
wire _1154_;
wire _1155_;
wire _1156_;
wire _1157_;
wire _1158_;
wire _1159_;
wire _1160_;
wire _1161_;
wire _1162_;
wire _1163_;
wire _1164_;
wire _1165_;
wire _1166_;
wire _1167_;
wire _1168_;
wire _1169_;
wire _1170_;
wire _1171_;
wire _1172_;
wire _1173_;
wire _1174_;
wire _1175_;
wire _1176_;
wire _1177_;
wire _1178_;
wire _1179_;
wire _1180_;
wire _1181_;
wire _1182_;
wire _1183_;
wire _1184_;
wire _1185_;
wire _1186_;
wire _1187_;
wire _1188_;
wire _1189_;
wire _1190_;
wire _1191_;
wire _1192_;
wire _1193_;
wire _1194_;
wire _1195_;
wire _1196_;
wire _1197_;
wire _1198_;
wire _1199_;
wire _1200_;
wire _1201_;
wire _1202_;
wire _1203_;
wire _1204_;
wire _1205_;
wire _1206_;
wire _1207_;
wire _1208_;
wire _1209_;
wire _1210_;
wire _1211_;
wire _1212_;
wire _1213_;
wire _1214_;
wire _1215_;
wire _1216_;
wire _1217_;
wire _1218_;
wire _1219_;
wire _1220_;
wire _1221_;
wire _1222_;
wire _1223_;
wire _1224_;
wire _1225_;
wire _1226_;
wire _1227_;
wire _1228_;
wire _1229_;
wire _1230_;
wire _1231_;
wire _1232_;
wire _1233_;
wire _1234_;
wire _1235_;
wire _1236_;
wire _1237_;
wire _1238_;
wire _1239_;
wire _1240_;
wire _1241_;
wire _1242_;
wire _1243_;
wire _1244_;
wire _1245_;
wire _1246_;
wire _1247_;
wire _1248_;
wire _1249_;
wire _1250_;
wire _1251_;
wire _1252_;
wire _1253_;
wire _1254_;
wire _1255_;
wire _1256_;
wire _1257_;
wire _1258_;
wire _1259_;
wire _1260_;
wire _1261_;
wire _1262_;
wire _1263_;
wire _1264_;
wire _1265_;
wire _1266_;
wire _1267_;
wire _1268_;
wire _1269_;
wire _1270_;
wire _1271_;
wire _1272_;
wire _1273_;
wire _1274_;
wire _1275_;
wire _1276_;
wire _1277_;
wire _1278_;
wire _1279_;
wire _1280_;
wire _1281_;
wire _1282_;
wire _1283_;
wire _1284_;
wire _1285_;
wire _1286_;
wire _1287_;
wire _1288_;
wire _1289_;
wire _1290_;
wire _1291_;
wire _1292_;
wire _1293_;
wire _1294_;
wire _1295_;
wire _1296_;
wire _1297_;
wire _1298_;
wire _1299_;
wire _1300_;
wire _1301_;
wire _1302_;
wire _1303_;
wire _1304_;
wire _1305_;
wire _1306_;
wire _1307_;
wire _1308_;
wire _1309_;
wire _1310_;
wire _1311_;
wire _1312_;
wire _1313_;
wire _1314_;
wire _1315_;
wire _1316_;
wire _1317_;
wire _1318_;
wire _1319_;
wire _1320_;
wire _1321_;
wire _1322_;
wire _1323_;
wire _1324_;
wire _1325_;
wire _1326_;
wire _1327_;
wire _1328_;
wire _1329_;
wire _1330_;
wire _1331_;
wire _1332_;
wire _1333_;
wire _1334_;
wire _1335_;
wire _1336_;
wire _1337_;
wire _1338_;
wire _1339_;
wire _1340_;
wire _1341_;
wire _1342_;
wire _1343_;
wire _1344_;
wire _1345_;
wire _1346_;
wire _1347_;
wire _1348_;
wire _1349_;
wire _1350_;
wire _1351_;
wire _1352_;
wire _1353_;
wire _1354_;
wire _1355_;
wire _1356_;
wire _1357_;
wire _1358_;
wire _1359_;
wire _1360_;
wire _1361_;
wire _1362_;
wire _1363_;
wire _1364_;
wire _1365_;
wire _1366_;
wire _1367_;
wire _1368_;
wire _1369_;
wire _1370_;
wire _1371_;
wire _1372_;
wire _1373_;
wire _1374_;
wire _1375_;
wire _1376_;
wire _1377_;
wire _1378_;
wire _1379_;
wire _1380_;
wire _1381_;
wire _1382_;
wire _1383_;
wire _1384_;
wire _1385_;
wire _1386_;
wire _1387_;
wire _1388_;
wire _1389_;
wire _1390_;
wire _1391_;
wire _1392_;
wire _1393_;
wire _1394_;
wire _1395_;
wire _1396_;
wire _1397_;
wire _1398_;
wire _1399_;
wire _1400_;
wire _1401_;
wire _1402_;
wire _1403_;
wire _1404_;
wire _1405_;
wire _1406_;
wire _1407_;
wire _1408_;
wire _1409_;
wire _1410_;
wire _1411_;
wire _1412_;
wire _1413_;
wire _1414_;
wire _1415_;
wire _1416_;
wire _1417_;
wire _1418_;
wire _1419_;
wire _1420_;
wire _1421_;
wire _1422_;
wire _1423_;
wire _1424_;
wire _1425_;
wire _1426_;
wire _1427_;
wire _1428_;
wire _1429_;
wire _1430_;
wire _1431_;
wire _1432_;
wire _1433_;
wire _1434_;
wire _1435_;
wire _1436_;
wire _1437_;
wire _1438_;
wire _1439_;
wire _1440_;
wire _1441_;
wire _1442_;
wire _1443_;
wire _1444_;
wire _1445_;
wire _1446_;
wire _1447_;
wire _1448_;
wire _1449_;
wire _1450_;
wire _1451_;
wire _1452_;
wire _1453_;
wire _1454_;
wire _1455_;
wire _1456_;
wire _1457_;
wire _1458_;
wire _1459_;
wire _1460_;
wire _1461_;
wire _1462_;
wire _1463_;
wire _1464_;
wire _1465_;
wire _1466_;
wire _1467_;
wire _1468_;
wire _1469_;
wire _1470_;
wire _1471_;
wire _1472_;
wire _1473_;
wire _1474_;
wire _1475_;
wire _1476_;
wire _1477_;
wire _1478_;
wire _1479_;
wire _1480_;
wire _1481_;
wire _1482_;
wire _1483_;
wire _1484_;
wire _1485_;
wire _1486_;
wire _1487_;
wire _1488_;
wire _1489_;
wire _1490_;
wire _1491_;
wire _1492_;
wire _1493_;
wire _1494_;
wire _1495_;
wire _1496_;
wire _1497_;
wire _1498_;
wire _1499_;
wire _1500_;
wire _1501_;
wire _1502_;
wire _1503_;
wire _1504_;
wire _1505_;
wire _1506_;
wire _1507_;
wire _1508_;
wire _1509_;
wire _1510_;
wire _1511_;
wire _1512_;
wire _1513_;
wire _1514_;
wire _1515_;
wire _1516_;
wire _1517_;
wire _1518_;
wire _1519_;
wire _1520_;
wire _1521_;
wire _1522_;
wire _1523_;
wire _1524_;
wire _1525_;
wire _1526_;
wire _1527_;
wire _1528_;
wire _1529_;
wire _1530_;
wire _1531_;
wire _1532_;
wire _1533_;
wire _1534_;
wire _1535_;
wire _1536_;
wire _1537_;
wire _1538_;
wire _1539_;
wire _1540_;
wire _1541_;
wire _1542_;
wire _1543_;
wire _1544_;
wire _1545_;
wire _1546_;
wire _1547_;
wire _1548_;
wire _1549_;
wire _1550_;
wire _1551_;
wire _1552_;
wire _1553_;
wire _1554_;
wire _1555_;
wire _1556_;
wire _1557_;
wire _1558_;
wire _1559_;
wire _1560_;
wire _1561_;
wire _1562_;
wire _1563_;
wire _1564_;
wire _1565_;
wire _1566_;
wire _1567_;
wire _1568_;
wire _1569_;
wire _1570_;
wire _1571_;
wire _1572_;
wire _1573_;
wire _1574_;
wire _1575_;
wire _1576_;
wire _1577_;
wire _1578_;
wire _1579_;
wire _1580_;
wire _1581_;
wire _1582_;
wire _1583_;
wire _1584_;
wire _1585_;
wire _1586_;
wire _1587_;
wire _1588_;
wire _1589_;
wire _1590_;
wire _1591_;
wire _1592_;
wire _1593_;
wire _1594_;
wire _1595_;
wire _1596_;
wire _1597_;
wire _1598_;
wire _1599_;
wire _1600_;
wire _1601_;
wire _1602_;
wire _1603_;
wire _1604_;
wire _1605_;
wire _1606_;
wire _1607_;
wire _1608_;
wire _1609_;
wire _1610_;
wire _1611_;
wire _1612_;
wire _1613_;
wire _1614_;
wire _1615_;
wire _1616_;
wire _1617_;
wire _1618_;
wire _1619_;
wire _1620_;
wire _1621_;
wire _1622_;
wire _1623_;
wire _1624_;
wire _1625_;
wire _1626_;
wire _1627_;
wire _1628_;
wire _1629_;
wire _1630_;
wire _1631_;
wire _1632_;
wire _1633_;
wire _1634_;
wire _1635_;
wire _1636_;
wire _1637_;
wire _1638_;
wire _1639_;
wire _1640_;
wire _1641_;
wire _1642_;
wire _1643_;
wire _1644_;
wire _1645_;
wire _1646_;
wire _1647_;
wire _1648_;
wire _1649_;
wire _1650_;
wire _1651_;
wire _1652_;
wire _1653_;
wire _1654_;
wire _1655_;
wire _1656_;
wire _1657_;
wire _1658_;
wire _1659_;
wire _1660_;
wire _1661_;
wire _1662_;
wire _1663_;
wire _1664_;
wire _1665_;
wire _1666_;
wire _1667_;
wire _1668_;
wire _1669_;
wire _1670_;
wire _1671_;
wire _1672_;
wire _1673_;
wire _1674_;
wire _1675_;
wire _1676_;
wire _1677_;
wire _1678_;
wire _1679_;
wire _1680_;
wire _1681_;
wire _1682_;
wire _1683_;
wire _1684_;
wire _1685_;
wire _1686_;
wire _1687_;
wire _1688_;
wire _1689_;
wire _1690_;
wire _1691_;
wire _1692_;
wire _1693_;
wire _1694_;
wire _1695_;
wire _1696_;
wire _1697_;
wire _1698_;
wire _1699_;
wire _1700_;
wire _1701_;
wire _1702_;
wire _1703_;
wire _1704_;
wire _1705_;
wire _1706_;
wire _1707_;
wire _1708_;
wire _1709_;
wire _1710_;
wire _1711_;
wire _1712_;
wire _1713_;
wire _1714_;
wire _1715_;
wire _1716_;
wire _1717_;
wire _1718_;
wire _1719_;
wire _1720_;
wire _1721_;
wire _1722_;
wire _1723_;
wire _1724_;
wire _1725_;
wire _1726_;
wire _1727_;
wire _1728_;
wire _1729_;
wire _1730_;
wire _1731_;
wire _1732_;
wire _1733_;
wire _1734_;
wire _1735_;
wire _1736_;
wire _1737_;
wire _1738_;
wire _1739_;
wire _1740_;
wire _1741_;
wire _1742_;
wire _1743_;
wire _1744_;
wire _1745_;
wire _1746_;
wire _1747_;
wire _1748_;
wire _1749_;
wire _1750_;
wire _1751_;
wire _1752_;
wire _1753_;
wire _1754_;
wire _1755_;
wire _1756_;
wire _1757_;
wire _1758_;
wire _1759_;
wire _1760_;
wire _1761_;
wire _1762_;
wire _1763_;
wire _1764_;
wire _1765_;
wire _1766_;
wire _1767_;
wire _1768_;
wire _1769_;
wire _1770_;
wire _1771_;
wire _1772_;
wire _1773_;
wire _1774_;
wire _1775_;
wire _1776_;
wire _1777_;
wire _1778_;
wire _1779_;
wire _1780_;
wire _1781_;
wire _1782_;
wire _1783_;
wire _1784_;
wire _1785_;
wire _1786_;
wire _1787_;
wire _1788_;
wire _1789_;
wire _1790_;
wire _1791_;
wire _1792_;
wire _1793_;
wire _1794_;
wire _1795_;
wire _1796_;
wire _1797_;
wire _1798_;
wire _1799_;
wire _1800_;
wire _1801_;
wire _1802_;
wire _1803_;
wire _1804_;
wire _1805_;
wire _1806_;
wire _1807_;
wire _1808_;
wire _1809_;
wire _1810_;
wire _1811_;
wire _1812_;
wire _1813_;
wire _1814_;
wire _1815_;
wire _1816_;
wire _1817_;
wire _1818_;
wire _1819_;
wire _1820_;
wire _1821_;
wire _1822_;
wire _1823_;
wire _1824_;
wire _1825_;
wire _1826_;
wire _1827_;
wire _1828_;
wire _1829_;
wire _1830_;
wire _1831_;
wire _1832_;
wire _1833_;
wire _1834_;
wire _1835_;
wire _1836_;
wire _1837_;
wire _1838_;
wire _1839_;
wire _1840_;
wire _1841_;
wire _1842_;
wire _1843_;
wire _1844_;
wire _1845_;
wire _1846_;
wire _1847_;
wire _1848_;
wire _1849_;
wire _1850_;
wire _1851_;
wire _1852_;
wire _1853_;
wire _1854_;
wire _1855_;
wire _1856_;
wire _1857_;
wire _1858_;
wire _1859_;
wire _1860_;
wire _1861_;
wire _1862_;
wire _1863_;
wire _1864_;
wire _1865_;
wire _1866_;
wire _1867_;
wire _1868_;
wire _1869_;
wire _1870_;
wire _1871_;
wire _1872_;
wire _1873_;
wire _1874_;
wire _1875_;
wire _1876_;
wire _1877_;
wire _1878_;
wire _1879_;
wire _1880_;
wire _1881_;
wire _1882_;
wire _1883_;
wire _1884_;
wire _1885_;
wire _1886_;
wire _1887_;
wire _1888_;
wire _1889_;
wire _1890_;
wire _1891_;
wire _1892_;
wire _1893_;
wire _1894_;
wire _1895_;
wire _1896_;
wire _1897_;
wire _1898_;
wire _1899_;
wire _1900_;
wire _1901_;
wire _1902_;
wire _1903_;
wire _1904_;
wire _1905_;
wire _1906_;
wire _1907_;
wire _1908_;
wire _1909_;
wire _1910_;
wire _1911_;
wire _1912_;
wire _1913_;
wire _1914_;
wire _1915_;
wire _1916_;
wire _1917_;
wire _1918_;
wire _1919_;
wire _1920_;
wire _1921_;
wire _1922_;
wire _1923_;
wire _1924_;
wire _1925_;
wire _1926_;
wire _1927_;
wire _1928_;
wire _1929_;
wire _1930_;
wire _1931_;
wire _1932_;
wire _1933_;
wire _1934_;
wire _1935_;
wire _1936_;
wire _1937_;
wire _1938_;
wire _1939_;
wire _1940_;
wire _1941_;
wire _1942_;
wire _1943_;
wire _1944_;
wire _1945_;
wire _1946_;
wire _1947_;
wire _1948_;
wire _1949_;
wire _1950_;
wire _1951_;
wire _1952_;
wire _1953_;
wire _1954_;
wire _1955_;
wire _1956_;
wire _1957_;
wire _1958_;
wire _1959_;
wire _1960_;
wire _1961_;
wire _1962_;
wire _1963_;
wire _1964_;
wire _1965_;
wire _1966_;
wire _1967_;
wire _1968_;
wire _1969_;
wire _1970_;
wire _1971_;
wire _1972_;
wire _1973_;
wire _1974_;
wire _1975_;
wire _1976_;
wire _1977_;
wire _1978_;
wire _1979_;
wire _1980_;
wire _1981_;
wire _1982_;
wire _1983_;
wire _1984_;
wire _1985_;
wire _1986_;
wire _1987_;
wire _1988_;
wire _1989_;
wire _1990_;
wire _1991_;
wire _1992_;
wire _1993_;
wire _1994_;
wire _1995_;
wire _1996_;
wire _1997_;
wire _1998_;
wire _1999_;
wire _2000_;
wire _2001_;
wire _2002_;
wire _2003_;
wire _2004_;
wire _2005_;
wire _2006_;
wire _2007_;
wire _2008_;
wire _2009_;
wire _2010_;
wire _2011_;
wire _2012_;
wire _2013_;
wire _2014_;
wire _2015_;
wire _2016_;
wire _2017_;
wire _2018_;
wire _2019_;
wire _2020_;
wire _2021_;
wire _2022_;
wire _2023_;
wire _2024_;
wire _2025_;
wire _2026_;
wire _2027_;
wire _2028_;
wire _2029_;
wire _2030_;
wire _2031_;
wire _2032_;
wire _2033_;
wire _2034_;
wire _2035_;
wire _2036_;
wire _2037_;
wire _2038_;
wire _2039_;
wire _2040_;
wire _2041_;
wire _2042_;
wire _2043_;
wire _2044_;
wire _2045_;
wire _2046_;
wire _2047_;
wire _2048_;
wire _2049_;
wire _2050_;
wire _2051_;
wire _2052_;
wire _2053_;
wire _2054_;
wire _2055_;
wire _2056_;
wire _2057_;
wire _2058_;
wire _2059_;
wire _2060_;
wire _2061_;
wire _2062_;
wire _2063_;
wire _2064_;
wire _2065_;
wire _2066_;
wire _2067_;
wire _2068_;
wire _2069_;
wire _2070_;
wire _2071_;
wire _2072_;
wire _2073_;
wire _2074_;
wire _2075_;
wire _2076_;
wire _2077_;
wire _2078_;
wire _2079_;
wire _2080_;
wire _2081_;
wire _2082_;
wire _2083_;
wire _2084_;
wire _2085_;
wire _2086_;
wire _2087_;
wire _2088_;
wire _2089_;
wire _2090_;
wire _2091_;
wire _2092_;
wire _2093_;
wire _2094_;
wire _2095_;
wire _2096_;
wire _2097_;
wire _2098_;
wire _2099_;
wire _2100_;
wire _2101_;
wire _2102_;
wire _2103_;
wire _2104_;
wire _2105_;
wire _2106_;
wire _2107_;
wire _2108_;
wire _2109_;
wire _2110_;
wire _2111_;
wire _2112_;
wire _2113_;
wire _2114_;
wire _2115_;
wire _2116_;
wire _2117_;
wire _2118_;
wire _2119_;
wire _2120_;
wire _2121_;
wire _2122_;
wire _2123_;
wire _2124_;
wire _2125_;
wire _2126_;
wire _2127_;
wire _2128_;
wire _2129_;
wire _2130_;
wire _2131_;
wire _2132_;
wire _2133_;
wire _2134_;
wire _2135_;
wire _2136_;
wire _2137_;
wire _2138_;
wire _2139_;
wire _2140_;
wire _2141_;
wire _2142_;
wire _2143_;
wire _2144_;
wire _2145_;
wire _2146_;
wire _2147_;
wire _2148_;
wire _2149_;
wire _2150_;
wire _2151_;
wire _2152_;
wire _2153_;
wire _2154_;
wire _2155_;
wire _2156_;
wire _2157_;
wire _2158_;
wire _2159_;
wire _2160_;
wire _2161_;
wire _2162_;
wire _2163_;
wire _2164_;
wire _2165_;
wire _2166_;
wire _2167_;
wire _2168_;
wire _2169_;
wire _2170_;
wire _2171_;
wire _2172_;
wire _2173_;
wire _2174_;
wire _2175_;
wire _2176_;
wire _2177_;
wire _2178_;
wire _2179_;
wire _2180_;
wire _2181_;
wire _2182_;
wire _2183_;
wire _2184_;
wire _2185_;
wire _2186_;
wire _2187_;
wire _2188_;
wire _2189_;
wire _2190_;
wire _2191_;
wire _2192_;
wire _2193_;
wire _2194_;
wire _2195_;
wire _2196_;
wire _2197_;
wire _2198_;
wire _2199_;
wire _2200_;
wire _2201_;
wire _2202_;
wire _2203_;
wire _2204_;
wire _2205_;
wire _2206_;
wire _2207_;
wire _2208_;
wire _2209_;
wire _2210_;
wire _2211_;
wire _2212_;
wire _2213_;
wire _2214_;
wire _2215_;
wire _2216_;
wire _2217_;
wire _2218_;
wire _2219_;
wire _2220_;
wire _2221_;
wire _2222_;
wire _2223_;
wire _2224_;
wire _2225_;
wire _2226_;
wire _2227_;
wire _2228_;
wire _2229_;
wire _2230_;
wire _2231_;
wire _2232_;
wire _2233_;
wire _2234_;
wire _2235_;
wire _2236_;
wire _2237_;
wire _2238_;
wire _2239_;
wire _2240_;
wire _2241_;
wire _2242_;
wire _2243_;
wire _2244_;
wire _2245_;
wire _2246_;
wire _2247_;
wire _2248_;
wire _2249_;
wire _2250_;
wire _2251_;
wire _2252_;
wire _2253_;
wire _2254_;
wire _2255_;
wire _2256_;
wire _2257_;
wire _2258_;
wire _2259_;
wire _2260_;
wire _2261_;
wire _2262_;
wire _2263_;
wire _2264_;
wire _2265_;
wire _2266_;
wire _2267_;
wire _2268_;
wire _2269_;
wire _2270_;
wire _2271_;
wire _2272_;
wire _2273_;
wire _2274_;
wire _2275_;
wire _2276_;
wire _2277_;
wire _2278_;
wire _2279_;
wire _2280_;
wire _2281_;
wire _2282_;
wire _2283_;
wire _2284_;
wire _2285_;
wire _2286_;
wire _2287_;
wire _2288_;
wire _2289_;
wire _2290_;
wire _2291_;
wire _2292_;
wire _2293_;
wire _2294_;
wire _2295_;
wire _2296_;
wire _2297_;
input clock;
wire \dmem.ack ;
wire \dmem.ack2 ;
wire \dmem.rd_resp ;
wire \dmem.wb_select[0] ;
wire \dmem.wb_select[1] ;
wire \dmem.wb_select[2] ;
wire \dmem.wb_select[3] ;
wire dmem_sel;
wire \imem.ack ;
wire \imem.bmem.bmem_data[0] ;
wire \imem.bmem.bmem_data[10] ;
wire \imem.bmem.bmem_data[11] ;
wire \imem.bmem.bmem_data[12] ;
wire \imem.bmem.bmem_data[13] ;
wire \imem.bmem.bmem_data[14] ;
wire \imem.bmem.bmem_data[15] ;
wire \imem.bmem.bmem_data[16] ;
wire \imem.bmem.bmem_data[17] ;
wire \imem.bmem.bmem_data[18] ;
wire \imem.bmem.bmem_data[19] ;
wire \imem.bmem.bmem_data[20] ;
wire \imem.bmem.bmem_data[21] ;
wire \imem.bmem.bmem_data[22] ;
wire \imem.bmem.bmem_data[23] ;
wire \imem.bmem.bmem_data[24] ;
wire \imem.bmem.bmem_data[25] ;
wire \imem.bmem.bmem_data[26] ;
wire \imem.bmem.bmem_data[27] ;
wire \imem.bmem.bmem_data[28] ;
wire \imem.bmem.bmem_data[29] ;
wire \imem.bmem.bmem_data[2] ;
wire \imem.bmem.bmem_data[30] ;
wire \imem.bmem.bmem_data[3] ;
wire \imem.bmem.bmem_data[4] ;
wire \imem.bmem.bmem_data[5] ;
wire \imem.bmem.bmem_data[6] ;
wire \imem.bmem.bmem_data[7] ;
wire \imem.bmem.bmem_data[8] ;
wire \imem.bmem.bmem_data[9] ;
wire \imem.bmem_ibus_sel ;
wire \imem.imem_ibus_valid ;
wire imem_sel;
input [31:0] io_dbus_addr;
input [2:0] io_dbus_ld_type;
input io_dbus_rd_en;
output [31:0] io_dbus_rdata;
input [1:0] io_dbus_st_type;
output io_dbus_valid;
input [31:0] io_dbus_wdata;
input io_dbus_wr_en;
output [7:0] io_dmem_io_addr;
output io_dmem_io_cs;
input [31:0] io_dmem_io_rdata;
output [3:0] io_dmem_io_st_type;
output [31:0] io_dmem_io_wdata;
output io_dmem_io_wr_en;
input [31:0] io_ibus_addr;
output [31:0] io_ibus_inst;
output io_ibus_valid;
output [8:0] io_imem_io_addr;
output io_imem_io_cs;
input [31:0] io_imem_io_rdata;
output [3:0] io_imem_io_st_type;
output [31:0] io_imem_io_wdata;
output io_imem_io_wr_en;
input io_motor_ack_i;
output io_motor_addr_sel;
input [31:0] io_motor_data_i;
output io_spi_clk;
output io_spi_clk_en;
output io_spi_cs;
output io_spi_cs_en;
output io_spi_irq;
input io_spi_miso;
output io_spi_mosi;
output io_spi_mosi_en;
output io_uart_irq;
input io_uart_rx;
output io_uart_tx;
output io_uart_txen;
output [15:0] io_wbm_m2s_addr;
output [31:0] io_wbm_m2s_data;
output [3:0] io_wbm_m2s_sel;
output io_wbm_m2s_stb;
output io_wbm_m2s_we;
wire motor_sel;
input reset;
wire \spi._GEN_44[2] ;
wire \spi._GEN_44[3] ;
wire \spi._GEN_44[4] ;
wire \spi._GEN_44[5] ;
wire \spi._GEN_44[6] ;
wire \spi._GEN_44[7] ;
wire \spi._T_211[1] ;
wire \spi._T_211[2] ;
wire \spi._T_211[3] ;
wire \spi._T_211[4] ;
wire \spi._T_211[5] ;
wire \spi._T_211[6] ;
wire \spi._T_211[7] ;
wire \spi._T_211[8] ;
wire \spi._T_329 ;
wire \spi._T_336[1] ;
wire \spi._T_336[2] ;
wire \spi._T_336[3] ;
wire \spi._T_336[4] ;
wire \spi._T_336[5] ;
wire \spi._T_336[6] ;
wire \spi._T_336[7] ;
wire \spi._T_349 ;
wire \spi.ack_o ;
wire \spi.bit_e ;
wire \spi.bit_ie ;
wire \spi.bit_iroe ;
wire \spi.bit_irrdy ;
wire \spi.bit_itoe ;
wire \spi.bit_itrdy ;
wire \spi.bit_roe ;
wire \spi.bit_rrdy ;
wire \spi.bit_sso ;
wire \spi.bit_tmt ;
wire \spi.bit_toe ;
wire \spi.bit_trdy ;
wire \spi.clock_cnt[0] ;
wire \spi.clock_cnt[1] ;
wire \spi.clock_cnt[2] ;
wire \spi.clock_cnt[3] ;
wire \spi.clock_cnt[4] ;
wire \spi.data_cnt[0] ;
wire \spi.data_cnt[1] ;
wire \spi.data_cnt[2] ;
wire \spi.data_cnt[3] ;
wire \spi.data_cnt[4] ;
wire \spi.data_cnt[5] ;
wire \spi.io_wbs_data_o[0] ;
wire \spi.io_wbs_data_o[1] ;
wire \spi.io_wbs_data_o[2] ;
wire \spi.io_wbs_data_o[3] ;
wire \spi.io_wbs_data_o[4] ;
wire \spi.io_wbs_data_o[5] ;
wire \spi.io_wbs_data_o[6] ;
wire \spi.io_wbs_data_o[7] ;
wire \spi.n_status[0] ;
wire \spi.n_status[1] ;
wire \spi.n_status[2] ;
wire \spi.p_status[0] ;
wire \spi.p_status[1] ;
wire \spi.p_status[2] ;
wire \spi.pending_data ;
wire \spi.read_wait_done ;
wire \spi.reg_rxdata[0] ;
wire \spi.reg_rxdata[1] ;
wire \spi.reg_rxdata[2] ;
wire \spi.reg_rxdata[3] ;
wire \spi.reg_rxdata[4] ;
wire \spi.reg_rxdata[5] ;
wire \spi.reg_rxdata[6] ;
wire \spi.reg_rxdata[7] ;
wire \spi.reg_ssmask ;
wire \spi.rx_latch_flag ;
wire \spi.wait_one_tick_done ;
wire spi_sel;
wire \uart._T_102[0] ;
wire \uart._T_102[1] ;
wire \uart._T_102[2] ;
wire \uart._T_102[3] ;
wire \uart._T_102[4] ;
wire \uart._T_102[5] ;
wire \uart._T_103 ;
wire \uart._T_97[0] ;
wire \uart.baud_r[0] ;
wire \uart.baud_r[1] ;
wire \uart.baud_r[2] ;
wire \uart.baud_r[3] ;
wire \uart.baud_r[4] ;
wire \uart.baud_r[5] ;
wire \uart.baud_r[6] ;
wire \uart.baud_r[7] ;
wire \uart.control_r[0] ;
wire \uart.control_r[1] ;
wire \uart.control_r[2] ;
wire \uart.control_r[3] ;
wire \uart.control_r[4] ;
wire \uart.control_r[5] ;
wire \uart.control_r[6] ;
wire \uart.int_mask_r[0] ;
wire \uart.int_mask_r[1] ;
wire \uart.int_mask_r[2] ;
wire \uart.int_mask_r[3] ;
wire \uart.int_mask_r[4] ;
wire \uart.int_mask_r[5] ;
wire \uart.int_mask_r[6] ;
wire \uart.int_mask_r[7] ;
wire \uart.io_wbs_data_o[0] ;
wire \uart.io_wbs_data_o[1] ;
wire \uart.io_wbs_data_o[2] ;
wire \uart.io_wbs_data_o[3] ;
wire \uart.io_wbs_data_o[4] ;
wire \uart.io_wbs_data_o[5] ;
wire \uart.io_wbs_data_o[6] ;
wire \uart.io_wbs_data_o[7] ;
wire \uart.rx_data_r[0] ;
wire \uart.rx_data_r[1] ;
wire \uart.rx_data_r[2] ;
wire \uart.rx_data_r[3] ;
wire \uart.rx_data_r[4] ;
wire \uart.rx_data_r[5] ;
wire \uart.rx_data_r[6] ;
wire \uart.rx_data_r[7] ;
wire \uart.rxm._GEN_28[0] ;
wire \uart.rxm._GEN_28[1] ;
wire \uart.rxm._GEN_28[2] ;
wire \uart.rxm._GEN_28[3] ;
wire \uart.rxm._GEN_28[4] ;
wire \uart.rxm._GEN_28[5] ;
wire \uart.rxm._GEN_28[6] ;
wire \uart.rxm._GEN_28[7] ;
wire \uart.rxm._GEN_28[8] ;
wire \uart.rxm._T_49[0] ;
wire \uart.rxm._T_49[1] ;
wire \uart.rxm._T_49[2] ;
wire \uart.rxm._T_49[3] ;
wire \uart.rxm._T_49[4] ;
wire \uart.rxm._T_49[5] ;
wire \uart.rxm._T_49[6] ;
wire \uart.rxm.data_count[0] ;
wire \uart.rxm.data_count[1] ;
wire \uart.rxm.data_count[2] ;
wire \uart.rxm.data_count[3] ;
wire \uart.rxm.io_out_bits[0] ;
wire \uart.rxm.io_out_valid ;
wire \uart.rxm.prescaler[0] ;
wire \uart.rxm.prescaler[1] ;
wire \uart.rxm.prescaler[2] ;
wire \uart.rxm.prescaler[3] ;
wire \uart.rxm.prescaler[4] ;
wire \uart.rxm.prescaler[5] ;
wire \uart.rxm.prescaler[6] ;
wire \uart.rxm.prescaler[7] ;
wire \uart.rxm.prescaler[8] ;
wire \uart.rxm.prescaler[9] ;
wire \uart.rxm.state ;
wire \uart.tx_data_r[0] ;
wire \uart.tx_data_r[1] ;
wire \uart.tx_data_r[2] ;
wire \uart.tx_data_r[3] ;
wire \uart.tx_data_r[4] ;
wire \uart.tx_data_r[5] ;
wire \uart.tx_data_r[6] ;
wire \uart.tx_data_r[7] ;
wire \uart.txen ;
wire \uart.txm._T_66[0] ;
wire \uart.txm._T_66[1] ;
wire \uart.txm._T_66[2] ;
wire \uart.txm._T_66[3] ;
wire \uart.txm._T_66[4] ;
wire \uart.txm._T_66[5] ;
wire \uart.txm._T_66[6] ;
wire \uart.txm._T_66[7] ;
wire \uart.txm._T_68 ;
wire \uart.txm.counter[0] ;
wire \uart.txm.counter[1] ;
wire \uart.txm.counter[2] ;
wire \uart.txm.counter[3] ;
wire \uart.txm.prescaler[0] ;
wire \uart.txm.prescaler[1] ;
wire \uart.txm.prescaler[2] ;
wire \uart.txm.prescaler[3] ;
wire \uart.txm.prescaler[4] ;
wire \uart.txm.prescaler[5] ;
wire \uart.txm.prescaler[6] ;
wire \uart.txm.prescaler[7] ;
wire \uart.txm.prescaler[8] ;
wire \uart.txm.prescaler[9] ;
wire uart_sel;
sky130_fd_sc_hd__buf_1 _2298_ (
.A(io_dbus_wr_en),
.X(_2062_)
);
sky130_fd_sc_hd__nor2_2 _2299_ (
.A(io_dbus_rd_en),
.B(_2062_),
.Y(_2063_)
);
sky130_fd_sc_hd__inv_2 _2300_ (
.A(_2063_),
.Y(io_wbm_m2s_stb)
);
sky130_fd_sc_hd__a22o_2 _2301_ (
.A1(\uart._T_102[5] ),
.A2(\uart.int_mask_r[7] ),
.B1(\uart.int_mask_r[6] ),
.B2(\uart._T_102[4] ),
.X(_2064_)
);
sky130_fd_sc_hd__a221o_2 _2302_ (
.A1(\uart._T_102[3] ),
.A2(\uart.int_mask_r[5] ),
.B1(\uart.int_mask_r[4] ),
.B2(\uart._T_102[2] ),
.C1(_2064_),
.X(_2065_)
);
sky130_fd_sc_hd__a221o_2 _2303_ (
.A1(\uart._T_102[1] ),
.A2(\uart.int_mask_r[3] ),
.B1(\uart.int_mask_r[0] ),
.B2(\uart._T_103 ),
.C1(_2065_),
.X(_2066_)
);
sky130_fd_sc_hd__a221o_2 _2304_ (
.A1(\uart._T_102[0] ),
.A2(\uart.int_mask_r[2] ),
.B1(\uart.int_mask_r[1] ),
.B2(\uart._T_97[0] ),
.C1(_2066_),
.X(io_uart_irq)
);
sky130_fd_sc_hd__or4b_2 _2305_ (
.A(io_dbus_addr[12]),
.B(io_dbus_addr[13]),
.C(io_dbus_addr[15]),
.D_N(io_dbus_addr[14]),
.X(_2067_)
);
sky130_fd_sc_hd__inv_2 _2306_ (
.A(_2067_),
.Y(io_motor_addr_sel)
);
sky130_fd_sc_hd__buf_1 _2307_ (
.A(io_ibus_addr[2]),
.X(_2068_)
);
sky130_fd_sc_hd__buf_1 _2308_ (
.A(_2068_),
.X(_2069_)
);
sky130_fd_sc_hd__buf_1 _2309_ (
.A(_2069_),
.X(_2070_)
);
sky130_fd_sc_hd__buf_1 _2310_ (
.A(_2070_),
.X(_2071_)
);
sky130_fd_sc_hd__buf_1 _2311_ (
.A(_2071_),
.X(_2072_)
);
sky130_fd_sc_hd__buf_1 _2312_ (
.A(_2072_),
.X(_2073_)
);
sky130_fd_sc_hd__buf_1 _2313_ (
.A(_2073_),
.X(_2074_)
);
sky130_fd_sc_hd__buf_1 _2314_ (
.A(_2074_),
.X(_2075_)
);
sky130_fd_sc_hd__buf_1 _2315_ (
.A(_2075_),
.X(_2076_)
);
sky130_fd_sc_hd__buf_1 _2316_ (
.A(_2076_),
.X(_2077_)
);
sky130_fd_sc_hd__or4_2 _2317_ (
.A(io_dbus_addr[12]),
.B(io_dbus_addr[13]),
.C(io_dbus_addr[15]),
.D(io_dbus_addr[14]),
.X(_2078_)
);
sky130_fd_sc_hd__nor2_2 _2318_ (
.A(_2063_),
.B(_2078_),
.Y(_2079_)
);
sky130_fd_sc_hd__buf_1 _2319_ (
.A(_2079_),
.X(_0000_)
);
sky130_fd_sc_hd__mux2_2 _2320_ (
.A0(_2077_),
.A1(io_dbus_addr[2]),
.S(_0000_),
.X(_2080_)
);
sky130_fd_sc_hd__buf_1 _2321_ (
.A(_2080_),
.X(io_imem_io_addr[0])
);
sky130_fd_sc_hd__buf_1 _2322_ (
.A(io_ibus_addr[3]),
.X(_2081_)
);
sky130_fd_sc_hd__buf_1 _2323_ (
.A(_2081_),
.X(_2082_)
);
sky130_fd_sc_hd__buf_1 _2324_ (
.A(_2082_),
.X(_2083_)
);
sky130_fd_sc_hd__buf_1 _2325_ (
.A(_2083_),
.X(_2084_)
);
sky130_fd_sc_hd__buf_1 _2326_ (
.A(_2084_),
.X(_2085_)
);
sky130_fd_sc_hd__buf_1 _2327_ (
.A(_2085_),
.X(_2086_)
);
sky130_fd_sc_hd__buf_1 _2328_ (
.A(_2086_),
.X(_2087_)
);
sky130_fd_sc_hd__mux2_2 _2329_ (
.A0(_2087_),
.A1(io_dbus_addr[3]),
.S(_0000_),
.X(_2088_)
);
sky130_fd_sc_hd__buf_1 _2330_ (
.A(_2088_),
.X(io_imem_io_addr[1])
);
sky130_fd_sc_hd__buf_1 _2331_ (
.A(io_ibus_addr[4]),
.X(_2089_)
);
sky130_fd_sc_hd__buf_1 _2332_ (
.A(_2089_),
.X(_2090_)
);
sky130_fd_sc_hd__buf_1 _2333_ (
.A(_2090_),
.X(_2091_)
);
sky130_fd_sc_hd__buf_1 _2334_ (
.A(_2079_),
.X(_2092_)
);
sky130_fd_sc_hd__mux2_2 _2335_ (
.A0(_2091_),
.A1(io_dbus_addr[4]),
.S(_2092_),
.X(_2093_)
);
sky130_fd_sc_hd__buf_1 _2336_ (
.A(_2093_),
.X(io_imem_io_addr[2])
);
sky130_fd_sc_hd__buf_1 _2337_ (
.A(io_ibus_addr[5]),
.X(_2094_)
);
sky130_fd_sc_hd__buf_1 _2338_ (
.A(_2094_),
.X(_2095_)
);
sky130_fd_sc_hd__buf_1 _2339_ (
.A(_2095_),
.X(_2096_)
);
sky130_fd_sc_hd__buf_1 _2340_ (
.A(_2096_),
.X(_2097_)
);
sky130_fd_sc_hd__buf_1 _2341_ (
.A(_2097_),
.X(_2098_)
);
sky130_fd_sc_hd__mux2_2 _2342_ (
.A0(_2098_),
.A1(io_dbus_addr[5]),
.S(_2092_),
.X(_2099_)
);
sky130_fd_sc_hd__buf_1 _2343_ (
.A(_2099_),
.X(io_imem_io_addr[3])
);
sky130_fd_sc_hd__buf_1 _2344_ (
.A(io_ibus_addr[6]),
.X(_2100_)
);
sky130_fd_sc_hd__mux2_2 _2345_ (
.A0(_2100_),
.A1(io_dbus_addr[6]),
.S(_2092_),
.X(_2101_)
);
sky130_fd_sc_hd__buf_1 _2346_ (
.A(_2101_),
.X(io_imem_io_addr[4])
);
sky130_fd_sc_hd__buf_1 _2347_ (
.A(io_ibus_addr[7]),
.X(_2102_)
);
sky130_fd_sc_hd__mux2_2 _2348_ (
.A0(_2102_),
.A1(io_dbus_addr[7]),
.S(_2092_),
.X(_2103_)
);
sky130_fd_sc_hd__buf_1 _2349_ (
.A(_2103_),
.X(io_imem_io_addr[5])
);
sky130_fd_sc_hd__buf_1 _2350_ (
.A(io_ibus_addr[8]),
.X(_2104_)
);
sky130_fd_sc_hd__buf_1 _2351_ (
.A(_2104_),
.X(_2105_)
);
sky130_fd_sc_hd__mux2_2 _2352_ (
.A0(_2105_),
.A1(io_dbus_addr[8]),
.S(_2092_),
.X(_2106_)
);
sky130_fd_sc_hd__buf_1 _2353_ (
.A(_2106_),
.X(io_imem_io_addr[6])
);
sky130_fd_sc_hd__buf_1 _2354_ (
.A(io_ibus_addr[9]),
.X(_2107_)
);
sky130_fd_sc_hd__buf_1 _2355_ (
.A(_2107_),
.X(_2108_)
);
sky130_fd_sc_hd__mux2_2 _2356_ (
.A0(_2108_),
.A1(io_dbus_addr[9]),
.S(_2079_),
.X(_2109_)
);
sky130_fd_sc_hd__buf_1 _2357_ (
.A(_2109_),
.X(io_imem_io_addr[7])
);
sky130_fd_sc_hd__mux2_2 _2358_ (
.A0(io_ibus_addr[10]),
.A1(io_dbus_addr[10]),
.S(_2079_),
.X(_2110_)
);
sky130_fd_sc_hd__buf_1 _2359_ (
.A(_2110_),
.X(io_imem_io_addr[8])
);
sky130_fd_sc_hd__buf_1 _2360_ (
.A(\imem.bmem_ibus_sel ),
.X(_2111_)
);
sky130_fd_sc_hd__buf_1 _2361_ (
.A(_2111_),
.X(_2112_)
);
sky130_fd_sc_hd__inv_2 _2362_ (
.A(\imem.imem_ibus_valid ),
.Y(_2113_)
);
sky130_fd_sc_hd__buf_1 _2363_ (
.A(_2113_),
.X(_2114_)
);
sky130_fd_sc_hd__buf_1 _2364_ (
.A(_2114_),
.X(_2115_)
);
sky130_fd_sc_hd__buf_1 _2365_ (
.A(_2115_),
.X(_2116_)
);
sky130_fd_sc_hd__nor2_2 _2366_ (
.A(_2116_),
.B(\imem.bmem_ibus_sel ),
.Y(_2117_)
);
sky130_fd_sc_hd__buf_1 _2367_ (
.A(_2117_),
.X(_2118_)
);
sky130_fd_sc_hd__buf_1 _2368_ (
.A(_2118_),
.X(_2119_)
);
sky130_fd_sc_hd__a22o_2 _2369_ (
.A1(\imem.bmem.bmem_data[0] ),
.A2(_2112_),
.B1(_2119_),
.B2(io_imem_io_rdata[0]),
.X(io_ibus_inst[0])
);
sky130_fd_sc_hd__a22o_2 _2370_ (
.A1(\imem.bmem.bmem_data[0] ),
.A2(_2112_),
.B1(io_imem_io_rdata[1]),
.B2(_2119_),
.X(io_ibus_inst[1])
);
sky130_fd_sc_hd__a22o_2 _2371_ (
.A1(\imem.bmem.bmem_data[2] ),
.A2(_2112_),
.B1(io_imem_io_rdata[2]),
.B2(_2119_),
.X(io_ibus_inst[2])
);
sky130_fd_sc_hd__a22o_2 _2372_ (
.A1(\imem.bmem.bmem_data[3] ),
.A2(_2112_),
.B1(io_imem_io_rdata[3]),
.B2(_2119_),
.X(io_ibus_inst[3])
);
sky130_fd_sc_hd__buf_1 _2373_ (
.A(\imem.bmem_ibus_sel ),
.X(_2120_)
);
sky130_fd_sc_hd__buf_1 _2374_ (
.A(_2120_),
.X(_2121_)
);
sky130_fd_sc_hd__a22o_2 _2375_ (
.A1(\imem.bmem.bmem_data[4] ),
.A2(_2121_),
.B1(io_imem_io_rdata[4]),
.B2(_2119_),
.X(io_ibus_inst[4])
);
sky130_fd_sc_hd__buf_1 _2376_ (
.A(_2118_),
.X(_2122_)
);
sky130_fd_sc_hd__a22o_2 _2377_ (
.A1(\imem.bmem.bmem_data[5] ),
.A2(_2121_),
.B1(io_imem_io_rdata[5]),
.B2(_2122_),
.X(io_ibus_inst[5])
);
sky130_fd_sc_hd__a22o_2 _2378_ (
.A1(\imem.bmem.bmem_data[6] ),
.A2(_2121_),
.B1(io_imem_io_rdata[6]),
.B2(_2122_),
.X(io_ibus_inst[6])
);
sky130_fd_sc_hd__a22o_2 _2379_ (
.A1(\imem.bmem.bmem_data[7] ),
.A2(_2121_),
.B1(io_imem_io_rdata[7]),
.B2(_2122_),
.X(io_ibus_inst[7])
);
sky130_fd_sc_hd__a22o_2 _2380_ (
.A1(\imem.bmem.bmem_data[8] ),
.A2(_2121_),
.B1(io_imem_io_rdata[8]),
.B2(_2122_),
.X(io_ibus_inst[8])
);
sky130_fd_sc_hd__buf_1 _2381_ (
.A(_2120_),
.X(_2123_)
);
sky130_fd_sc_hd__a22o_2 _2382_ (
.A1(\imem.bmem.bmem_data[9] ),
.A2(_2123_),
.B1(io_imem_io_rdata[9]),
.B2(_2122_),
.X(io_ibus_inst[9])
);
sky130_fd_sc_hd__buf_1 _2383_ (
.A(_2118_),
.X(_2124_)
);
sky130_fd_sc_hd__a22o_2 _2384_ (
.A1(\imem.bmem.bmem_data[10] ),
.A2(_2123_),
.B1(io_imem_io_rdata[10]),
.B2(_2124_),
.X(io_ibus_inst[10])
);
sky130_fd_sc_hd__a22o_2 _2385_ (
.A1(\imem.bmem.bmem_data[11] ),
.A2(_2123_),
.B1(io_imem_io_rdata[11]),
.B2(_2124_),
.X(io_ibus_inst[11])
);
sky130_fd_sc_hd__a22o_2 _2386_ (
.A1(\imem.bmem.bmem_data[12] ),
.A2(_2123_),
.B1(io_imem_io_rdata[12]),
.B2(_2124_),
.X(io_ibus_inst[12])
);
sky130_fd_sc_hd__a22o_2 _2387_ (
.A1(\imem.bmem.bmem_data[13] ),
.A2(_2123_),
.B1(io_imem_io_rdata[13]),
.B2(_2124_),
.X(io_ibus_inst[13])
);
sky130_fd_sc_hd__buf_1 _2388_ (
.A(_2120_),
.X(_2125_)
);
sky130_fd_sc_hd__a22o_2 _2389_ (
.A1(\imem.bmem.bmem_data[14] ),
.A2(_2125_),
.B1(io_imem_io_rdata[14]),
.B2(_2124_),
.X(io_ibus_inst[14])
);
sky130_fd_sc_hd__buf_1 _2390_ (
.A(_2117_),
.X(_2126_)
);
sky130_fd_sc_hd__a22o_2 _2391_ (
.A1(\imem.bmem.bmem_data[15] ),
.A2(_2125_),
.B1(io_imem_io_rdata[15]),
.B2(_2126_),
.X(io_ibus_inst[15])
);
sky130_fd_sc_hd__a22o_2 _2392_ (
.A1(\imem.bmem.bmem_data[16] ),
.A2(_2125_),
.B1(io_imem_io_rdata[16]),
.B2(_2126_),
.X(io_ibus_inst[16])
);
sky130_fd_sc_hd__a22o_2 _2393_ (
.A1(\imem.bmem.bmem_data[17] ),
.A2(_2125_),
.B1(io_imem_io_rdata[17]),
.B2(_2126_),
.X(io_ibus_inst[17])
);
sky130_fd_sc_hd__a22o_2 _2394_ (
.A1(\imem.bmem.bmem_data[18] ),
.A2(_2125_),
.B1(io_imem_io_rdata[18]),
.B2(_2126_),
.X(io_ibus_inst[18])
);
sky130_fd_sc_hd__buf_1 _2395_ (
.A(_2120_),
.X(_2127_)
);
sky130_fd_sc_hd__a22o_2 _2396_ (
.A1(\imem.bmem.bmem_data[19] ),
.A2(_2127_),
.B1(io_imem_io_rdata[19]),
.B2(_2126_),
.X(io_ibus_inst[19])
);
sky130_fd_sc_hd__buf_1 _2397_ (
.A(_2117_),
.X(_2128_)
);
sky130_fd_sc_hd__a22o_2 _2398_ (
.A1(\imem.bmem.bmem_data[20] ),
.A2(_2127_),
.B1(io_imem_io_rdata[20]),
.B2(_2128_),
.X(io_ibus_inst[20])
);
sky130_fd_sc_hd__a22o_2 _2399_ (
.A1(\imem.bmem.bmem_data[21] ),
.A2(_2127_),
.B1(io_imem_io_rdata[21]),
.B2(_2128_),
.X(io_ibus_inst[21])
);
sky130_fd_sc_hd__a22o_2 _2400_ (
.A1(\imem.bmem.bmem_data[22] ),
.A2(_2127_),
.B1(io_imem_io_rdata[22]),
.B2(_2128_),
.X(io_ibus_inst[22])
);
sky130_fd_sc_hd__a22o_2 _2401_ (
.A1(\imem.bmem.bmem_data[23] ),
.A2(_2127_),
.B1(io_imem_io_rdata[23]),
.B2(_2128_),
.X(io_ibus_inst[23])
);
sky130_fd_sc_hd__buf_1 _2402_ (
.A(_2120_),
.X(_2129_)
);
sky130_fd_sc_hd__a22o_2 _2403_ (
.A1(\imem.bmem.bmem_data[24] ),
.A2(_2129_),
.B1(io_imem_io_rdata[24]),
.B2(_2128_),
.X(io_ibus_inst[24])
);
sky130_fd_sc_hd__buf_1 _2404_ (
.A(_2117_),
.X(_2130_)
);
sky130_fd_sc_hd__a22o_2 _2405_ (
.A1(\imem.bmem.bmem_data[25] ),
.A2(_2129_),
.B1(io_imem_io_rdata[25]),
.B2(_2130_),
.X(io_ibus_inst[25])
);
sky130_fd_sc_hd__a22o_2 _2406_ (
.A1(\imem.bmem.bmem_data[26] ),
.A2(_2129_),
.B1(io_imem_io_rdata[26]),
.B2(_2130_),
.X(io_ibus_inst[26])
);
sky130_fd_sc_hd__a22o_2 _2407_ (
.A1(\imem.bmem.bmem_data[27] ),
.A2(_2129_),
.B1(io_imem_io_rdata[27]),
.B2(_2130_),
.X(io_ibus_inst[27])
);
sky130_fd_sc_hd__a22o_2 _2408_ (
.A1(\imem.bmem.bmem_data[28] ),
.A2(_2129_),
.B1(io_imem_io_rdata[28]),
.B2(_2130_),
.X(io_ibus_inst[28])
);
sky130_fd_sc_hd__a22o_2 _2409_ (
.A1(\imem.bmem.bmem_data[29] ),
.A2(_2111_),
.B1(io_imem_io_rdata[29]),
.B2(_2130_),
.X(io_ibus_inst[29])
);
sky130_fd_sc_hd__a22o_2 _2410_ (
.A1(\imem.bmem.bmem_data[30] ),
.A2(_2111_),
.B1(io_imem_io_rdata[30]),
.B2(_2118_),
.X(io_ibus_inst[30])
);
sky130_fd_sc_hd__a22o_2 _2411_ (
.A1(\imem.bmem.bmem_data[30] ),
.A2(_2111_),
.B1(io_imem_io_rdata[31]),
.B2(_2118_),
.X(io_ibus_inst[31])
);
sky130_fd_sc_hd__inv_2 _2412_ (
.A(io_dbus_addr[1]),
.Y(_2131_)
);
sky130_fd_sc_hd__buf_1 _2413_ (
.A(_2131_),
.X(_2132_)
);
sky130_fd_sc_hd__inv_2 _2414_ (
.A(io_dbus_addr[0]),
.Y(_2133_)
);
sky130_fd_sc_hd__inv_2 _2415_ (
.A(io_dbus_ld_type[0]),
.Y(_2134_)
);
sky130_fd_sc_hd__xnor2_2 _2416_ (
.A(io_dbus_ld_type[1]),
.B(io_dbus_ld_type[2]),
.Y(_2135_)
);
sky130_fd_sc_hd__nor2_2 _2417_ (
.A(_2134_),
.B(_2135_),
.Y(_2136_)
);
sky130_fd_sc_hd__or2_2 _2418_ (
.A(io_dbus_st_type[0]),
.B(io_dbus_st_type[1]),
.X(_2137_)
);
sky130_fd_sc_hd__buf_1 _2419_ (
.A(io_dbus_addr[1]),
.X(_2138_)
);
sky130_fd_sc_hd__or3_2 _2420_ (
.A(_2134_),
.B(io_dbus_ld_type[1]),
.C(io_dbus_ld_type[2]),
.X(_2139_)
);
sky130_fd_sc_hd__o31ai_2 _2421_ (
.A1(_2138_),
.A2(io_dbus_ld_type[0]),
.A3(_2135_),
.B1(_2139_),
.Y(_2140_)
);
sky130_fd_sc_hd__a311o_2 _2422_ (
.A1(_2132_),
.A2(_2133_),
.A3(_2136_),
.B1(_2137_),
.C1(_2140_),
.X(io_dmem_io_st_type[0])
);
sky130_fd_sc_hd__buf_1 _2423_ (
.A(io_dbus_addr[0]),
.X(_2141_)
);
sky130_fd_sc_hd__a31o_2 _2424_ (
.A1(_2132_),
.A2(_2141_),
.A3(_2136_),
.B1(_2137_),
.X(_2142_)
);
sky130_fd_sc_hd__o2bb2a_2 _2425_ (
.A1_N(io_dbus_st_type[0]),
.A2_N(io_dbus_st_type[1]),
.B1(_2140_),
.B2(_2142_),
.X(io_dmem_io_st_type[1])
);
sky130_fd_sc_hd__o31ai_2 _2426_ (
.A1(_2132_),
.A2(io_dbus_ld_type[0]),
.A3(_2135_),
.B1(_2139_),
.Y(_2143_)
);
sky130_fd_sc_hd__a31o_2 _2427_ (
.A1(_2138_),
.A2(_2133_),
.A3(_2136_),
.B1(_2143_),
.X(_2144_)
);
sky130_fd_sc_hd__o21ba_2 _2428_ (
.A1(io_dbus_st_type[0]),
.A2(_2144_),
.B1_N(io_dbus_st_type[1]),
.X(io_dmem_io_st_type[2])
);
sky130_fd_sc_hd__a31o_2 _2429_ (
.A1(_2138_),
.A2(_2141_),
.A3(_2136_),
.B1(_2143_),
.X(_2145_)
);
sky130_fd_sc_hd__o21ba_2 _2430_ (
.A1(io_dbus_st_type[0]),
.A2(_2145_),
.B1_N(io_dbus_st_type[1]),
.X(io_dmem_io_st_type[3])
);
sky130_fd_sc_hd__nor4_2 _2431_ (
.A(\uart.txm.counter[1] ),
.B(\uart.txm.counter[0] ),
.C(\uart.txm.counter[3] ),
.D(\uart.txm.counter[2] ),
.Y(_2146_)
);
sky130_fd_sc_hd__buf_1 _2432_ (
.A(_2146_),
.X(_2147_)
);
sky130_fd_sc_hd__or4_2 _2433_ (
.A(\uart.txm.prescaler[9] ),
.B(\uart.txm.prescaler[8] ),
.C(\uart.txm.prescaler[7] ),
.D(\uart.txm.prescaler[6] ),
.X(_2148_)
);
sky130_fd_sc_hd__or3_2 _2434_ (
.A(\uart.txm.prescaler[5] ),
.B(\uart.txm.prescaler[4] ),
.C(_2148_),
.X(_2149_)
);
sky130_fd_sc_hd__or2_2 _2435_ (
.A(\uart.txm.prescaler[1] ),
.B(\uart.txm.prescaler[0] ),
.X(_2150_)
);
sky130_fd_sc_hd__or4_2 _2436_ (
.A(\uart.txm.prescaler[3] ),
.B(\uart.txm.prescaler[2] ),
.C(_2149_),
.D(_2150_),
.X(_2151_)
);
sky130_fd_sc_hd__nor2_2 _2437_ (
.A(_2147_),
.B(_2151_),
.Y(_2152_)
);
sky130_fd_sc_hd__buf_1 _2438_ (
.A(_2152_),
.X(_2153_)
);
sky130_fd_sc_hd__nand2_2 _2439_ (
.A(\uart.txen ),
.B(_2147_),
.Y(_2154_)
);
sky130_fd_sc_hd__buf_1 _2440_ (
.A(_2154_),
.X(_2155_)
);
sky130_fd_sc_hd__or2_2 _2441_ (
.A(_2147_),
.B(_2151_),
.X(_2156_)
);
sky130_fd_sc_hd__and2_2 _2442_ (
.A(_2155_),
.B(_2156_),
.X(_2157_)
);
sky130_fd_sc_hd__a22o_2 _2443_ (
.A1(\uart.txm._T_66[0] ),
.A2(_2153_),
.B1(_2157_),
.B2(\uart.txm._T_68 ),
.X(_0006_)
);
sky130_fd_sc_hd__mux2_2 _2444_ (
.A0(\uart.tx_data_r[0] ),
.A1(\uart.txm._T_66[0] ),
.S(_2155_),
.X(_2158_)
);
sky130_fd_sc_hd__buf_1 _2445_ (
.A(_2156_),
.X(_2159_)
);
sky130_fd_sc_hd__mux2_2 _2446_ (
.A0(\uart.txm._T_66[1] ),
.A1(_2158_),
.S(_2159_),
.X(_2160_)
);
sky130_fd_sc_hd__buf_1 _2447_ (
.A(_2160_),
.X(_0007_)
);
sky130_fd_sc_hd__mux2_2 _2448_ (
.A0(\uart.tx_data_r[1] ),
.A1(\uart.txm._T_66[1] ),
.S(_2155_),
.X(_2161_)
);
sky130_fd_sc_hd__mux2_2 _2449_ (
.A0(\uart.txm._T_66[2] ),
.A1(_2161_),
.S(_2159_),
.X(_2162_)
);
sky130_fd_sc_hd__buf_1 _2450_ (
.A(_2162_),
.X(_0008_)
);
sky130_fd_sc_hd__buf_1 _2451_ (
.A(_2154_),
.X(_2163_)
);
sky130_fd_sc_hd__mux2_2 _2452_ (
.A0(\uart.tx_data_r[2] ),
.A1(\uart.txm._T_66[2] ),
.S(_2163_),
.X(_2164_)
);
sky130_fd_sc_hd__mux2_2 _2453_ (
.A0(\uart.txm._T_66[3] ),
.A1(_2164_),
.S(_2159_),
.X(_2165_)
);
sky130_fd_sc_hd__buf_1 _2454_ (
.A(_2165_),
.X(_0009_)
);
sky130_fd_sc_hd__mux2_2 _2455_ (
.A0(\uart.tx_data_r[3] ),
.A1(\uart.txm._T_66[3] ),
.S(_2163_),
.X(_2166_)
);
sky130_fd_sc_hd__mux2_2 _2456_ (
.A0(\uart.txm._T_66[4] ),
.A1(_2166_),
.S(_2159_),
.X(_2167_)
);
sky130_fd_sc_hd__buf_1 _2457_ (
.A(_2167_),
.X(_0010_)
);
sky130_fd_sc_hd__mux2_2 _2458_ (
.A0(\uart.tx_data_r[4] ),
.A1(\uart.txm._T_66[4] ),
.S(_2163_),
.X(_2168_)
);
sky130_fd_sc_hd__mux2_2 _2459_ (
.A0(\uart.txm._T_66[5] ),
.A1(_2168_),
.S(_2159_),
.X(_2169_)
);
sky130_fd_sc_hd__buf_1 _2460_ (
.A(_2169_),
.X(_0011_)
);
sky130_fd_sc_hd__mux2_2 _2461_ (
.A0(\uart.tx_data_r[5] ),
.A1(\uart.txm._T_66[5] ),
.S(_2163_),
.X(_2170_)
);
sky130_fd_sc_hd__mux2_2 _2462_ (
.A0(\uart.txm._T_66[6] ),
.A1(_2170_),
.S(_2156_),
.X(_2171_)
);
sky130_fd_sc_hd__buf_1 _2463_ (
.A(_2171_),
.X(_0012_)
);
sky130_fd_sc_hd__mux2_2 _2464_ (
.A0(\uart.tx_data_r[6] ),
.A1(\uart.txm._T_66[6] ),
.S(_2163_),
.X(_2172_)
);
sky130_fd_sc_hd__mux2_2 _2465_ (
.A0(\uart.txm._T_66[7] ),
.A1(_2172_),
.S(_2156_),
.X(_2173_)
);
sky130_fd_sc_hd__buf_1 _2466_ (
.A(_2173_),
.X(_0013_)
);
sky130_fd_sc_hd__mux2_2 _2467_ (
.A0(\uart.tx_data_r[7] ),
.A1(\uart.txm._T_66[7] ),
.S(_2155_),
.X(_2174_)
);
sky130_fd_sc_hd__or2_2 _2468_ (
.A(_2153_),
.B(_2174_),
.X(_2175_)
);
sky130_fd_sc_hd__buf_1 _2469_ (
.A(_2175_),
.X(_0014_)
);
sky130_fd_sc_hd__or2_2 _2470_ (
.A(\dmem.ack2 ),
.B(\dmem.ack ),
.X(_2176_)
);
sky130_fd_sc_hd__buf_1 _2471_ (
.A(spi_sel),
.X(_2177_)
);
sky130_fd_sc_hd__and2b_2 _2472_ (
.A_N(spi_sel),
.B(motor_sel),
.X(_2178_)
);
sky130_fd_sc_hd__buf_1 _2473_ (
.A(_2178_),
.X(_2179_)
);
sky130_fd_sc_hd__a22o_2 _2474_ (
.A1(\spi.ack_o ),
.A2(_2177_),
.B1(_2179_),
.B2(io_motor_ack_i),
.X(_2180_)
);
sky130_fd_sc_hd__buf_1 _2475_ (
.A(uart_sel),
.X(_2181_)
);
sky130_fd_sc_hd__mux2_2 _2476_ (
.A0(_2180_),
.A1(\dmem.ack ),
.S(_2181_),
.X(_2182_)
);
sky130_fd_sc_hd__buf_1 _2477_ (
.A(imem_sel),
.X(_2183_)
);
sky130_fd_sc_hd__mux2_2 _2478_ (
.A0(_2182_),
.A1(\imem.ack ),
.S(_2183_),
.X(_2184_)
);
sky130_fd_sc_hd__inv_2 _2479_ (
.A(dmem_sel),
.Y(_2185_)
);
sky130_fd_sc_hd__mux2_2 _2480_ (
.A0(_2176_),
.A1(_2184_),
.S(_2185_),
.X(_2186_)
);
sky130_fd_sc_hd__buf_1 _2481_ (
.A(_2186_),
.X(io_dbus_valid)
);
sky130_fd_sc_hd__buf_1 _2482_ (
.A(\dmem.wb_select[3] ),
.X(_2187_)
);
sky130_fd_sc_hd__buf_1 _2483_ (
.A(\dmem.wb_select[2] ),
.X(_2188_)
);
sky130_fd_sc_hd__nor4b_2 _2484_ (
.A(_2187_),
.B(_2188_),
.C(\dmem.wb_select[0] ),
.D_N(\dmem.wb_select[1] ),
.Y(_2189_)
);
sky130_fd_sc_hd__buf_1 _2485_ (
.A(_2189_),
.X(_2190_)
);
sky130_fd_sc_hd__nor2_2 _2486_ (
.A(\dmem.wb_select[1] ),
.B(\dmem.wb_select[0] ),
.Y(_2191_)
);
sky130_fd_sc_hd__and2_2 _2487_ (
.A(\dmem.wb_select[2] ),
.B(_2191_),
.X(_2192_)
);
sky130_fd_sc_hd__buf_1 _2488_ (
.A(_2192_),
.X(_2193_)
);
sky130_fd_sc_hd__buf_1 _2489_ (
.A(_2193_),
.X(_2194_)
);
sky130_fd_sc_hd__or2b_2 _2490_ (
.A(_2187_),
.B_N(_2192_),
.X(_2195_)
);
sky130_fd_sc_hd__buf_1 _2491_ (
.A(_2195_),
.X(_2196_)
);
sky130_fd_sc_hd__buf_1 _2492_ (
.A(_2196_),
.X(_2197_)
);
sky130_fd_sc_hd__nand2_2 _2493_ (
.A(\dmem.wb_select[3] ),
.B(_2191_),
.Y(_2198_)
);
sky130_fd_sc_hd__buf_1 _2494_ (
.A(_2198_),
.X(_2199_)
);
sky130_fd_sc_hd__nor2_2 _2495_ (
.A(_2188_),
.B(_2198_),
.Y(_2200_)
);
sky130_fd_sc_hd__buf_1 _2496_ (
.A(_2200_),
.X(_2201_)
);
sky130_fd_sc_hd__a22o_2 _2497_ (
.A1(io_dmem_io_rdata[0]),
.A2(_2199_),
.B1(_2201_),
.B2(io_dmem_io_rdata[24]),
.X(_2202_)
);
sky130_fd_sc_hd__a22o_2 _2498_ (
.A1(io_dmem_io_rdata[16]),
.A2(_2194_),
.B1(_2197_),
.B2(_2202_),
.X(_2203_)
);
sky130_fd_sc_hd__or2_2 _2499_ (
.A(_2190_),
.B(_2203_),
.X(_2204_)
);
sky130_fd_sc_hd__or4b_2 _2500_ (
.A(_2187_),
.B(_2188_),
.C(\dmem.wb_select[0] ),
.D_N(\dmem.wb_select[1] ),
.X(_2205_)
);
sky130_fd_sc_hd__buf_1 _2501_ (
.A(_2205_),
.X(_2206_)
);
sky130_fd_sc_hd__or2_2 _2502_ (
.A(io_dmem_io_rdata[8]),
.B(_2206_),
.X(_2207_)
);
sky130_fd_sc_hd__and2_2 _2503_ (
.A(dmem_sel),
.B(\dmem.rd_resp ),
.X(_2208_)
);
sky130_fd_sc_hd__a22o_2 _2504_ (
.A1(\spi.io_wbs_data_o[0] ),
.A2(_2177_),
.B1(io_motor_data_i[0]),
.B2(_2179_),
.X(_2209_)
);
sky130_fd_sc_hd__mux2_2 _2505_ (
.A0(_2209_),
.A1(\uart.io_wbs_data_o[0] ),
.S(_2181_),
.X(_2210_)
);
sky130_fd_sc_hd__buf_1 _2506_ (
.A(_2114_),
.X(_2211_)
);
sky130_fd_sc_hd__buf_1 _2507_ (
.A(_2211_),
.X(_2212_)
);
sky130_fd_sc_hd__buf_1 _2508_ (
.A(_2193_),
.X(_2213_)
);
sky130_fd_sc_hd__buf_1 _2509_ (
.A(_2195_),
.X(_2214_)
);
sky130_fd_sc_hd__buf_1 _2510_ (
.A(_2200_),
.X(_2215_)
);
sky130_fd_sc_hd__and2_2 _2511_ (
.A(_2113_),
.B(_2198_),
.X(_2216_)
);
sky130_fd_sc_hd__buf_1 _2512_ (
.A(_2216_),
.X(_2217_)
);
sky130_fd_sc_hd__a32o_2 _2513_ (
.A1(_2211_),
.A2(io_imem_io_rdata[24]),
.A3(_2215_),
.B1(_2217_),
.B2(io_imem_io_rdata[0]),
.X(_2218_)
);
sky130_fd_sc_hd__a32o_2 _2514_ (
.A1(_2212_),
.A2(io_imem_io_rdata[16]),
.A3(_2213_),
.B1(_2214_),
.B2(_2218_),
.X(_2219_)
);
sky130_fd_sc_hd__buf_1 _2515_ (
.A(_2189_),
.X(_2220_)
);
sky130_fd_sc_hd__buf_1 _2516_ (
.A(_2211_),
.X(_2221_)
);
sky130_fd_sc_hd__nand2_2 _2517_ (
.A(_2221_),
.B(io_imem_io_rdata[8]),
.Y(_2222_)
);
sky130_fd_sc_hd__nand2_2 _2518_ (
.A(_2220_),
.B(_2222_),
.Y(_2223_)
);
sky130_fd_sc_hd__o21a_2 _2519_ (
.A1(_2190_),
.A2(_2219_),
.B1(_2223_),
.X(_2224_)
);
sky130_fd_sc_hd__mux2_2 _2520_ (
.A0(_2210_),
.A1(_2224_),
.S(_2183_),
.X(_2225_)
);
sky130_fd_sc_hd__a32o_2 _2521_ (
.A1(_2204_),
.A2(_2207_),
.A3(_2208_),
.B1(_2225_),
.B2(_2185_),
.X(io_dbus_rdata[0])
);
sky130_fd_sc_hd__buf_1 _2522_ (
.A(_2185_),
.X(_2226_)
);
sky130_fd_sc_hd__buf_1 _2523_ (
.A(_2177_),
.X(_2227_)
);
sky130_fd_sc_hd__buf_1 _2524_ (
.A(_2178_),
.X(_2228_)
);
sky130_fd_sc_hd__a22o_2 _2525_ (
.A1(\spi.io_wbs_data_o[1] ),
.A2(_2227_),
.B1(io_motor_data_i[1]),
.B2(_2228_),
.X(_2229_)
);
sky130_fd_sc_hd__buf_1 _2526_ (
.A(_2181_),
.X(_2230_)
);
sky130_fd_sc_hd__mux2_2 _2527_ (
.A0(_2229_),
.A1(\uart.io_wbs_data_o[1] ),
.S(_2230_),
.X(_2231_)
);
sky130_fd_sc_hd__and2_2 _2528_ (
.A(_2114_),
.B(io_imem_io_rdata[25]),
.X(_2232_)
);
sky130_fd_sc_hd__a22o_2 _2529_ (
.A1(io_imem_io_rdata[1]),
.A2(_2217_),
.B1(_2215_),
.B2(_2232_),
.X(_2233_)
);
sky130_fd_sc_hd__a32o_2 _2530_ (
.A1(_2212_),
.A2(io_imem_io_rdata[17]),
.A3(_2213_),
.B1(_2214_),
.B2(_2233_),
.X(_2234_)
);
sky130_fd_sc_hd__and2_2 _2531_ (
.A(_2212_),
.B(io_imem_io_rdata[9]),
.X(_2235_)
);
sky130_fd_sc_hd__mux2_2 _2532_ (
.A0(_2234_),
.A1(_2235_),
.S(_2220_),
.X(_2236_)
);
sky130_fd_sc_hd__buf_1 _2533_ (
.A(imem_sel),
.X(_2237_)
);
sky130_fd_sc_hd__mux2_2 _2534_ (
.A0(_2231_),
.A1(_2236_),
.S(_2237_),
.X(_2238_)
);
sky130_fd_sc_hd__buf_1 _2535_ (
.A(_2194_),
.X(_2239_)
);
sky130_fd_sc_hd__buf_1 _2536_ (
.A(_2197_),
.X(_2240_)
);
sky130_fd_sc_hd__buf_1 _2537_ (
.A(_2199_),
.X(_2241_)
);
sky130_fd_sc_hd__buf_1 _2538_ (
.A(_2201_),
.X(_2242_)
);
sky130_fd_sc_hd__a22o_2 _2539_ (
.A1(io_dmem_io_rdata[1]),
.A2(_2241_),
.B1(_2242_),
.B2(io_dmem_io_rdata[25]),
.X(_2243_)
);
sky130_fd_sc_hd__a22o_2 _2540_ (
.A1(io_dmem_io_rdata[17]),
.A2(_2239_),
.B1(_2240_),
.B2(_2243_),
.X(_2244_)
);
sky130_fd_sc_hd__buf_1 _2541_ (
.A(_2206_),
.X(_2245_)
);
sky130_fd_sc_hd__mux2_2 _2542_ (
.A0(io_dmem_io_rdata[9]),
.A1(_2244_),
.S(_2245_),
.X(_2246_)
);
sky130_fd_sc_hd__buf_1 _2543_ (
.A(_2208_),
.X(_2247_)
);
sky130_fd_sc_hd__a22o_2 _2544_ (
.A1(_2226_),
.A2(_2238_),
.B1(_2246_),
.B2(_2247_),
.X(io_dbus_rdata[1])
);
sky130_fd_sc_hd__a22o_2 _2545_ (
.A1(\spi.io_wbs_data_o[2] ),
.A2(_2227_),
.B1(io_motor_data_i[2]),
.B2(_2228_),
.X(_2248_)
);
sky130_fd_sc_hd__mux2_2 _2546_ (
.A0(_2248_),
.A1(\uart.io_wbs_data_o[2] ),
.S(_2230_),
.X(_2249_)
);
sky130_fd_sc_hd__a32o_2 _2547_ (
.A1(_2115_),
.A2(io_imem_io_rdata[26]),
.A3(_2201_),
.B1(_2217_),
.B2(io_imem_io_rdata[2]),
.X(_2250_)
);
sky130_fd_sc_hd__a32o_2 _2548_ (
.A1(_2221_),
.A2(io_imem_io_rdata[18]),
.A3(_2194_),
.B1(_2197_),
.B2(_2250_),
.X(_2251_)
);
sky130_fd_sc_hd__buf_1 _2549_ (
.A(_2115_),
.X(_2252_)
);
sky130_fd_sc_hd__nand2_2 _2550_ (
.A(_2252_),
.B(io_imem_io_rdata[10]),
.Y(_2253_)
);
sky130_fd_sc_hd__nand2_2 _2551_ (
.A(_2220_),
.B(_2253_),
.Y(_2254_)
);
sky130_fd_sc_hd__o21a_2 _2552_ (
.A1(_2190_),
.A2(_2251_),
.B1(_2254_),
.X(_2255_)
);
sky130_fd_sc_hd__mux2_2 _2553_ (
.A0(_2249_),
.A1(_2255_),
.S(_2237_),
.X(_2256_)
);
sky130_fd_sc_hd__a22o_2 _2554_ (
.A1(io_dmem_io_rdata[2]),
.A2(_2241_),
.B1(_2242_),
.B2(io_dmem_io_rdata[26]),
.X(_2257_)
);
sky130_fd_sc_hd__a22o_2 _2555_ (
.A1(io_dmem_io_rdata[18]),
.A2(_2239_),
.B1(_2240_),
.B2(_2257_),
.X(_2258_)
);
sky130_fd_sc_hd__mux2_2 _2556_ (
.A0(io_dmem_io_rdata[10]),
.A1(_2258_),
.S(_2245_),
.X(_2259_)
);
sky130_fd_sc_hd__a22o_2 _2557_ (
.A1(_2226_),
.A2(_2256_),
.B1(_2259_),
.B2(_2247_),
.X(io_dbus_rdata[2])
);
sky130_fd_sc_hd__a22o_2 _2558_ (
.A1(\spi.io_wbs_data_o[3] ),
.A2(_2227_),
.B1(io_motor_data_i[3]),
.B2(_2228_),
.X(_2260_)
);
sky130_fd_sc_hd__mux2_2 _2559_ (
.A0(_2260_),
.A1(\uart.io_wbs_data_o[3] ),
.S(_2230_),
.X(_2261_)
);
sky130_fd_sc_hd__and2_2 _2560_ (
.A(_2114_),
.B(io_imem_io_rdata[27]),
.X(_2262_)
);
sky130_fd_sc_hd__a22o_2 _2561_ (
.A1(io_imem_io_rdata[3]),
.A2(_2216_),
.B1(_2215_),
.B2(_2262_),
.X(_2263_)
);
sky130_fd_sc_hd__a32o_2 _2562_ (
.A1(_2115_),
.A2(io_imem_io_rdata[19]),
.A3(_2213_),
.B1(_2214_),
.B2(_2263_),
.X(_2264_)
);
sky130_fd_sc_hd__and2_2 _2563_ (
.A(_2212_),
.B(io_imem_io_rdata[11]),
.X(_2265_)
);
sky130_fd_sc_hd__mux2_2 _2564_ (
.A0(_2264_),
.A1(_2265_),
.S(_2189_),
.X(_2266_)
);
sky130_fd_sc_hd__mux2_2 _2565_ (
.A0(_2261_),
.A1(_2266_),
.S(_2237_),
.X(_2267_)
);
sky130_fd_sc_hd__a22o_2 _2566_ (
.A1(io_dmem_io_rdata[3]),
.A2(_2241_),
.B1(_2242_),
.B2(io_dmem_io_rdata[27]),
.X(_2268_)
);
sky130_fd_sc_hd__a22o_2 _2567_ (
.A1(io_dmem_io_rdata[19]),
.A2(_2239_),
.B1(_2240_),
.B2(_2268_),
.X(_2269_)
);
sky130_fd_sc_hd__mux2_2 _2568_ (
.A0(io_dmem_io_rdata[11]),
.A1(_2269_),
.S(_2245_),
.X(_2270_)
);
sky130_fd_sc_hd__a22o_2 _2569_ (
.A1(_2226_),
.A2(_2267_),
.B1(_2270_),
.B2(_2247_),
.X(io_dbus_rdata[3])
);
sky130_fd_sc_hd__a22o_2 _2570_ (
.A1(\spi.io_wbs_data_o[4] ),
.A2(_2227_),
.B1(io_motor_data_i[4]),
.B2(_2228_),
.X(_2271_)
);
sky130_fd_sc_hd__mux2_2 _2571_ (
.A0(_2271_),
.A1(\uart.io_wbs_data_o[4] ),
.S(_2230_),
.X(_2272_)
);
sky130_fd_sc_hd__a32o_2 _2572_ (
.A1(_2211_),
.A2(io_imem_io_rdata[28]),
.A3(_2215_),
.B1(_2217_),
.B2(io_imem_io_rdata[4]),
.X(_2273_)
);
sky130_fd_sc_hd__a32o_2 _2573_ (
.A1(_2221_),
.A2(io_imem_io_rdata[20]),
.A3(_2213_),
.B1(_2214_),
.B2(_2273_),
.X(_2274_)
);
sky130_fd_sc_hd__nand2_2 _2574_ (
.A(_2252_),
.B(io_imem_io_rdata[12]),
.Y(_2275_)
);
sky130_fd_sc_hd__nand2_2 _2575_ (
.A(_2220_),
.B(_2275_),
.Y(_2276_)
);
sky130_fd_sc_hd__o21a_2 _2576_ (
.A1(_2190_),
.A2(_2274_),
.B1(_2276_),
.X(_2277_)
);
sky130_fd_sc_hd__mux2_2 _2577_ (
.A0(_2272_),
.A1(_2277_),
.S(_2237_),
.X(_2278_)
);
sky130_fd_sc_hd__a22o_2 _2578_ (
.A1(io_dmem_io_rdata[4]),
.A2(_2241_),
.B1(_2242_),
.B2(io_dmem_io_rdata[28]),
.X(_2279_)
);
sky130_fd_sc_hd__a22o_2 _2579_ (
.A1(io_dmem_io_rdata[20]),
.A2(_2239_),
.B1(_2240_),
.B2(_2279_),
.X(_2280_)
);
sky130_fd_sc_hd__mux2_2 _2580_ (
.A0(io_dmem_io_rdata[12]),
.A1(_2280_),
.S(_2245_),
.X(_2281_)
);
sky130_fd_sc_hd__a22o_2 _2581_ (
.A1(_2226_),
.A2(_2278_),
.B1(_2281_),
.B2(_2247_),
.X(io_dbus_rdata[4])
);
sky130_fd_sc_hd__a22o_2 _2582_ (
.A1(\spi.io_wbs_data_o[5] ),
.A2(_2177_),
.B1(io_motor_data_i[5]),
.B2(_2179_),
.X(_2282_)
);
sky130_fd_sc_hd__mux2_2 _2583_ (
.A0(_2282_),
.A1(\uart.io_wbs_data_o[5] ),
.S(_2181_),
.X(_2283_)
);
sky130_fd_sc_hd__and2_2 _2584_ (
.A(_2114_),
.B(io_imem_io_rdata[29]),
.X(_2284_)
);
sky130_fd_sc_hd__a22o_2 _2585_ (
.A1(io_imem_io_rdata[5]),
.A2(_2216_),
.B1(_2200_),
.B2(_2284_),
.X(_2285_)
);
sky130_fd_sc_hd__a32o_2 _2586_ (
.A1(_2115_),
.A2(io_imem_io_rdata[21]),
.A3(_2193_),
.B1(_2196_),
.B2(_2285_),
.X(_2286_)
);
sky130_fd_sc_hd__and2_2 _2587_ (
.A(_2212_),
.B(io_imem_io_rdata[13]),
.X(_2287_)
);
sky130_fd_sc_hd__mux2_2 _2588_ (
.A0(_2286_),
.A1(_2287_),
.S(_2189_),
.X(_2288_)
);
sky130_fd_sc_hd__mux2_2 _2589_ (
.A0(_2283_),
.A1(_2288_),
.S(_2183_),
.X(_2289_)
);
sky130_fd_sc_hd__a22o_2 _2590_ (
.A1(io_dmem_io_rdata[5]),
.A2(_2241_),
.B1(_2242_),
.B2(io_dmem_io_rdata[29]),
.X(_2290_)
);
sky130_fd_sc_hd__a22o_2 _2591_ (
.A1(io_dmem_io_rdata[21]),
.A2(_2239_),
.B1(_2240_),
.B2(_2290_),
.X(_2291_)
);
sky130_fd_sc_hd__mux2_2 _2592_ (
.A0(io_dmem_io_rdata[13]),
.A1(_2291_),
.S(_2245_),
.X(_2292_)
);
sky130_fd_sc_hd__a22o_2 _2593_ (
.A1(_2226_),
.A2(_2289_),
.B1(_2292_),
.B2(_2247_),
.X(io_dbus_rdata[5])
);
sky130_fd_sc_hd__buf_1 _2594_ (
.A(_2185_),
.X(_2293_)
);
sky130_fd_sc_hd__a22o_2 _2595_ (
.A1(\spi.io_wbs_data_o[6] ),
.A2(_2177_),
.B1(io_motor_data_i[6]),
.B2(_2179_),
.X(_2294_)
);
sky130_fd_sc_hd__mux2_2 _2596_ (
.A0(_2294_),
.A1(\uart.io_wbs_data_o[6] ),
.S(_2181_),
.X(_2295_)
);
sky130_fd_sc_hd__a32o_2 _2597_ (
.A1(_2211_),
.A2(io_imem_io_rdata[30]),
.A3(_2215_),
.B1(_2217_),
.B2(io_imem_io_rdata[6]),
.X(_2296_)
);
sky130_fd_sc_hd__a32o_2 _2598_ (
.A1(_2221_),
.A2(io_imem_io_rdata[22]),
.A3(_2213_),
.B1(_2214_),
.B2(_2296_),
.X(_2297_)
);
sky130_fd_sc_hd__nand2_2 _2599_ (
.A(_2221_),
.B(io_imem_io_rdata[14]),
.Y(_0231_)
);
sky130_fd_sc_hd__nand2_2 _2600_ (
.A(_2220_),
.B(_0231_),
.Y(_0232_)
);
sky130_fd_sc_hd__o21a_2 _2601_ (
.A1(_2190_),
.A2(_2297_),
.B1(_0232_),
.X(_0233_)
);
sky130_fd_sc_hd__mux2_2 _2602_ (
.A0(_2295_),
.A1(_0233_),
.S(_2183_),
.X(_0234_)
);
sky130_fd_sc_hd__a22o_2 _2603_ (
.A1(io_dmem_io_rdata[6]),
.A2(_2199_),
.B1(_2201_),
.B2(io_dmem_io_rdata[30]),
.X(_0235_)
);
sky130_fd_sc_hd__a22o_2 _2604_ (
.A1(io_dmem_io_rdata[22]),
.A2(_2194_),
.B1(_2197_),
.B2(_0235_),
.X(_0236_)
);
sky130_fd_sc_hd__mux2_2 _2605_ (
.A0(io_dmem_io_rdata[14]),
.A1(_0236_),
.S(_2206_),
.X(_0237_)
);
sky130_fd_sc_hd__a22o_2 _2606_ (
.A1(_2293_),
.A2(_0234_),
.B1(_0237_),
.B2(_2208_),
.X(io_dbus_rdata[6])
);
sky130_fd_sc_hd__buf_1 _2607_ (
.A(dmem_sel),
.X(_0238_)
);
sky130_fd_sc_hd__a22o_2 _2608_ (
.A1(io_dmem_io_rdata[7]),
.A2(_2199_),
.B1(_2201_),
.B2(io_dmem_io_rdata[31]),
.X(_0239_)
);
sky130_fd_sc_hd__a22o_2 _2609_ (
.A1(io_dmem_io_rdata[23]),
.A2(_2194_),
.B1(_2197_),
.B2(_0239_),
.X(_0240_)
);
sky130_fd_sc_hd__mux2_2 _2610_ (
.A0(io_dmem_io_rdata[15]),
.A1(_0240_),
.S(_2206_),
.X(_0241_)
);
sky130_fd_sc_hd__a22o_2 _2611_ (
.A1(\spi.io_wbs_data_o[7] ),
.A2(_2227_),
.B1(io_motor_data_i[7]),
.B2(_2228_),
.X(_0242_)
);
sky130_fd_sc_hd__mux2_2 _2612_ (
.A0(_0242_),
.A1(\uart.io_wbs_data_o[7] ),
.S(_2230_),
.X(_0243_)
);
sky130_fd_sc_hd__a22o_2 _2613_ (
.A1(io_imem_io_rdata[7]),
.A2(_2198_),
.B1(_2200_),
.B2(io_imem_io_rdata[31]),
.X(_0244_)
);
sky130_fd_sc_hd__a22o_2 _2614_ (
.A1(io_imem_io_rdata[23]),
.A2(_2193_),
.B1(_2196_),
.B2(_0244_),
.X(_0245_)
);
sky130_fd_sc_hd__mux2_2 _2615_ (
.A0(io_imem_io_rdata[15]),
.A1(_0245_),
.S(_2205_),
.X(_0246_)
);
sky130_fd_sc_hd__a21bo_2 _2616_ (
.A1(_2116_),
.A2(_0246_),
.B1_N(_2183_),
.X(_0247_)
);
sky130_fd_sc_hd__o211a_2 _2617_ (
.A1(_2237_),
.A2(_0243_),
.B1(_0247_),
.C1(_2185_),
.X(_0248_)
);
sky130_fd_sc_hd__a31o_2 _2618_ (
.A1(_0238_),
.A2(\dmem.rd_resp ),
.A3(_0241_),
.B1(_0248_),
.X(io_dbus_rdata[7])
);
sky130_fd_sc_hd__buf_1 _2619_ (
.A(_0238_),
.X(_0249_)
);
sky130_fd_sc_hd__nor3b_2 _2620_ (
.A(uart_sel),
.B(imem_sel),
.C_N(_2179_),
.Y(_0250_)
);
sky130_fd_sc_hd__buf_1 _2621_ (
.A(_0250_),
.X(_0251_)
);
sky130_fd_sc_hd__buf_1 _2622_ (
.A(_0251_),
.X(_0252_)
);
sky130_fd_sc_hd__nand2_2 _2623_ (
.A(imem_sel),
.B(_2205_),
.Y(_0253_)
);
sky130_fd_sc_hd__or3b_2 _2624_ (
.A(_2187_),
.B(_2188_),
.C_N(\dmem.wb_select[0] ),
.X(_0254_)
);
sky130_fd_sc_hd__o221ai_2 _2625_ (
.A1(_2188_),
.A2(_2199_),
.B1(_0254_),
.B2(\dmem.wb_select[1] ),
.C1(_2196_),
.Y(_0255_)
);
sky130_fd_sc_hd__or2_2 _2626_ (
.A(_0253_),
.B(_0255_),
.X(_0256_)
);
sky130_fd_sc_hd__nand2_2 _2627_ (
.A(_2252_),
.B(io_imem_io_rdata[24]),
.Y(_0257_)
);
sky130_fd_sc_hd__nand2_2 _2628_ (
.A(_2187_),
.B(_2193_),
.Y(_0258_)
);
sky130_fd_sc_hd__buf_1 _2629_ (
.A(_0258_),
.X(_0259_)
);
sky130_fd_sc_hd__mux2_2 _2630_ (
.A0(_0257_),
.A1(_2222_),
.S(_0259_),
.X(_0260_)
);
sky130_fd_sc_hd__o2bb2a_2 _2631_ (
.A1_N(io_motor_data_i[8]),
.A2_N(_0252_),
.B1(_0256_),
.B2(_0260_),
.X(_0261_)
);
sky130_fd_sc_hd__buf_1 _2632_ (
.A(_0259_),
.X(_0262_)
);
sky130_fd_sc_hd__mux2_2 _2633_ (
.A0(io_dmem_io_rdata[24]),
.A1(io_dmem_io_rdata[8]),
.S(_0262_),
.X(_0263_)
);
sky130_fd_sc_hd__and3b_2 _2634_ (
.A_N(_0255_),
.B(_2205_),
.C(_2208_),
.X(_0264_)
);
sky130_fd_sc_hd__buf_1 _2635_ (
.A(_0264_),
.X(_0265_)
);
sky130_fd_sc_hd__buf_1 _2636_ (
.A(_0265_),
.X(_0266_)
);
sky130_fd_sc_hd__a2bb2o_2 _2637_ (
.A1_N(_0249_),
.A2_N(_0261_),
.B1(_0263_),
.B2(_0266_),
.X(io_dbus_rdata[8])
);
sky130_fd_sc_hd__nor2_2 _2638_ (
.A(_0253_),
.B(_0255_),
.Y(_0267_)
);
sky130_fd_sc_hd__buf_1 _2639_ (
.A(_0258_),
.X(_0268_)
);
sky130_fd_sc_hd__mux2_2 _2640_ (
.A0(_2232_),
.A1(_2235_),
.S(_0268_),
.X(_0269_)
);
sky130_fd_sc_hd__a22o_2 _2641_ (
.A1(io_motor_data_i[9]),
.A2(_0251_),
.B1(_0267_),
.B2(_0269_),
.X(_0270_)
);
sky130_fd_sc_hd__mux2_2 _2642_ (
.A0(io_dmem_io_rdata[25]),
.A1(io_dmem_io_rdata[9]),
.S(_0262_),
.X(_0271_)
);
sky130_fd_sc_hd__a22o_2 _2643_ (
.A1(_2293_),
.A2(_0270_),
.B1(_0271_),
.B2(_0266_),
.X(io_dbus_rdata[9])
);
sky130_fd_sc_hd__nand2_2 _2644_ (
.A(_2116_),
.B(io_imem_io_rdata[26]),
.Y(_0272_)
);
sky130_fd_sc_hd__mux2_2 _2645_ (
.A0(_0272_),
.A1(_2253_),
.S(_0268_),
.X(_0273_)
);
sky130_fd_sc_hd__o2bb2a_2 _2646_ (
.A1_N(io_motor_data_i[10]),
.A2_N(_0252_),
.B1(_0256_),
.B2(_0273_),
.X(_0274_)
);
sky130_fd_sc_hd__mux2_2 _2647_ (
.A0(io_dmem_io_rdata[26]),
.A1(io_dmem_io_rdata[10]),
.S(_0262_),
.X(_0275_)
);
sky130_fd_sc_hd__a2bb2o_2 _2648_ (
.A1_N(_0249_),
.A2_N(_0274_),
.B1(_0275_),
.B2(_0266_),
.X(io_dbus_rdata[10])
);
sky130_fd_sc_hd__mux2_2 _2649_ (
.A0(_2262_),
.A1(_2265_),
.S(_0268_),
.X(_0276_)
);
sky130_fd_sc_hd__a22o_2 _2650_ (
.A1(io_motor_data_i[11]),
.A2(_0251_),
.B1(_0267_),
.B2(_0276_),
.X(_0277_)
);
sky130_fd_sc_hd__mux2_2 _2651_ (
.A0(io_dmem_io_rdata[27]),
.A1(io_dmem_io_rdata[11]),
.S(_0259_),
.X(_0278_)
);
sky130_fd_sc_hd__a22o_2 _2652_ (
.A1(_2293_),
.A2(_0277_),
.B1(_0278_),
.B2(_0265_),
.X(io_dbus_rdata[11])
);
sky130_fd_sc_hd__nand2_2 _2653_ (
.A(_2116_),
.B(io_imem_io_rdata[28]),
.Y(_0279_)
);
sky130_fd_sc_hd__mux2_2 _2654_ (
.A0(_0279_),
.A1(_2275_),
.S(_0268_),
.X(_0280_)
);
sky130_fd_sc_hd__o2bb2a_2 _2655_ (
.A1_N(io_motor_data_i[12]),
.A2_N(_0252_),
.B1(_0256_),
.B2(_0280_),
.X(_0281_)
);
sky130_fd_sc_hd__mux2_2 _2656_ (
.A0(io_dmem_io_rdata[28]),
.A1(io_dmem_io_rdata[12]),
.S(_0262_),
.X(_0282_)
);
sky130_fd_sc_hd__a2bb2o_2 _2657_ (
.A1_N(_0249_),
.A2_N(_0281_),
.B1(_0282_),
.B2(_0266_),
.X(io_dbus_rdata[12])
);
sky130_fd_sc_hd__mux2_2 _2658_ (
.A0(_2284_),
.A1(_2287_),
.S(_0258_),
.X(_0283_)
);
sky130_fd_sc_hd__a22o_2 _2659_ (
.A1(io_motor_data_i[13]),
.A2(_0251_),
.B1(_0267_),
.B2(_0283_),
.X(_0284_)
);
sky130_fd_sc_hd__mux2_2 _2660_ (
.A0(io_dmem_io_rdata[29]),
.A1(io_dmem_io_rdata[13]),
.S(_0259_),
.X(_0285_)
);
sky130_fd_sc_hd__a22o_2 _2661_ (
.A1(_2293_),
.A2(_0284_),
.B1(_0285_),
.B2(_0265_),
.X(io_dbus_rdata[13])
);
sky130_fd_sc_hd__nand2_2 _2662_ (
.A(_2252_),
.B(io_imem_io_rdata[30]),
.Y(_0286_)
);
sky130_fd_sc_hd__mux2_2 _2663_ (
.A0(_0286_),
.A1(_0231_),
.S(_0268_),
.X(_0287_)
);
sky130_fd_sc_hd__o2bb2a_2 _2664_ (
.A1_N(io_motor_data_i[14]),
.A2_N(_0252_),
.B1(_0256_),
.B2(_0287_),
.X(_0288_)
);
sky130_fd_sc_hd__mux2_2 _2665_ (
.A0(io_dmem_io_rdata[30]),
.A1(io_dmem_io_rdata[14]),
.S(_0262_),
.X(_0289_)
);
sky130_fd_sc_hd__a2bb2o_2 _2666_ (
.A1_N(_0249_),
.A2_N(_0288_),
.B1(_0289_),
.B2(_0266_),
.X(io_dbus_rdata[14])
);
sky130_fd_sc_hd__buf_1 _2667_ (
.A(_2252_),
.X(_0290_)
);
sky130_fd_sc_hd__mux2_2 _2668_ (
.A0(io_imem_io_rdata[31]),
.A1(io_imem_io_rdata[15]),
.S(_0258_),
.X(_0291_)
);
sky130_fd_sc_hd__a32o_2 _2669_ (
.A1(_0290_),
.A2(_0267_),
.A3(_0291_),
.B1(io_motor_data_i[15]),
.B2(_0251_),
.X(_0292_)
);
sky130_fd_sc_hd__mux2_2 _2670_ (
.A0(io_dmem_io_rdata[31]),
.A1(io_dmem_io_rdata[15]),
.S(_0259_),
.X(_0293_)
);
sky130_fd_sc_hd__a22o_2 _2671_ (
.A1(_2293_),
.A2(_0292_),
.B1(_0293_),
.B2(_0265_),
.X(io_dbus_rdata[15])
);
sky130_fd_sc_hd__and3_2 _2672_ (
.A(_2196_),
.B(_2198_),
.C(_0254_),
.X(_0294_)
);
sky130_fd_sc_hd__or2b_2 _2673_ (
.A(_0253_),
.B_N(_0294_),
.X(_0295_)
);
sky130_fd_sc_hd__buf_1 _2674_ (
.A(_0295_),
.X(_0296_)
);
sky130_fd_sc_hd__buf_1 _2675_ (
.A(_2116_),
.X(_0297_)
);
sky130_fd_sc_hd__nand2_2 _2676_ (
.A(_0297_),
.B(io_imem_io_rdata[16]),
.Y(_0298_)
);
sky130_fd_sc_hd__o2bb2a_2 _2677_ (
.A1_N(io_motor_data_i[16]),
.A2_N(_0252_),
.B1(_0296_),
.B2(_0298_),
.X(_0299_)
);
sky130_fd_sc_hd__and3_2 _2678_ (
.A(_2206_),
.B(_2208_),
.C(_0294_),
.X(_0300_)
);
sky130_fd_sc_hd__buf_1 _2679_ (
.A(_0300_),
.X(_0301_)
);
sky130_fd_sc_hd__a2bb2o_2 _2680_ (
.A1_N(_0249_),
.A2_N(_0299_),
.B1(_0301_),
.B2(io_dmem_io_rdata[16]),
.X(io_dbus_rdata[16])
);
sky130_fd_sc_hd__buf_1 _2681_ (
.A(_0238_),
.X(_0302_)
);
sky130_fd_sc_hd__buf_1 _2682_ (
.A(_0250_),
.X(_0303_)
);
sky130_fd_sc_hd__nand2_2 _2683_ (
.A(_0290_),
.B(io_imem_io_rdata[17]),
.Y(_0304_)
);
sky130_fd_sc_hd__o2bb2a_2 _2684_ (
.A1_N(io_motor_data_i[17]),
.A2_N(_0303_),
.B1(_0304_),
.B2(_0296_),
.X(_0305_)
);
sky130_fd_sc_hd__a2bb2o_2 _2685_ (
.A1_N(_0302_),
.A2_N(_0305_),
.B1(_0301_),
.B2(io_dmem_io_rdata[17]),
.X(io_dbus_rdata[17])
);
sky130_fd_sc_hd__nand2_2 _2686_ (
.A(_0290_),
.B(io_imem_io_rdata[18]),
.Y(_0306_)
);
sky130_fd_sc_hd__buf_1 _2687_ (
.A(_0295_),
.X(_0307_)
);
sky130_fd_sc_hd__o2bb2a_2 _2688_ (
.A1_N(io_motor_data_i[18]),
.A2_N(_0303_),
.B1(_0306_),
.B2(_0307_),
.X(_0308_)
);
sky130_fd_sc_hd__a2bb2o_2 _2689_ (
.A1_N(_0302_),
.A2_N(_0308_),
.B1(_0301_),
.B2(io_dmem_io_rdata[18]),
.X(io_dbus_rdata[18])
);
sky130_fd_sc_hd__nand2_2 _2690_ (
.A(_0290_),
.B(io_imem_io_rdata[19]),
.Y(_0309_)
);
sky130_fd_sc_hd__o2bb2a_2 _2691_ (
.A1_N(io_motor_data_i[19]),
.A2_N(_0303_),
.B1(_0309_),
.B2(_0307_),
.X(_0310_)
);
sky130_fd_sc_hd__a2bb2o_2 _2692_ (
.A1_N(_0302_),
.A2_N(_0310_),
.B1(_0301_),
.B2(io_dmem_io_rdata[19]),
.X(io_dbus_rdata[19])
);
sky130_fd_sc_hd__nand2_2 _2693_ (
.A(_0290_),
.B(io_imem_io_rdata[20]),
.Y(_0311_)
);
sky130_fd_sc_hd__o2bb2a_2 _2694_ (
.A1_N(io_motor_data_i[20]),
.A2_N(_0303_),
.B1(_0311_),
.B2(_0307_),
.X(_0312_)
);
sky130_fd_sc_hd__a2bb2o_2 _2695_ (
.A1_N(_0302_),
.A2_N(_0312_),
.B1(_0301_),
.B2(io_dmem_io_rdata[20]),
.X(io_dbus_rdata[20])
);
sky130_fd_sc_hd__nand2_2 _2696_ (
.A(_0297_),
.B(io_imem_io_rdata[21]),
.Y(_0313_)
);
sky130_fd_sc_hd__o2bb2a_2 _2697_ (
.A1_N(io_motor_data_i[21]),
.A2_N(_0303_),
.B1(_0313_),
.B2(_0307_),
.X(_0314_)
);
sky130_fd_sc_hd__buf_1 _2698_ (
.A(_0300_),
.X(_0315_)
);
sky130_fd_sc_hd__a2bb2o_2 _2699_ (
.A1_N(_0302_),
.A2_N(_0314_),
.B1(_0315_),
.B2(io_dmem_io_rdata[21]),
.X(io_dbus_rdata[21])
);
sky130_fd_sc_hd__buf_1 _2700_ (
.A(_0238_),
.X(_0316_)
);
sky130_fd_sc_hd__buf_1 _2701_ (
.A(_0250_),
.X(_0317_)
);
sky130_fd_sc_hd__nand2_2 _2702_ (
.A(_0297_),
.B(io_imem_io_rdata[22]),
.Y(_0318_)
);
sky130_fd_sc_hd__o2bb2a_2 _2703_ (
.A1_N(io_motor_data_i[22]),
.A2_N(_0317_),
.B1(_0318_),
.B2(_0307_),
.X(_0319_)
);
sky130_fd_sc_hd__a2bb2o_2 _2704_ (
.A1_N(_0316_),
.A2_N(_0319_),
.B1(_0315_),
.B2(io_dmem_io_rdata[22]),
.X(io_dbus_rdata[22])
);
sky130_fd_sc_hd__nand2_2 _2705_ (
.A(_0297_),
.B(io_imem_io_rdata[23]),
.Y(_0320_)
);
sky130_fd_sc_hd__o2bb2a_2 _2706_ (
.A1_N(io_motor_data_i[23]),
.A2_N(_0317_),
.B1(_0296_),
.B2(_0320_),
.X(_0321_)
);
sky130_fd_sc_hd__a2bb2o_2 _2707_ (
.A1_N(_0316_),
.A2_N(_0321_),
.B1(_0315_),
.B2(io_dmem_io_rdata[23]),
.X(io_dbus_rdata[23])
);
sky130_fd_sc_hd__o2bb2a_2 _2708_ (
.A1_N(io_motor_data_i[24]),
.A2_N(_0317_),
.B1(_0296_),
.B2(_0257_),
.X(_0322_)
);
sky130_fd_sc_hd__a2bb2o_2 _2709_ (
.A1_N(_0316_),
.A2_N(_0322_),
.B1(_0315_),
.B2(io_dmem_io_rdata[24]),
.X(io_dbus_rdata[24])
);
sky130_fd_sc_hd__inv_2 _2710_ (
.A(_2232_),
.Y(_0323_)
);
sky130_fd_sc_hd__buf_1 _2711_ (
.A(_0295_),
.X(_0324_)
);
sky130_fd_sc_hd__o2bb2a_2 _2712_ (
.A1_N(io_motor_data_i[25]),
.A2_N(_0317_),
.B1(_0323_),
.B2(_0324_),
.X(_0325_)
);
sky130_fd_sc_hd__a2bb2o_2 _2713_ (
.A1_N(_0316_),
.A2_N(_0325_),
.B1(_0315_),
.B2(io_dmem_io_rdata[25]),
.X(io_dbus_rdata[25])
);
sky130_fd_sc_hd__o2bb2a_2 _2714_ (
.A1_N(io_motor_data_i[26]),
.A2_N(_0317_),
.B1(_0272_),
.B2(_0324_),
.X(_0326_)
);
sky130_fd_sc_hd__buf_1 _2715_ (
.A(_0300_),
.X(_0327_)
);
sky130_fd_sc_hd__a2bb2o_2 _2716_ (
.A1_N(_0316_),
.A2_N(_0326_),
.B1(_0327_),
.B2(io_dmem_io_rdata[26]),
.X(io_dbus_rdata[26])
);
sky130_fd_sc_hd__buf_1 _2717_ (
.A(_0238_),
.X(_0328_)
);
sky130_fd_sc_hd__buf_1 _2718_ (
.A(_0250_),
.X(_0329_)
);
sky130_fd_sc_hd__inv_2 _2719_ (
.A(_2262_),
.Y(_0330_)
);
sky130_fd_sc_hd__o2bb2a_2 _2720_ (
.A1_N(io_motor_data_i[27]),
.A2_N(_0329_),
.B1(_0330_),
.B2(_0324_),
.X(_0331_)
);
sky130_fd_sc_hd__a2bb2o_2 _2721_ (
.A1_N(_0328_),
.A2_N(_0331_),
.B1(_0327_),
.B2(io_dmem_io_rdata[27]),
.X(io_dbus_rdata[27])
);
sky130_fd_sc_hd__o2bb2a_2 _2722_ (
.A1_N(io_motor_data_i[28]),
.A2_N(_0329_),
.B1(_0279_),
.B2(_0324_),
.X(_0332_)
);
sky130_fd_sc_hd__a2bb2o_2 _2723_ (
.A1_N(_0328_),
.A2_N(_0332_),
.B1(_0327_),
.B2(io_dmem_io_rdata[28]),
.X(io_dbus_rdata[28])
);
sky130_fd_sc_hd__inv_2 _2724_ (
.A(_2284_),
.Y(_0333_)
);
sky130_fd_sc_hd__o2bb2a_2 _2725_ (
.A1_N(io_motor_data_i[29]),
.A2_N(_0329_),
.B1(_0333_),
.B2(_0324_),
.X(_0334_)
);
sky130_fd_sc_hd__a2bb2o_2 _2726_ (
.A1_N(_0328_),
.A2_N(_0334_),
.B1(_0327_),
.B2(io_dmem_io_rdata[29]),
.X(io_dbus_rdata[29])
);
sky130_fd_sc_hd__o2bb2a_2 _2727_ (
.A1_N(io_motor_data_i[30]),
.A2_N(_0329_),
.B1(_0286_),
.B2(_0295_),
.X(_0335_)
);
sky130_fd_sc_hd__a2bb2o_2 _2728_ (
.A1_N(_0328_),
.A2_N(_0335_),
.B1(_0327_),
.B2(io_dmem_io_rdata[30]),
.X(io_dbus_rdata[30])
);
sky130_fd_sc_hd__nand2_2 _2729_ (
.A(_0297_),
.B(io_imem_io_rdata[31]),
.Y(_0336_)
);
sky130_fd_sc_hd__o2bb2a_2 _2730_ (
.A1_N(io_motor_data_i[31]),
.A2_N(_0329_),
.B1(_0296_),
.B2(_0336_),
.X(_0337_)
);
sky130_fd_sc_hd__a2bb2o_2 _2731_ (
.A1_N(_0328_),
.A2_N(_0337_),
.B1(_0300_),
.B2(io_dmem_io_rdata[31]),
.X(io_dbus_rdata[31])
);
sky130_fd_sc_hd__inv_2 _2732_ (
.A(io_dbus_wr_en),
.Y(_0338_)
);
sky130_fd_sc_hd__or2_2 _2733_ (
.A(_0338_),
.B(_2078_),
.X(_0339_)
);
sky130_fd_sc_hd__buf_1 _2734_ (
.A(_0339_),
.X(io_imem_io_wr_en)
);
sky130_fd_sc_hd__inv_2 _2735_ (
.A(reset),
.Y(_0340_)
);
sky130_fd_sc_hd__buf_1 _2736_ (
.A(_0340_),
.X(_0341_)
);
sky130_fd_sc_hd__buf_1 _2737_ (
.A(_0341_),
.X(_0342_)
);
sky130_fd_sc_hd__buf_1 _2738_ (
.A(_0342_),
.X(_0343_)
);
sky130_fd_sc_hd__buf_1 _2739_ (
.A(_0343_),
.X(_0005_)
);
sky130_fd_sc_hd__inv_2 _2740_ (
.A(\spi.reg_ssmask ),
.Y(io_spi_cs)
);
sky130_fd_sc_hd__buf_1 _2741_ (
.A(_2063_),
.X(_0344_)
);
sky130_fd_sc_hd__or4b_2 _2742_ (
.A(io_dbus_addr[13]),
.B(io_dbus_addr[15]),
.C(io_dbus_addr[14]),
.D_N(io_dbus_addr[12]),
.X(_0345_)
);
sky130_fd_sc_hd__nor2_2 _2743_ (
.A(_0344_),
.B(_0345_),
.Y(io_dmem_io_cs)
);
sky130_fd_sc_hd__or2_2 _2744_ (
.A(_0338_),
.B(_0345_),
.X(_0346_)
);
sky130_fd_sc_hd__buf_1 _2745_ (
.A(_0346_),
.X(io_dmem_io_wr_en)
);
sky130_fd_sc_hd__o21ai_2 _2746_ (
.A1(_2063_),
.A2(_2078_),
.B1(_2112_),
.Y(io_imem_io_cs)
);
sky130_fd_sc_hd__or2_2 _2747_ (
.A(\imem.imem_ibus_valid ),
.B(_2111_),
.X(_0347_)
);
sky130_fd_sc_hd__buf_1 _2748_ (
.A(_0347_),
.X(io_ibus_valid)
);
sky130_fd_sc_hd__a22o_2 _2749_ (
.A1(\spi.bit_itoe ),
.A2(\spi.bit_toe ),
.B1(\spi.bit_roe ),
.B2(\spi.bit_iroe ),
.X(_0348_)
);
sky130_fd_sc_hd__a22o_2 _2750_ (
.A1(\spi.bit_itrdy ),
.A2(\spi.bit_trdy ),
.B1(\spi.bit_rrdy ),
.B2(\spi.bit_irrdy ),
.X(_0349_)
);
sky130_fd_sc_hd__a21o_2 _2751_ (
.A1(\spi.bit_ie ),
.A2(_0348_),
.B1(_0349_),
.X(io_spi_irq)
);
sky130_fd_sc_hd__or4b_2 _2752_ (
.A(io_dbus_addr[12]),
.B(io_dbus_addr[15]),
.C(io_dbus_addr[14]),
.D_N(io_dbus_addr[13]),
.X(_0350_)
);
sky130_fd_sc_hd__nor2_2 _2753_ (
.A(_0344_),
.B(_0350_),
.Y(_0003_)
);
sky130_fd_sc_hd__or4bb_2 _2754_ (
.A(io_dbus_addr[15]),
.B(io_dbus_addr[14]),
.C_N(io_dbus_addr[12]),
.D_N(io_dbus_addr[13]),
.X(_0351_)
);
sky130_fd_sc_hd__buf_1 _2755_ (
.A(_0351_),
.X(_0352_)
);
sky130_fd_sc_hd__nor2_2 _2756_ (
.A(_0344_),
.B(_0352_),
.Y(_0002_)
);
sky130_fd_sc_hd__nor2_2 _2757_ (
.A(_2063_),
.B(_2067_),
.Y(_0001_)
);
sky130_fd_sc_hd__nand2_2 _2758_ (
.A(io_dbus_rd_en),
.B(_0338_),
.Y(_0353_)
);
sky130_fd_sc_hd__buf_1 _2759_ (
.A(_0353_),
.X(_0354_)
);
sky130_fd_sc_hd__nor2_2 _2760_ (
.A(_0345_),
.B(_0354_),
.Y(_0004_)
);
sky130_fd_sc_hd__buf_1 _2761_ (
.A(io_ibus_addr[2]),
.X(_0355_)
);
sky130_fd_sc_hd__buf_1 _2762_ (
.A(io_ibus_addr[3]),
.X(_0356_)
);
sky130_fd_sc_hd__buf_1 _2763_ (
.A(io_ibus_addr[4]),
.X(_0357_)
);
sky130_fd_sc_hd__buf_1 _2764_ (
.A(io_ibus_addr[5]),
.X(_0358_)
);
sky130_fd_sc_hd__or4_2 _2765_ (
.A(_0355_),
.B(_0356_),
.C(_0357_),
.D(_0358_),
.X(_0359_)
);
sky130_fd_sc_hd__buf_1 _2766_ (
.A(_0359_),
.X(_0360_)
);
sky130_fd_sc_hd__buf_1 _2767_ (
.A(_0360_),
.X(_0361_)
);
sky130_fd_sc_hd__buf_1 _2768_ (
.A(_0361_),
.X(_0362_)
);
sky130_fd_sc_hd__or2_2 _2769_ (
.A(_2100_),
.B(_2102_),
.X(_0363_)
);
sky130_fd_sc_hd__or3_2 _2770_ (
.A(_2105_),
.B(_2108_),
.C(_0363_),
.X(_0364_)
);
sky130_fd_sc_hd__buf_1 _2771_ (
.A(_0364_),
.X(_0365_)
);
sky130_fd_sc_hd__buf_1 _2772_ (
.A(_0365_),
.X(_0366_)
);
sky130_fd_sc_hd__buf_1 _2773_ (
.A(_0366_),
.X(_0367_)
);
sky130_fd_sc_hd__buf_1 _2774_ (
.A(_0367_),
.X(_0368_)
);
sky130_fd_sc_hd__buf_1 _2775_ (
.A(_0368_),
.X(_0369_)
);
sky130_fd_sc_hd__inv_2 _2776_ (
.A(_2082_),
.Y(_0370_)
);
sky130_fd_sc_hd__and2b_2 _2777_ (
.A_N(_2090_),
.B(_2095_),
.X(_0371_)
);
sky130_fd_sc_hd__buf_1 _2778_ (
.A(_0371_),
.X(_0372_)
);
sky130_fd_sc_hd__nand2_2 _2779_ (
.A(_0370_),
.B(_0372_),
.Y(_0373_)
);
sky130_fd_sc_hd__buf_1 _2780_ (
.A(_0373_),
.X(_0374_)
);
sky130_fd_sc_hd__nand4b_2 _2781_ (
.A_N(io_ibus_addr[7]),
.B(io_ibus_addr[8]),
.C(io_ibus_addr[9]),
.D(io_ibus_addr[6]),
.Y(_0375_)
);
sky130_fd_sc_hd__buf_1 _2782_ (
.A(_0375_),
.X(_0376_)
);
sky130_fd_sc_hd__buf_1 _2783_ (
.A(_0376_),
.X(_0377_)
);
sky130_fd_sc_hd__buf_1 _2784_ (
.A(_0377_),
.X(_0378_)
);
sky130_fd_sc_hd__a21oi_2 _2785_ (
.A1(_2098_),
.A2(_0374_),
.B1(_0378_),
.Y(_0379_)
);
sky130_fd_sc_hd__or2b_2 _2786_ (
.A(_2100_),
.B_N(_2102_),
.X(_0380_)
);
sky130_fd_sc_hd__or2b_2 _2787_ (
.A(_2104_),
.B_N(_2107_),
.X(_0381_)
);
sky130_fd_sc_hd__or2_2 _2788_ (
.A(_0380_),
.B(_0381_),
.X(_0382_)
);
sky130_fd_sc_hd__buf_1 _2789_ (
.A(_0382_),
.X(_0383_)
);
sky130_fd_sc_hd__buf_1 _2790_ (
.A(_0383_),
.X(_0384_)
);
sky130_fd_sc_hd__nor2_2 _2791_ (
.A(_2090_),
.B(_2095_),
.Y(_0385_)
);
sky130_fd_sc_hd__nor2_2 _2792_ (
.A(_2069_),
.B(_0370_),
.Y(_0386_)
);
sky130_fd_sc_hd__buf_1 _2793_ (
.A(_0386_),
.X(_0387_)
);
sky130_fd_sc_hd__nand2_2 _2794_ (
.A(_0385_),
.B(_0387_),
.Y(_0388_)
);
sky130_fd_sc_hd__nor2_2 _2795_ (
.A(_0384_),
.B(_0388_),
.Y(_0389_)
);
sky130_fd_sc_hd__or3_2 _2796_ (
.A(_0356_),
.B(_0357_),
.C(_0358_),
.X(_0390_)
);
sky130_fd_sc_hd__buf_1 _2797_ (
.A(_0390_),
.X(_0391_)
);
sky130_fd_sc_hd__buf_1 _2798_ (
.A(_0384_),
.X(_0392_)
);
sky130_fd_sc_hd__buf_1 _2799_ (
.A(_0392_),
.X(_0393_)
);
sky130_fd_sc_hd__nor2_2 _2800_ (
.A(_0391_),
.B(_0393_),
.Y(_0394_)
);
sky130_fd_sc_hd__or2_2 _2801_ (
.A(_0389_),
.B(_0394_),
.X(_0395_)
);
sky130_fd_sc_hd__nand4b_2 _2802_ (
.A_N(_0358_),
.B(_2089_),
.C(_2081_),
.D(_2068_),
.Y(_0396_)
);
sky130_fd_sc_hd__buf_1 _2803_ (
.A(_0396_),
.X(_0397_)
);
sky130_fd_sc_hd__nand4b_2 _2804_ (
.A_N(io_ibus_addr[8]),
.B(io_ibus_addr[9]),
.C(io_ibus_addr[6]),
.D(io_ibus_addr[7]),
.Y(_0398_)
);
sky130_fd_sc_hd__buf_1 _2805_ (
.A(_0398_),
.X(_0399_)
);
sky130_fd_sc_hd__buf_1 _2806_ (
.A(_0399_),
.X(_0400_)
);
sky130_fd_sc_hd__a21oi_2 _2807_ (
.A1(_0397_),
.A2(_0373_),
.B1(_0400_),
.Y(_0401_)
);
sky130_fd_sc_hd__buf_1 _2808_ (
.A(_0398_),
.X(_0402_)
);
sky130_fd_sc_hd__or4b_2 _2809_ (
.A(_0355_),
.B(_0356_),
.C(_2094_),
.D_N(_0357_),
.X(_0403_)
);
sky130_fd_sc_hd__nor2_2 _2810_ (
.A(_0402_),
.B(_0403_),
.Y(_0404_)
);
sky130_fd_sc_hd__or4bb_2 _2811_ (
.A(_0356_),
.B(_2094_),
.C_N(_0357_),
.D_N(_0355_),
.X(_0405_)
);
sky130_fd_sc_hd__nor2_2 _2812_ (
.A(_0399_),
.B(_0405_),
.Y(_0406_)
);
sky130_fd_sc_hd__or2_2 _2813_ (
.A(_0404_),
.B(_0406_),
.X(_0407_)
);
sky130_fd_sc_hd__nand3b_2 _2814_ (
.A_N(_2089_),
.B(_2095_),
.C(_2081_),
.Y(_0408_)
);
sky130_fd_sc_hd__nor2_2 _2815_ (
.A(_0402_),
.B(_0408_),
.Y(_0409_)
);
sky130_fd_sc_hd__or3_2 _2816_ (
.A(_0401_),
.B(_0407_),
.C(_0409_),
.X(_0410_)
);
sky130_fd_sc_hd__nor2_2 _2817_ (
.A(_0380_),
.B(_0381_),
.Y(_0411_)
);
sky130_fd_sc_hd__buf_1 _2818_ (
.A(_0411_),
.X(_0412_)
);
sky130_fd_sc_hd__and4bb_2 _2819_ (
.A_N(io_ibus_addr[6]),
.B_N(io_ibus_addr[7]),
.C(io_ibus_addr[8]),
.D(io_ibus_addr[9]),
.X(_0413_)
);
sky130_fd_sc_hd__buf_1 _2820_ (
.A(_0413_),
.X(_0414_)
);
sky130_fd_sc_hd__buf_1 _2821_ (
.A(_0403_),
.X(_0415_)
);
sky130_fd_sc_hd__nor2_2 _2822_ (
.A(_0392_),
.B(_0415_),
.Y(_0416_)
);
sky130_fd_sc_hd__buf_1 _2823_ (
.A(_0397_),
.X(_0417_)
);
sky130_fd_sc_hd__nor2_2 _2824_ (
.A(_0384_),
.B(_0417_),
.Y(_0418_)
);
sky130_fd_sc_hd__nand2_2 _2825_ (
.A(_2091_),
.B(_2096_),
.Y(_0419_)
);
sky130_fd_sc_hd__or2_2 _2826_ (
.A(_0400_),
.B(_0419_),
.X(_0420_)
);
sky130_fd_sc_hd__or4b_2 _2827_ (
.A(_0414_),
.B(_0416_),
.C(_0418_),
.D_N(_0420_),
.X(_0421_)
);
sky130_fd_sc_hd__buf_1 _2828_ (
.A(_0400_),
.X(_0422_)
);
sky130_fd_sc_hd__buf_1 _2829_ (
.A(_0422_),
.X(_0423_)
);
sky130_fd_sc_hd__buf_1 _2830_ (
.A(_0423_),
.X(_0424_)
);
sky130_fd_sc_hd__or4bb_2 _2831_ (
.A(_2068_),
.B(_0358_),
.C_N(_2089_),
.D_N(_2081_),
.X(_0425_)
);
sky130_fd_sc_hd__buf_1 _2832_ (
.A(_0425_),
.X(_0426_)
);
sky130_fd_sc_hd__buf_1 _2833_ (
.A(_0426_),
.X(_0427_)
);
sky130_fd_sc_hd__a21oi_2 _2834_ (
.A1(_0393_),
.A2(_0424_),
.B1(_0427_),
.Y(_0428_)
);
sky130_fd_sc_hd__or4bb_2 _2835_ (
.A(io_ibus_addr[4]),
.B(io_ibus_addr[5]),
.C_N(io_ibus_addr[2]),
.D_N(io_ibus_addr[3]),
.X(_0429_)
);
sky130_fd_sc_hd__buf_1 _2836_ (
.A(_0429_),
.X(_0430_)
);
sky130_fd_sc_hd__buf_1 _2837_ (
.A(_0430_),
.X(_0431_)
);
sky130_fd_sc_hd__nor2_2 _2838_ (
.A(_0392_),
.B(_0431_),
.Y(_0432_)
);
sky130_fd_sc_hd__nor2_2 _2839_ (
.A(_0383_),
.B(_0405_),
.Y(_0433_)
);
sky130_fd_sc_hd__buf_1 _2840_ (
.A(_0433_),
.X(_0434_)
);
sky130_fd_sc_hd__or4_2 _2841_ (
.A(_0421_),
.B(_0428_),
.C(_0432_),
.D(_0434_),
.X(_0435_)
);
sky130_fd_sc_hd__nand2_2 _2842_ (
.A(_2100_),
.B(_2102_),
.Y(_0436_)
);
sky130_fd_sc_hd__or3_2 _2843_ (
.A(_2104_),
.B(_2107_),
.C(_0436_),
.X(_0437_)
);
sky130_fd_sc_hd__buf_1 _2844_ (
.A(_0437_),
.X(_0438_)
);
sky130_fd_sc_hd__buf_1 _2845_ (
.A(_0438_),
.X(_0439_)
);
sky130_fd_sc_hd__inv_2 _2846_ (
.A(_0439_),
.Y(_0440_)
);
sky130_fd_sc_hd__buf_1 _2847_ (
.A(_0440_),
.X(_0441_)
);
sky130_fd_sc_hd__or2_2 _2848_ (
.A(_2090_),
.B(_2095_),
.X(_0442_)
);
sky130_fd_sc_hd__buf_1 _2849_ (
.A(_0442_),
.X(_0443_)
);
sky130_fd_sc_hd__nor2_2 _2850_ (
.A(_0443_),
.B(_0423_),
.Y(_0444_)
);
sky130_fd_sc_hd__a2111o_2 _2851_ (
.A1(_2098_),
.A2(_0412_),
.B1(_0435_),
.C1(_0441_),
.D1(_0444_),
.X(_0445_)
);
sky130_fd_sc_hd__or3_2 _2852_ (
.A(_0395_),
.B(_0410_),
.C(_0445_),
.X(_0446_)
);
sky130_fd_sc_hd__or4bb_2 _2853_ (
.A(_0379_),
.B(_0446_),
.C_N(_2108_),
.D_N(_2105_),
.X(_0447_)
);
sky130_fd_sc_hd__o21a_2 _2854_ (
.A1(_0362_),
.A2(_0369_),
.B1(_0447_),
.X(_0448_)
);
sky130_fd_sc_hd__buf_1 _2855_ (
.A(_0448_),
.X(_0449_)
);
sky130_fd_sc_hd__or2b_2 _2856_ (
.A(_2107_),
.B_N(_2104_),
.X(_0450_)
);
sky130_fd_sc_hd__or2_2 _2857_ (
.A(_0436_),
.B(_0450_),
.X(_0451_)
);
sky130_fd_sc_hd__nor2_2 _2858_ (
.A(_0419_),
.B(_0451_),
.Y(_0452_)
);
sky130_fd_sc_hd__buf_1 _2859_ (
.A(_0452_),
.X(_0453_)
);
sky130_fd_sc_hd__buf_1 _2860_ (
.A(_0453_),
.X(_0454_)
);
sky130_fd_sc_hd__or2b_2 _2861_ (
.A(_2096_),
.B_N(_2091_),
.X(_0455_)
);
sky130_fd_sc_hd__buf_1 _2862_ (
.A(_0455_),
.X(_0456_)
);
sky130_fd_sc_hd__or4b_2 _2863_ (
.A(io_ibus_addr[2]),
.B(io_ibus_addr[3]),
.C(io_ibus_addr[4]),
.D_N(io_ibus_addr[5]),
.X(_0457_)
);
sky130_fd_sc_hd__buf_1 _2864_ (
.A(_0457_),
.X(_0458_)
);
sky130_fd_sc_hd__buf_1 _2865_ (
.A(_0458_),
.X(_0459_)
);
sky130_fd_sc_hd__buf_1 _2866_ (
.A(_0459_),
.X(_0460_)
);
sky130_fd_sc_hd__buf_1 _2867_ (
.A(_0460_),
.X(_0461_)
);
sky130_fd_sc_hd__buf_1 _2868_ (
.A(_0461_),
.X(_0462_)
);
sky130_fd_sc_hd__or2_2 _2869_ (
.A(_0363_),
.B(_0381_),
.X(_0463_)
);
sky130_fd_sc_hd__buf_1 _2870_ (
.A(_0463_),
.X(_0464_)
);
sky130_fd_sc_hd__buf_1 _2871_ (
.A(_0464_),
.X(_0465_)
);
sky130_fd_sc_hd__buf_1 _2872_ (
.A(_0465_),
.X(_0466_)
);
sky130_fd_sc_hd__buf_1 _2873_ (
.A(_0466_),
.X(_0467_)
);
sky130_fd_sc_hd__a21oi_2 _2874_ (
.A1(_0456_),
.A2(_0462_),
.B1(_0467_),
.Y(_0468_)
);
sky130_fd_sc_hd__buf_1 _2875_ (
.A(_0443_),
.X(_0469_)
);
sky130_fd_sc_hd__nor2_2 _2876_ (
.A(_0469_),
.B(_0467_),
.Y(_0470_)
);
sky130_fd_sc_hd__buf_1 _2877_ (
.A(_0370_),
.X(_0471_)
);
sky130_fd_sc_hd__nand2b_2 _2878_ (
.A_N(_2090_),
.B(_2096_),
.Y(_0472_)
);
sky130_fd_sc_hd__nor2_2 _2879_ (
.A(_0472_),
.B(_0465_),
.Y(_0473_)
);
sky130_fd_sc_hd__buf_1 _2880_ (
.A(_0408_),
.X(_0474_)
);
sky130_fd_sc_hd__or4bb_2 _2881_ (
.A(_0355_),
.B(io_ibus_addr[3]),
.C_N(io_ibus_addr[4]),
.D_N(_2094_),
.X(_0475_)
);
sky130_fd_sc_hd__a21oi_2 _2882_ (
.A1(_0474_),
.A2(_0475_),
.B1(_0463_),
.Y(_0476_)
);
sky130_fd_sc_hd__a31o_2 _2883_ (
.A1(_2071_),
.A2(_0471_),
.A3(_0473_),
.B1(_0476_),
.X(_0477_)
);
sky130_fd_sc_hd__a2111o_2 _2884_ (
.A1(_2087_),
.A2(_0454_),
.B1(_0468_),
.C1(_0470_),
.D1(_0477_),
.X(_0478_)
);
sky130_fd_sc_hd__nor2b_2 _2885_ (
.A(_2082_),
.B_N(_2068_),
.Y(_0479_)
);
sky130_fd_sc_hd__and2_2 _2886_ (
.A(_0357_),
.B(_2094_),
.X(_0480_)
);
sky130_fd_sc_hd__buf_1 _2887_ (
.A(_0480_),
.X(_0481_)
);
sky130_fd_sc_hd__nand2_2 _2888_ (
.A(_0479_),
.B(_0481_),
.Y(_0482_)
);
sky130_fd_sc_hd__buf_1 _2889_ (
.A(_0482_),
.X(_0483_)
);
sky130_fd_sc_hd__nor2_2 _2890_ (
.A(_0465_),
.B(_0483_),
.Y(_0484_)
);
sky130_fd_sc_hd__or2_2 _2891_ (
.A(_0478_),
.B(_0484_),
.X(_0485_)
);
sky130_fd_sc_hd__and2_2 _2892_ (
.A(_2069_),
.B(_2082_),
.X(_0486_)
);
sky130_fd_sc_hd__buf_1 _2893_ (
.A(_0486_),
.X(_0487_)
);
sky130_fd_sc_hd__nand2_2 _2894_ (
.A(_0487_),
.B(_0480_),
.Y(_0488_)
);
sky130_fd_sc_hd__buf_1 _2895_ (
.A(_0488_),
.X(_0489_)
);
sky130_fd_sc_hd__buf_2 _2896_ (
.A(_0489_),
.X(_0490_)
);
sky130_fd_sc_hd__buf_1 _2897_ (
.A(_0450_),
.X(_0491_)
);
sky130_fd_sc_hd__or2_2 _2898_ (
.A(_0363_),
.B(_0491_),
.X(_0492_)
);
sky130_fd_sc_hd__buf_1 _2899_ (
.A(_0492_),
.X(_0493_)
);
sky130_fd_sc_hd__nor2_2 _2900_ (
.A(_0490_),
.B(_0493_),
.Y(_0494_)
);
sky130_fd_sc_hd__nand2_2 _2901_ (
.A(_2073_),
.B(_2085_),
.Y(_0495_)
);
sky130_fd_sc_hd__buf_1 _2902_ (
.A(_0481_),
.X(_0496_)
);
sky130_fd_sc_hd__nor2_2 _2903_ (
.A(_0363_),
.B(_0491_),
.Y(_0497_)
);
sky130_fd_sc_hd__nand2_2 _2904_ (
.A(_0486_),
.B(_0372_),
.Y(_0498_)
);
sky130_fd_sc_hd__buf_1 _2905_ (
.A(_0498_),
.X(_0499_)
);
sky130_fd_sc_hd__buf_1 _2906_ (
.A(_0499_),
.X(_0500_)
);
sky130_fd_sc_hd__nor2_2 _2907_ (
.A(_0500_),
.B(_0493_),
.Y(_0501_)
);
sky130_fd_sc_hd__a31o_2 _2908_ (
.A1(_0495_),
.A2(_0496_),
.A3(_0497_),
.B1(_0501_),
.X(_0502_)
);
sky130_fd_sc_hd__or2_2 _2909_ (
.A(_0494_),
.B(_0502_),
.X(_0503_)
);
sky130_fd_sc_hd__buf_1 _2910_ (
.A(_0415_),
.X(_0504_)
);
sky130_fd_sc_hd__buf_1 _2911_ (
.A(_0504_),
.X(_0505_)
);
sky130_fd_sc_hd__buf_1 _2912_ (
.A(_0405_),
.X(_0506_)
);
sky130_fd_sc_hd__buf_1 _2913_ (
.A(_0506_),
.X(_0507_)
);
sky130_fd_sc_hd__buf_1 _2914_ (
.A(_0507_),
.X(_0508_)
);
sky130_fd_sc_hd__buf_1 _2915_ (
.A(_0431_),
.X(_0509_)
);
sky130_fd_sc_hd__a31oi_2 _2916_ (
.A1(_0505_),
.A2(_0508_),
.A3(_0509_),
.B1(_0367_),
.Y(_0510_)
);
sky130_fd_sc_hd__nand2_2 _2917_ (
.A(_0385_),
.B(_0479_),
.Y(_0511_)
);
sky130_fd_sc_hd__buf_1 _2918_ (
.A(_0511_),
.X(_0512_)
);
sky130_fd_sc_hd__a21oi_2 _2919_ (
.A1(_0512_),
.A2(_0388_),
.B1(_0451_),
.Y(_0513_)
);
sky130_fd_sc_hd__or2_2 _2920_ (
.A(_0380_),
.B(_0450_),
.X(_0514_)
);
sky130_fd_sc_hd__nor2_2 _2921_ (
.A(_0489_),
.B(_0514_),
.Y(_0515_)
);
sky130_fd_sc_hd__nor2_2 _2922_ (
.A(_0360_),
.B(_0451_),
.Y(_0516_)
);
sky130_fd_sc_hd__or2_2 _2923_ (
.A(_0515_),
.B(_0516_),
.X(_0517_)
);
sky130_fd_sc_hd__or2_2 _2924_ (
.A(_0513_),
.B(_0517_),
.X(_0518_)
);
sky130_fd_sc_hd__or4_2 _2925_ (
.A(_0485_),
.B(_0503_),
.C(_0510_),
.D(_0518_),
.X(_0519_)
);
sky130_fd_sc_hd__nor2_2 _2926_ (
.A(_0374_),
.B(_0492_),
.Y(_0520_)
);
sky130_fd_sc_hd__buf_2 _2927_ (
.A(_0417_),
.X(_0521_)
);
sky130_fd_sc_hd__nor2_2 _2928_ (
.A(_0521_),
.B(_0492_),
.Y(_0522_)
);
sky130_fd_sc_hd__or2_2 _2929_ (
.A(_0520_),
.B(_0522_),
.X(_0523_)
);
sky130_fd_sc_hd__nor2_2 _2930_ (
.A(_0419_),
.B(_0465_),
.Y(_0524_)
);
sky130_fd_sc_hd__or2b_2 _2931_ (
.A(_2102_),
.B_N(_2100_),
.X(_0525_)
);
sky130_fd_sc_hd__or2_2 _2932_ (
.A(_0381_),
.B(_0525_),
.X(_0526_)
);
sky130_fd_sc_hd__buf_1 _2933_ (
.A(_0526_),
.X(_0527_)
);
sky130_fd_sc_hd__buf_1 _2934_ (
.A(_0527_),
.X(_0528_)
);
sky130_fd_sc_hd__buf_1 _2935_ (
.A(_0528_),
.X(_0529_)
);
sky130_fd_sc_hd__nor2_2 _2936_ (
.A(_0360_),
.B(_0529_),
.Y(_0530_)
);
sky130_fd_sc_hd__nor2_2 _2937_ (
.A(_0511_),
.B(_0527_),
.Y(_0531_)
);
sky130_fd_sc_hd__a211o_2 _2938_ (
.A1(_2084_),
.A2(_0524_),
.B1(_0530_),
.C1(_0531_),
.X(_0532_)
);
sky130_fd_sc_hd__or2_2 _2939_ (
.A(_0523_),
.B(_0532_),
.X(_0533_)
);
sky130_fd_sc_hd__nand2_2 _2940_ (
.A(_0386_),
.B(_0372_),
.Y(_0534_)
);
sky130_fd_sc_hd__nand2_2 _2941_ (
.A(_0479_),
.B(_0371_),
.Y(_0535_)
);
sky130_fd_sc_hd__or2_2 _2942_ (
.A(_0525_),
.B(_0491_),
.X(_0536_)
);
sky130_fd_sc_hd__buf_1 _2943_ (
.A(_0536_),
.X(_0537_)
);
sky130_fd_sc_hd__a21oi_2 _2944_ (
.A1(_0534_),
.A2(_0535_),
.B1(_0537_),
.Y(_0538_)
);
sky130_fd_sc_hd__nand2_2 _2945_ (
.A(_0471_),
.B(_0481_),
.Y(_0539_)
);
sky130_fd_sc_hd__buf_1 _2946_ (
.A(_0539_),
.X(_0540_)
);
sky130_fd_sc_hd__and2_2 _2947_ (
.A(_0500_),
.B(_0540_),
.X(_0541_)
);
sky130_fd_sc_hd__nor2_2 _2948_ (
.A(_0537_),
.B(_0541_),
.Y(_0542_)
);
sky130_fd_sc_hd__or2_2 _2949_ (
.A(_0538_),
.B(_0542_),
.X(_0543_)
);
sky130_fd_sc_hd__nor2_2 _2950_ (
.A(_0507_),
.B(_0493_),
.Y(_0544_)
);
sky130_fd_sc_hd__nor2_2 _2951_ (
.A(_0427_),
.B(_0493_),
.Y(_0545_)
);
sky130_fd_sc_hd__or2_2 _2952_ (
.A(_0544_),
.B(_0545_),
.X(_0546_)
);
sky130_fd_sc_hd__xor2_2 _2953_ (
.A(_0355_),
.B(_0356_),
.X(_0547_)
);
sky130_fd_sc_hd__and3_2 _2954_ (
.A(_0413_),
.B(_0480_),
.C(_0547_),
.X(_0548_)
);
sky130_fd_sc_hd__or4bb_2 _2955_ (
.A(io_ibus_addr[6]),
.B(io_ibus_addr[7]),
.C_N(io_ibus_addr[8]),
.D_N(io_ibus_addr[9]),
.X(_0549_)
);
sky130_fd_sc_hd__buf_1 _2956_ (
.A(_0549_),
.X(_0550_)
);
sky130_fd_sc_hd__buf_1 _2957_ (
.A(_0550_),
.X(_0551_)
);
sky130_fd_sc_hd__or2_2 _2958_ (
.A(_0455_),
.B(_0551_),
.X(_0552_)
);
sky130_fd_sc_hd__nor2_2 _2959_ (
.A(_2083_),
.B(_0552_),
.Y(_0553_)
);
sky130_fd_sc_hd__or2_2 _2960_ (
.A(_0548_),
.B(_0553_),
.X(_0554_)
);
sky130_fd_sc_hd__or4_2 _2961_ (
.A(_0533_),
.B(_0543_),
.C(_0546_),
.D(_0554_),
.X(_0555_)
);
sky130_fd_sc_hd__buf_1 _2962_ (
.A(_0475_),
.X(_0556_)
);
sky130_fd_sc_hd__and2_2 _2963_ (
.A(_0499_),
.B(_0556_),
.X(_0557_)
);
sky130_fd_sc_hd__nor2_2 _2964_ (
.A(_0479_),
.B(_0386_),
.Y(_0558_)
);
sky130_fd_sc_hd__buf_1 _2965_ (
.A(_0558_),
.X(_0559_)
);
sky130_fd_sc_hd__buf_1 _2966_ (
.A(_0420_),
.X(_0560_)
);
sky130_fd_sc_hd__o22ai_2 _2967_ (
.A1(_0424_),
.A2(_0557_),
.B1(_0559_),
.B2(_0560_),
.Y(_0561_)
);
sky130_fd_sc_hd__nor2_2 _2968_ (
.A(_0442_),
.B(_0551_),
.Y(_0562_)
);
sky130_fd_sc_hd__a21oi_2 _2969_ (
.A1(_0408_),
.A2(_0475_),
.B1(_0549_),
.Y(_0563_)
);
sky130_fd_sc_hd__nor2_2 _2970_ (
.A(_0562_),
.B(_0563_),
.Y(_0564_)
);
sky130_fd_sc_hd__or4b_2 _2971_ (
.A(_0407_),
.B(_0555_),
.C(_0561_),
.D_N(_0564_),
.X(_0565_)
);
sky130_fd_sc_hd__a21oi_2 _2972_ (
.A1(_0397_),
.A2(_0458_),
.B1(_0382_),
.Y(_0566_)
);
sky130_fd_sc_hd__buf_1 _2973_ (
.A(_0534_),
.X(_0567_)
);
sky130_fd_sc_hd__buf_1 _2974_ (
.A(_0535_),
.X(_0568_)
);
sky130_fd_sc_hd__a21oi_2 _2975_ (
.A1(_0567_),
.A2(_0568_),
.B1(_0392_),
.Y(_0569_)
);
sky130_fd_sc_hd__or2_2 _2976_ (
.A(_0566_),
.B(_0569_),
.X(_0570_)
);
sky130_fd_sc_hd__buf_1 _2977_ (
.A(_0451_),
.X(_0571_)
);
sky130_fd_sc_hd__nor2_2 _2978_ (
.A(_0534_),
.B(_0571_),
.Y(_0572_)
);
sky130_fd_sc_hd__buf_1 _2979_ (
.A(_0572_),
.X(_0573_)
);
sky130_fd_sc_hd__nor2_2 _2980_ (
.A(_0373_),
.B(_0571_),
.Y(_0574_)
);
sky130_fd_sc_hd__or2_2 _2981_ (
.A(_0573_),
.B(_0574_),
.X(_0575_)
);
sky130_fd_sc_hd__inv_2 _2982_ (
.A(_0456_),
.Y(_0576_)
);
sky130_fd_sc_hd__nor2_2 _2983_ (
.A(_0436_),
.B(_0491_),
.Y(_0577_)
);
sky130_fd_sc_hd__nand2_2 _2984_ (
.A(_0576_),
.B(_0577_),
.Y(_0578_)
);
sky130_fd_sc_hd__or2b_2 _2985_ (
.A(_0575_),
.B_N(_0578_),
.X(_0579_)
);
sky130_fd_sc_hd__nor2_2 _2986_ (
.A(_0384_),
.B(_0557_),
.Y(_0580_)
);
sky130_fd_sc_hd__buf_1 _2987_ (
.A(_0487_),
.X(_0581_)
);
sky130_fd_sc_hd__buf_1 _2988_ (
.A(_0581_),
.X(_0582_)
);
sky130_fd_sc_hd__buf_1 _2989_ (
.A(_0582_),
.X(_0583_)
);
sky130_fd_sc_hd__buf_1 _2990_ (
.A(_0419_),
.X(_0584_)
);
sky130_fd_sc_hd__buf_1 _2991_ (
.A(_0439_),
.X(_0585_)
);
sky130_fd_sc_hd__buf_1 _2992_ (
.A(_0585_),
.X(_0586_)
);
sky130_fd_sc_hd__nor2_2 _2993_ (
.A(_2070_),
.B(_2083_),
.Y(_0587_)
);
sky130_fd_sc_hd__buf_1 _2994_ (
.A(_0587_),
.X(_0588_)
);
sky130_fd_sc_hd__buf_1 _2995_ (
.A(_0472_),
.X(_0589_)
);
sky130_fd_sc_hd__or2_2 _2996_ (
.A(_0589_),
.B(_0438_),
.X(_0590_)
);
sky130_fd_sc_hd__or2_2 _2997_ (
.A(_0588_),
.B(_0590_),
.X(_0591_)
);
sky130_fd_sc_hd__or2_2 _2998_ (
.A(_0471_),
.B(_0455_),
.X(_0592_)
);
sky130_fd_sc_hd__buf_1 _2999_ (
.A(_0592_),
.X(_0593_)
);
sky130_fd_sc_hd__a31o_2 _3000_ (
.A1(_0507_),
.A2(_0593_),
.A3(_0460_),
.B1(_0377_),
.X(_0594_)
);
sky130_fd_sc_hd__o311a_2 _3001_ (
.A1(_0583_),
.A2(_0584_),
.A3(_0586_),
.B1(_0591_),
.C1(_0594_),
.X(_0595_)
);
sky130_fd_sc_hd__buf_1 _3002_ (
.A(_0514_),
.X(_0596_)
);
sky130_fd_sc_hd__a211oi_2 _3003_ (
.A1(_2071_),
.A2(_2084_),
.B1(_0456_),
.C1(_0596_),
.Y(_0597_)
);
sky130_fd_sc_hd__nor2_2 _3004_ (
.A(_0383_),
.B(_0511_),
.Y(_0598_)
);
sky130_fd_sc_hd__buf_1 _3005_ (
.A(_0598_),
.X(_0599_)
);
sky130_fd_sc_hd__buf_1 _3006_ (
.A(_0432_),
.X(_0600_)
);
sky130_fd_sc_hd__nor2_2 _3007_ (
.A(_0504_),
.B(_0378_),
.Y(_0601_)
);
sky130_fd_sc_hd__buf_1 _3008_ (
.A(_0601_),
.X(_0602_)
);
sky130_fd_sc_hd__or4_2 _3009_ (
.A(_0599_),
.B(_0600_),
.C(_0434_),
.D(_0602_),
.X(_0603_)
);
sky130_fd_sc_hd__nor2_2 _3010_ (
.A(_0597_),
.B(_0603_),
.Y(_0604_)
);
sky130_fd_sc_hd__nand2_2 _3011_ (
.A(_0595_),
.B(_0604_),
.Y(_0605_)
);
sky130_fd_sc_hd__buf_1 _3012_ (
.A(_2074_),
.X(_0606_)
);
sky130_fd_sc_hd__or3_2 _3013_ (
.A(_2104_),
.B(_2107_),
.C(_0380_),
.X(_0607_)
);
sky130_fd_sc_hd__buf_1 _3014_ (
.A(_0607_),
.X(_0608_)
);
sky130_fd_sc_hd__nor2_2 _3015_ (
.A(_0593_),
.B(_0608_),
.Y(_0609_)
);
sky130_fd_sc_hd__nor2_2 _3016_ (
.A(_0589_),
.B(_0607_),
.Y(_0610_)
);
sky130_fd_sc_hd__a21o_2 _3017_ (
.A1(_0606_),
.A2(_0609_),
.B1(_0610_),
.X(_0611_)
);
sky130_fd_sc_hd__or2_2 _3018_ (
.A(_0364_),
.B(_0584_),
.X(_0612_)
);
sky130_fd_sc_hd__nor2_2 _3019_ (
.A(_0559_),
.B(_0612_),
.Y(_0613_)
);
sky130_fd_sc_hd__nand2_2 _3020_ (
.A(_2083_),
.B(_0481_),
.Y(_0614_)
);
sky130_fd_sc_hd__or3_2 _3021_ (
.A(_2105_),
.B(_2108_),
.C(_0525_),
.X(_0615_)
);
sky130_fd_sc_hd__buf_1 _3022_ (
.A(_0615_),
.X(_0616_)
);
sky130_fd_sc_hd__buf_1 _3023_ (
.A(_0616_),
.X(_0617_)
);
sky130_fd_sc_hd__buf_1 _3024_ (
.A(_0617_),
.X(_0618_)
);
sky130_fd_sc_hd__nor2_2 _3025_ (
.A(_0614_),
.B(_0618_),
.Y(_0619_)
);
sky130_fd_sc_hd__or3_2 _3026_ (
.A(_0611_),
.B(_0613_),
.C(_0619_),
.X(_0620_)
);
sky130_fd_sc_hd__buf_1 _3027_ (
.A(_0551_),
.X(_0621_)
);
sky130_fd_sc_hd__a21oi_2 _3028_ (
.A1(_0417_),
.A2(_0459_),
.B1(_0621_),
.Y(_0622_)
);
sky130_fd_sc_hd__buf_1 _3029_ (
.A(_0616_),
.X(_0623_)
);
sky130_fd_sc_hd__nor2_2 _3030_ (
.A(_0469_),
.B(_0623_),
.Y(_0624_)
);
sky130_fd_sc_hd__buf_1 _3031_ (
.A(_0624_),
.X(_0625_)
);
sky130_fd_sc_hd__or4_2 _3032_ (
.A(_0605_),
.B(_0620_),
.C(_0622_),
.D(_0625_),
.X(_0626_)
);
sky130_fd_sc_hd__or4_2 _3033_ (
.A(_0570_),
.B(_0579_),
.C(_0580_),
.D(_0626_),
.X(_0627_)
);
sky130_fd_sc_hd__buf_1 _3034_ (
.A(_0439_),
.X(_0628_)
);
sky130_fd_sc_hd__and2_2 _3035_ (
.A(_0403_),
.B(_0430_),
.X(_0629_)
);
sky130_fd_sc_hd__buf_1 _3036_ (
.A(_0629_),
.X(_0630_)
);
sky130_fd_sc_hd__nor2_2 _3037_ (
.A(_0628_),
.B(_0630_),
.Y(_0631_)
);
sky130_fd_sc_hd__nor2_2 _3038_ (
.A(_0556_),
.B(_0451_),
.Y(_0632_)
);
sky130_fd_sc_hd__buf_1 _3039_ (
.A(_0632_),
.X(_0633_)
);
sky130_fd_sc_hd__or2_2 _3040_ (
.A(_0442_),
.B(_0376_),
.X(_0634_)
);
sky130_fd_sc_hd__buf_1 _3041_ (
.A(_0634_),
.X(_0635_)
);
sky130_fd_sc_hd__or2_2 _3042_ (
.A(_0551_),
.B(_0425_),
.X(_0636_)
);
sky130_fd_sc_hd__nand2_2 _3043_ (
.A(_0635_),
.B(_0636_),
.Y(_0637_)
);
sky130_fd_sc_hd__buf_1 _3044_ (
.A(_0608_),
.X(_0638_)
);
sky130_fd_sc_hd__buf_1 _3045_ (
.A(_0638_),
.X(_0639_)
);
sky130_fd_sc_hd__nor2_2 _3046_ (
.A(_0362_),
.B(_0639_),
.Y(_0640_)
);
sky130_fd_sc_hd__a21oi_2 _3047_ (
.A1(_0396_),
.A2(_0457_),
.B1(_0402_),
.Y(_0641_)
);
sky130_fd_sc_hd__buf_1 _3048_ (
.A(_0556_),
.X(_0642_)
);
sky130_fd_sc_hd__buf_1 _3049_ (
.A(_0618_),
.X(_0643_)
);
sky130_fd_sc_hd__nor2_2 _3050_ (
.A(_0642_),
.B(_0643_),
.Y(_0644_)
);
sky130_fd_sc_hd__nor2_2 _3051_ (
.A(_0426_),
.B(_0537_),
.Y(_0645_)
);
sky130_fd_sc_hd__buf_1 _3052_ (
.A(_0645_),
.X(_0646_)
);
sky130_fd_sc_hd__buf_1 _3053_ (
.A(_0512_),
.X(_0647_)
);
sky130_fd_sc_hd__nor2_2 _3054_ (
.A(_0647_),
.B(_0585_),
.Y(_0648_)
);
sky130_fd_sc_hd__or2_2 _3055_ (
.A(_0646_),
.B(_0648_),
.X(_0649_)
);
sky130_fd_sc_hd__or4_2 _3056_ (
.A(_0640_),
.B(_0641_),
.C(_0644_),
.D(_0649_),
.X(_0650_)
);
sky130_fd_sc_hd__or4_2 _3057_ (
.A(_0631_),
.B(_0633_),
.C(_0637_),
.D(_0650_),
.X(_0651_)
);
sky130_fd_sc_hd__buf_1 _3058_ (
.A(_0608_),
.X(_0652_)
);
sky130_fd_sc_hd__nand2_2 _3059_ (
.A(_2084_),
.B(_0385_),
.Y(_0653_)
);
sky130_fd_sc_hd__buf_1 _3060_ (
.A(_0653_),
.X(_0654_)
);
sky130_fd_sc_hd__and2_2 _3061_ (
.A(_0505_),
.B(_0654_),
.X(_0655_)
);
sky130_fd_sc_hd__nor2_2 _3062_ (
.A(_0652_),
.B(_0655_),
.Y(_0656_)
);
sky130_fd_sc_hd__nor2_2 _3063_ (
.A(_0508_),
.B(_0652_),
.Y(_0657_)
);
sky130_fd_sc_hd__or2_2 _3064_ (
.A(_0656_),
.B(_0657_),
.X(_0658_)
);
sky130_fd_sc_hd__nor2_2 _3065_ (
.A(_0483_),
.B(_0607_),
.Y(_0659_)
);
sky130_fd_sc_hd__buf_1 _3066_ (
.A(_0659_),
.X(_0660_)
);
sky130_fd_sc_hd__nor2_2 _3067_ (
.A(_0614_),
.B(_0638_),
.Y(_0661_)
);
sky130_fd_sc_hd__nor2_2 _3068_ (
.A(_0361_),
.B(_0438_),
.Y(_0662_)
);
sky130_fd_sc_hd__or4_2 _3069_ (
.A(_0658_),
.B(_0660_),
.C(_0661_),
.D(_0662_),
.X(_0663_)
);
sky130_fd_sc_hd__buf_1 _3070_ (
.A(_0514_),
.X(_0664_)
);
sky130_fd_sc_hd__buf_1 _3071_ (
.A(_0664_),
.X(_0665_)
);
sky130_fd_sc_hd__buf_1 _3072_ (
.A(_0540_),
.X(_0666_)
);
sky130_fd_sc_hd__nor2_2 _3073_ (
.A(_0665_),
.B(_0666_),
.Y(_0667_)
);
sky130_fd_sc_hd__buf_1 _3074_ (
.A(_0536_),
.X(_0668_)
);
sky130_fd_sc_hd__nor2_2 _3075_ (
.A(_0504_),
.B(_0668_),
.Y(_0669_)
);
sky130_fd_sc_hd__buf_1 _3076_ (
.A(_0669_),
.X(_0670_)
);
sky130_fd_sc_hd__nor2_2 _3077_ (
.A(_0589_),
.B(_0596_),
.Y(_0671_)
);
sky130_fd_sc_hd__buf_1 _3078_ (
.A(_0671_),
.X(_0672_)
);
sky130_fd_sc_hd__nor2_2 _3079_ (
.A(_0472_),
.B(_0526_),
.Y(_0673_)
);
sky130_fd_sc_hd__and2_2 _3080_ (
.A(_0547_),
.B(_0673_),
.X(_0674_)
);
sky130_fd_sc_hd__buf_1 _3081_ (
.A(_0674_),
.X(_0675_)
);
sky130_fd_sc_hd__nand2_2 _3082_ (
.A(_2069_),
.B(_0370_),
.Y(_0676_)
);
sky130_fd_sc_hd__buf_1 _3083_ (
.A(_0676_),
.X(_0677_)
);
sky130_fd_sc_hd__or2_2 _3084_ (
.A(_0443_),
.B(_0668_),
.X(_0678_)
);
sky130_fd_sc_hd__nor2_2 _3085_ (
.A(_0677_),
.B(_0678_),
.Y(_0679_)
);
sky130_fd_sc_hd__or2_2 _3086_ (
.A(_0675_),
.B(_0679_),
.X(_0680_)
);
sky130_fd_sc_hd__or4_2 _3087_ (
.A(_0667_),
.B(_0670_),
.C(_0672_),
.D(_0680_),
.X(_0681_)
);
sky130_fd_sc_hd__nor2_2 _3088_ (
.A(_0383_),
.B(_0489_),
.Y(_0682_)
);
sky130_fd_sc_hd__nor2_2 _3089_ (
.A(_0359_),
.B(_0400_),
.Y(_0683_)
);
sky130_fd_sc_hd__or2_2 _3090_ (
.A(_0682_),
.B(_0683_),
.X(_0684_)
);
sky130_fd_sc_hd__nand2_2 _3091_ (
.A(_0411_),
.B(_0480_),
.Y(_0685_)
);
sky130_fd_sc_hd__nor2_2 _3092_ (
.A(_0558_),
.B(_0685_),
.Y(_0686_)
);
sky130_fd_sc_hd__o21ai_2 _3093_ (
.A1(_0362_),
.A2(_0367_),
.B1(_0340_),
.Y(_0687_)
);
sky130_fd_sc_hd__nor2_2 _3094_ (
.A(_0504_),
.B(_0616_),
.Y(_0688_)
);
sky130_fd_sc_hd__nor2_2 _3095_ (
.A(_0506_),
.B(_0616_),
.Y(_0689_)
);
sky130_fd_sc_hd__nor2_2 _3096_ (
.A(_0427_),
.B(_0615_),
.Y(_0690_)
);
sky130_fd_sc_hd__or2_2 _3097_ (
.A(_0689_),
.B(_0690_),
.X(_0691_)
);
sky130_fd_sc_hd__or2_2 _3098_ (
.A(_0688_),
.B(_0691_),
.X(_0692_)
);
sky130_fd_sc_hd__or4_2 _3099_ (
.A(_0684_),
.B(_0686_),
.C(_0687_),
.D(_0692_),
.X(_0693_)
);
sky130_fd_sc_hd__nor2_2 _3100_ (
.A(_0506_),
.B(_0438_),
.Y(_0694_)
);
sky130_fd_sc_hd__nor2_2 _3101_ (
.A(_0521_),
.B(_0438_),
.Y(_0695_)
);
sky130_fd_sc_hd__buf_1 _3102_ (
.A(_0427_),
.X(_0696_)
);
sky130_fd_sc_hd__nor2_2 _3103_ (
.A(_0696_),
.B(_0439_),
.Y(_0697_)
);
sky130_fd_sc_hd__or3_2 _3104_ (
.A(_0694_),
.B(_0695_),
.C(_0697_),
.X(_0698_)
);
sky130_fd_sc_hd__nor2_2 _3105_ (
.A(_0489_),
.B(_0537_),
.Y(_0699_)
);
sky130_fd_sc_hd__buf_1 _3106_ (
.A(_0699_),
.X(_0700_)
);
sky130_fd_sc_hd__nor2_2 _3107_ (
.A(_0391_),
.B(_0665_),
.Y(_0701_)
);
sky130_fd_sc_hd__or2_2 _3108_ (
.A(_0700_),
.B(_0701_),
.X(_0702_)
);
sky130_fd_sc_hd__or2_2 _3109_ (
.A(_0456_),
.B(_0528_),
.X(_0703_)
);
sky130_fd_sc_hd__inv_2 _3110_ (
.A(_0703_),
.Y(_0704_)
);
sky130_fd_sc_hd__nor2_2 _3111_ (
.A(_0430_),
.B(_0526_),
.Y(_0705_)
);
sky130_fd_sc_hd__buf_1 _3112_ (
.A(_0705_),
.X(_0706_)
);
sky130_fd_sc_hd__or2_2 _3113_ (
.A(_0704_),
.B(_0706_),
.X(_0707_)
);
sky130_fd_sc_hd__or2_2 _3114_ (
.A(_0399_),
.B(_0472_),
.X(_0708_)
);
sky130_fd_sc_hd__nor2_2 _3115_ (
.A(_0708_),
.B(_0559_),
.Y(_0709_)
);
sky130_fd_sc_hd__or4_2 _3116_ (
.A(_0698_),
.B(_0702_),
.C(_0707_),
.D(_0709_),
.X(_0710_)
);
sky130_fd_sc_hd__buf_1 _3117_ (
.A(_0521_),
.X(_0711_)
);
sky130_fd_sc_hd__nor2_2 _3118_ (
.A(_0365_),
.B(_0711_),
.Y(_0712_)
);
sky130_fd_sc_hd__buf_1 _3119_ (
.A(_0589_),
.X(_0713_)
);
sky130_fd_sc_hd__nor2_2 _3120_ (
.A(_0365_),
.B(_0713_),
.Y(_0714_)
);
sky130_fd_sc_hd__buf_1 _3121_ (
.A(_0714_),
.X(_0715_)
);
sky130_fd_sc_hd__buf_1 _3122_ (
.A(_0529_),
.X(_0716_)
);
sky130_fd_sc_hd__nor2_2 _3123_ (
.A(_0584_),
.B(_0716_),
.Y(_0717_)
);
sky130_fd_sc_hd__or2_2 _3124_ (
.A(_2069_),
.B(_0370_),
.X(_0718_)
);
sky130_fd_sc_hd__or2_2 _3125_ (
.A(_0442_),
.B(_0399_),
.X(_0719_)
);
sky130_fd_sc_hd__nor2_2 _3126_ (
.A(_0718_),
.B(_0719_),
.Y(_0720_)
);
sky130_fd_sc_hd__nor2_2 _3127_ (
.A(_0713_),
.B(_0617_),
.Y(_0721_)
);
sky130_fd_sc_hd__buf_1 _3128_ (
.A(_0493_),
.X(_0722_)
);
sky130_fd_sc_hd__nor2_2 _3129_ (
.A(_0469_),
.B(_0722_),
.Y(_0723_)
);
sky130_fd_sc_hd__nor2_2 _3130_ (
.A(_0511_),
.B(_0423_),
.Y(_0724_)
);
sky130_fd_sc_hd__or4_2 _3131_ (
.A(_0720_),
.B(_0721_),
.C(_0723_),
.D(_0724_),
.X(_0725_)
);
sky130_fd_sc_hd__or4_2 _3132_ (
.A(_0712_),
.B(_0715_),
.C(_0717_),
.D(_0725_),
.X(_0726_)
);
sky130_fd_sc_hd__or3_2 _3133_ (
.A(_0693_),
.B(_0710_),
.C(_0726_),
.X(_0727_)
);
sky130_fd_sc_hd__or4_2 _3134_ (
.A(_0651_),
.B(_0663_),
.C(_0681_),
.D(_0727_),
.X(_0728_)
);
sky130_fd_sc_hd__or4_2 _3135_ (
.A(_0519_),
.B(_0565_),
.C(_0627_),
.D(_0728_),
.X(_0729_)
);
sky130_fd_sc_hd__o21ba_2 _3136_ (
.A1(\imem.bmem.bmem_data[2] ),
.A2(_0449_),
.B1_N(_0729_),
.X(_0015_)
);
sky130_fd_sc_hd__o21a_2 _3137_ (
.A1(_0362_),
.A2(_0368_),
.B1(_0341_),
.X(_0730_)
);
sky130_fd_sc_hd__buf_1 _3138_ (
.A(_0668_),
.X(_0731_)
);
sky130_fd_sc_hd__nor2_2 _3139_ (
.A(_0361_),
.B(_0731_),
.Y(_0732_)
);
sky130_fd_sc_hd__buf_1 _3140_ (
.A(_0732_),
.X(_0733_)
);
sky130_fd_sc_hd__buf_1 _3141_ (
.A(_0731_),
.X(_0734_)
);
sky130_fd_sc_hd__nor2_2 _3142_ (
.A(_0508_),
.B(_0734_),
.Y(_0735_)
);
sky130_fd_sc_hd__nor2_2 _3143_ (
.A(_0550_),
.B(_0488_),
.Y(_0736_)
);
sky130_fd_sc_hd__nor2_2 _3144_ (
.A(_0360_),
.B(_0392_),
.Y(_0737_)
);
sky130_fd_sc_hd__nor2_2 _3145_ (
.A(_0393_),
.B(_0427_),
.Y(_0738_)
);
sky130_fd_sc_hd__or2_2 _3146_ (
.A(_0737_),
.B(_0738_),
.X(_0739_)
);
sky130_fd_sc_hd__or2_2 _3147_ (
.A(_0736_),
.B(_0739_),
.X(_0740_)
);
sky130_fd_sc_hd__or3_2 _3148_ (
.A(_0733_),
.B(_0735_),
.C(_0740_),
.X(_0741_)
);
sky130_fd_sc_hd__nand4b_2 _3149_ (
.A_N(_2068_),
.B(_2081_),
.C(_2089_),
.D(_0358_),
.Y(_0742_)
);
sky130_fd_sc_hd__nor2_2 _3150_ (
.A(_0596_),
.B(_0742_),
.Y(_0743_)
);
sky130_fd_sc_hd__nor2_2 _3151_ (
.A(_0460_),
.B(_0537_),
.Y(_0744_)
);
sky130_fd_sc_hd__buf_1 _3152_ (
.A(_0571_),
.X(_0745_)
);
sky130_fd_sc_hd__buf_1 _3153_ (
.A(_0745_),
.X(_0746_)
);
sky130_fd_sc_hd__nor2_2 _3154_ (
.A(_0456_),
.B(_0536_),
.Y(_0747_)
);
sky130_fd_sc_hd__buf_1 _3155_ (
.A(_0747_),
.X(_0748_)
);
sky130_fd_sc_hd__a2bb2o_2 _3156_ (
.A1_N(_0509_),
.A2_N(_0746_),
.B1(_0748_),
.B2(_0583_),
.X(_0749_)
);
sky130_fd_sc_hd__or3_2 _3157_ (
.A(_0743_),
.B(_0744_),
.C(_0749_),
.X(_0750_)
);
sky130_fd_sc_hd__nor2_2 _3158_ (
.A(_0368_),
.B(_0696_),
.Y(_0751_)
);
sky130_fd_sc_hd__buf_1 _3159_ (
.A(_0479_),
.X(_0752_)
);
sky130_fd_sc_hd__buf_1 _3160_ (
.A(_0752_),
.X(_0753_)
);
sky130_fd_sc_hd__buf_1 _3161_ (
.A(_0372_),
.X(_0754_)
);
sky130_fd_sc_hd__nor2_2 _3162_ (
.A(_0731_),
.B(_0653_),
.Y(_0755_)
);
sky130_fd_sc_hd__a311o_2 _3163_ (
.A1(_0753_),
.A2(_0754_),
.A3(_0414_),
.B1(_0755_),
.C1(_0389_),
.X(_0756_)
);
sky130_fd_sc_hd__and2_2 _3164_ (
.A(_0499_),
.B(_0482_),
.X(_0757_)
);
sky130_fd_sc_hd__or2_2 _3165_ (
.A(_0746_),
.B(_0757_),
.X(_0758_)
);
sky130_fd_sc_hd__or4b_2 _3166_ (
.A(_0416_),
.B(_0751_),
.C(_0756_),
.D_N(_0758_),
.X(_0759_)
);
sky130_fd_sc_hd__and3_2 _3167_ (
.A(_2105_),
.B(_2108_),
.C(_0363_),
.X(_0760_)
);
sky130_fd_sc_hd__o21ba_2 _3168_ (
.A1(_2097_),
.A2(_0364_),
.B1_N(_0760_),
.X(_0761_)
);
sky130_fd_sc_hd__nor2_2 _3169_ (
.A(_0391_),
.B(_0722_),
.Y(_0762_)
);
sky130_fd_sc_hd__or3_2 _3170_ (
.A(_0379_),
.B(_0761_),
.C(_0762_),
.X(_0763_)
);
sky130_fd_sc_hd__a21oi_2 _3171_ (
.A1(_0508_),
.A2(_0655_),
.B1(_0366_),
.Y(_0764_)
);
sky130_fd_sc_hd__nor2_2 _3172_ (
.A(_0366_),
.B(_0593_),
.Y(_0765_)
);
sky130_fd_sc_hd__or3_2 _3173_ (
.A(_0763_),
.B(_0764_),
.C(_0765_),
.X(_0766_)
);
sky130_fd_sc_hd__buf_1 _3174_ (
.A(_0766_),
.X(_0767_)
);
sky130_fd_sc_hd__or4b_2 _3175_ (
.A(_0741_),
.B(_0750_),
.C(_0759_),
.D_N(_0767_),
.X(_0768_)
);
sky130_fd_sc_hd__o211a_2 _3176_ (
.A1(\imem.bmem.bmem_data[3] ),
.A2(_0449_),
.B1(_0730_),
.C1(_0768_),
.X(_0016_)
);
sky130_fd_sc_hd__nor2_2 _3177_ (
.A(_0514_),
.B(_0483_),
.Y(_0769_)
);
sky130_fd_sc_hd__or2_2 _3178_ (
.A(_0597_),
.B(_0633_),
.X(_0770_)
);
sky130_fd_sc_hd__or3_2 _3179_ (
.A(_0434_),
.B(_0659_),
.C(_0706_),
.X(_0771_)
);
sky130_fd_sc_hd__nor2_2 _3180_ (
.A(_0665_),
.B(_0462_),
.Y(_0772_)
);
sky130_fd_sc_hd__or2_2 _3181_ (
.A(_0712_),
.B(_0772_),
.X(_0773_)
);
sky130_fd_sc_hd__or4_2 _3182_ (
.A(_0769_),
.B(_0770_),
.C(_0771_),
.D(_0773_),
.X(_0774_)
);
sky130_fd_sc_hd__or2_2 _3183_ (
.A(_0513_),
.B(_0516_),
.X(_0775_)
);
sky130_fd_sc_hd__nor2_2 _3184_ (
.A(_0359_),
.B(_0464_),
.Y(_0776_)
);
sky130_fd_sc_hd__a21o_2 _3185_ (
.A1(_0487_),
.A2(_0452_),
.B1(_0776_),
.X(_0777_)
);
sky130_fd_sc_hd__nor2_2 _3186_ (
.A(_0500_),
.B(_0664_),
.Y(_0778_)
);
sky130_fd_sc_hd__nor2_2 _3187_ (
.A(_0440_),
.B(_0778_),
.Y(_0779_)
);
sky130_fd_sc_hd__and3_2 _3188_ (
.A(_2072_),
.B(_0754_),
.C(_0497_),
.X(_0780_)
);
sky130_fd_sc_hd__nor2_2 _3189_ (
.A(_0677_),
.B(_0590_),
.Y(_0781_)
);
sky130_fd_sc_hd__a21oi_2 _3190_ (
.A1(_2085_),
.A2(_0780_),
.B1(_0781_),
.Y(_0782_)
);
sky130_fd_sc_hd__o21ai_2 _3191_ (
.A1(_0557_),
.A2(_0779_),
.B1(_0782_),
.Y(_0783_)
);
sky130_fd_sc_hd__or4_2 _3192_ (
.A(_0774_),
.B(_0775_),
.C(_0777_),
.D(_0783_),
.X(_0784_)
);
sky130_fd_sc_hd__buf_1 _3193_ (
.A(_0742_),
.X(_0785_)
);
sky130_fd_sc_hd__nor2_2 _3194_ (
.A(_0785_),
.B(_0618_),
.Y(_0786_)
);
sky130_fd_sc_hd__nor2_2 _3195_ (
.A(_0431_),
.B(_0585_),
.Y(_0787_)
);
sky130_fd_sc_hd__nor2_2 _3196_ (
.A(_0474_),
.B(_0643_),
.Y(_0788_)
);
sky130_fd_sc_hd__or4_2 _3197_ (
.A(_0786_),
.B(_0686_),
.C(_0787_),
.D(_0788_),
.X(_0789_)
);
sky130_fd_sc_hd__buf_1 _3198_ (
.A(_0387_),
.X(_0790_)
);
sky130_fd_sc_hd__a21oi_2 _3199_ (
.A1(_0397_),
.A2(_0458_),
.B1(_0463_),
.Y(_0791_)
);
sky130_fd_sc_hd__a31o_2 _3200_ (
.A1(_0790_),
.A2(_0496_),
.A3(_0441_),
.B1(_0791_),
.X(_0792_)
);
sky130_fd_sc_hd__buf_1 _3201_ (
.A(_0544_),
.X(_0793_)
);
sky130_fd_sc_hd__buf_1 _3202_ (
.A(_0388_),
.X(_0794_)
);
sky130_fd_sc_hd__nor2_2 _3203_ (
.A(_0794_),
.B(_0623_),
.Y(_0795_)
);
sky130_fd_sc_hd__nor2_2 _3204_ (
.A(_0539_),
.B(_0527_),
.Y(_0796_)
);
sky130_fd_sc_hd__buf_1 _3205_ (
.A(_0796_),
.X(_0797_)
);
sky130_fd_sc_hd__nor2_2 _3206_ (
.A(_0506_),
.B(_0464_),
.Y(_0798_)
);
sky130_fd_sc_hd__a2111o_2 _3207_ (
.A1(_2085_),
.A2(_0470_),
.B1(_0795_),
.C1(_0797_),
.D1(_0798_),
.X(_0799_)
);
sky130_fd_sc_hd__or4_2 _3208_ (
.A(_0698_),
.B(_0793_),
.C(_0646_),
.D(_0799_),
.X(_0800_)
);
sky130_fd_sc_hd__or4_2 _3209_ (
.A(_0613_),
.B(_0640_),
.C(_0792_),
.D(_0800_),
.X(_0801_)
);
sky130_fd_sc_hd__or4_2 _3210_ (
.A(_0476_),
.B(_0692_),
.C(_0789_),
.D(_0801_),
.X(_0802_)
);
sky130_fd_sc_hd__nor2_2 _3211_ (
.A(_0361_),
.B(_0623_),
.Y(_0803_)
);
sky130_fd_sc_hd__buf_1 _3212_ (
.A(_0803_),
.X(_0804_)
);
sky130_fd_sc_hd__nor2_2 _3213_ (
.A(_0490_),
.B(_0607_),
.Y(_0805_)
);
sky130_fd_sc_hd__or2_2 _3214_ (
.A(_0662_),
.B(_0805_),
.X(_0806_)
);
sky130_fd_sc_hd__nor2_2 _3215_ (
.A(_0461_),
.B(_0617_),
.Y(_0807_)
);
sky130_fd_sc_hd__or2_2 _3216_ (
.A(_0443_),
.B(_0664_),
.X(_0808_)
);
sky130_fd_sc_hd__nor2_2 _3217_ (
.A(_0677_),
.B(_0808_),
.Y(_0809_)
);
sky130_fd_sc_hd__or3_2 _3218_ (
.A(_0599_),
.B(_0574_),
.C(_0670_),
.X(_0810_)
);
sky130_fd_sc_hd__or3_2 _3219_ (
.A(_0700_),
.B(_0809_),
.C(_0810_),
.X(_0811_)
);
sky130_fd_sc_hd__or4_2 _3220_ (
.A(_0804_),
.B(_0806_),
.C(_0807_),
.D(_0811_),
.X(_0812_)
);
sky130_fd_sc_hd__or4_2 _3221_ (
.A(_0543_),
.B(_0611_),
.C(_0680_),
.D(_0687_),
.X(_0813_)
);
sky130_fd_sc_hd__or4_2 _3222_ (
.A(_0784_),
.B(_0802_),
.C(_0812_),
.D(_0813_),
.X(_0814_)
);
sky130_fd_sc_hd__nor2_2 _3223_ (
.A(_0498_),
.B(_0551_),
.Y(_0815_)
);
sky130_fd_sc_hd__o21ai_2 _3224_ (
.A1(_0583_),
.A2(_0560_),
.B1(_0719_),
.Y(_0816_)
);
sky130_fd_sc_hd__or4_2 _3225_ (
.A(_0407_),
.B(_0409_),
.C(_0815_),
.D(_0816_),
.X(_0817_)
);
sky130_fd_sc_hd__a31o_2 _3226_ (
.A1(_0360_),
.A2(_0506_),
.A3(_0430_),
.B1(_0621_),
.X(_0818_)
);
sky130_fd_sc_hd__or4b_2 _3227_ (
.A(_0548_),
.B(_0641_),
.C(_0817_),
.D_N(_0818_),
.X(_0819_)
);
sky130_fd_sc_hd__nor2_2 _3228_ (
.A(_0390_),
.B(_0376_),
.Y(_0820_)
);
sky130_fd_sc_hd__buf_1 _3229_ (
.A(_0621_),
.X(_0821_)
);
sky130_fd_sc_hd__a21oi_2 _3230_ (
.A1(_0711_),
.A2(_0567_),
.B1(_0821_),
.Y(_0822_)
);
sky130_fd_sc_hd__or2_2 _3231_ (
.A(_0820_),
.B(_0822_),
.X(_0823_)
);
sky130_fd_sc_hd__o21ai_2 _3232_ (
.A1(_0509_),
.A2(_0378_),
.B1(_0594_),
.Y(_0824_)
);
sky130_fd_sc_hd__nor3_2 _3233_ (
.A(_0819_),
.B(_0823_),
.C(_0824_),
.Y(_0825_)
);
sky130_fd_sc_hd__buf_1 _3234_ (
.A(_0471_),
.X(_0826_)
);
sky130_fd_sc_hd__nor2_2 _3235_ (
.A(_0826_),
.B(_0719_),
.Y(_0827_)
);
sky130_fd_sc_hd__nor2_2 _3236_ (
.A(_0825_),
.B(_0827_),
.Y(_0828_)
);
sky130_fd_sc_hd__nor2_2 _3237_ (
.A(_0614_),
.B(_0492_),
.Y(_0829_)
);
sky130_fd_sc_hd__or2_2 _3238_ (
.A(_0829_),
.B(_0570_),
.X(_0830_)
);
sky130_fd_sc_hd__nand2_2 _3239_ (
.A(_0385_),
.B(_0497_),
.Y(_0831_)
);
sky130_fd_sc_hd__nor2_2 _3240_ (
.A(_0581_),
.B(_0831_),
.Y(_0832_)
);
sky130_fd_sc_hd__or2_2 _3241_ (
.A(_0656_),
.B(_0832_),
.X(_0833_)
);
sky130_fd_sc_hd__nor2_2 _3242_ (
.A(_0489_),
.B(_0526_),
.Y(_0834_)
);
sky130_fd_sc_hd__buf_1 _3243_ (
.A(_0834_),
.X(_0835_)
);
sky130_fd_sc_hd__or2_2 _3244_ (
.A(_2070_),
.B(_2082_),
.X(_0836_)
);
sky130_fd_sc_hd__buf_1 _3245_ (
.A(_0836_),
.X(_0837_)
);
sky130_fd_sc_hd__buf_1 _3246_ (
.A(_0495_),
.X(_0838_)
);
sky130_fd_sc_hd__and3_2 _3247_ (
.A(_0837_),
.B(_0838_),
.C(_0715_),
.X(_0839_)
);
sky130_fd_sc_hd__nor2_2 _3248_ (
.A(_0426_),
.B(_0528_),
.Y(_0840_)
);
sky130_fd_sc_hd__or3_2 _3249_ (
.A(_0835_),
.B(_0839_),
.C(_0840_),
.X(_0841_)
);
sky130_fd_sc_hd__or4_2 _3250_ (
.A(_0533_),
.B(_0764_),
.C(_0833_),
.D(_0841_),
.X(_0842_)
);
sky130_fd_sc_hd__or4_2 _3251_ (
.A(_0814_),
.B(_0828_),
.C(_0830_),
.D(_0842_),
.X(_0843_)
);
sky130_fd_sc_hd__o21ba_2 _3252_ (
.A1(\imem.bmem.bmem_data[5] ),
.A2(_0449_),
.B1_N(_0843_),
.X(_0017_)
);
sky130_fd_sc_hd__buf_1 _3253_ (
.A(_0712_),
.X(_0844_)
);
sky130_fd_sc_hd__or2_2 _3254_ (
.A(_0844_),
.B(_0764_),
.X(_0845_)
);
sky130_fd_sc_hd__nor2_2 _3255_ (
.A(_0718_),
.B(_0635_),
.Y(_0846_)
);
sky130_fd_sc_hd__or2_2 _3256_ (
.A(_0622_),
.B(_0846_),
.X(_0847_)
);
sky130_fd_sc_hd__buf_1 _3257_ (
.A(_0795_),
.X(_0848_)
);
sky130_fd_sc_hd__nor2_2 _3258_ (
.A(_0647_),
.B(_0618_),
.Y(_0849_)
);
sky130_fd_sc_hd__or3_2 _3259_ (
.A(_0804_),
.B(_0848_),
.C(_0849_),
.X(_0850_)
);
sky130_fd_sc_hd__a21o_2 _3260_ (
.A1(_0411_),
.A2(_0754_),
.B1(_0418_),
.X(_0851_)
);
sky130_fd_sc_hd__o21ai_2 _3261_ (
.A1(_0584_),
.A2(_0586_),
.B1(_0591_),
.Y(_0852_)
);
sky130_fd_sc_hd__or4_2 _3262_ (
.A(_0770_),
.B(_0824_),
.C(_0851_),
.D(_0852_),
.X(_0853_)
);
sky130_fd_sc_hd__or4_2 _3263_ (
.A(_0845_),
.B(_0847_),
.C(_0850_),
.D(_0853_),
.X(_0854_)
);
sky130_fd_sc_hd__o21bai_2 _3264_ (
.A1(_0558_),
.A2(_0808_),
.B1_N(_0699_),
.Y(_0855_)
);
sky130_fd_sc_hd__nor2_2 _3265_ (
.A(_0460_),
.B(_0716_),
.Y(_0856_)
);
sky130_fd_sc_hd__nor2_2 _3266_ (
.A(_0361_),
.B(_0664_),
.Y(_0857_)
);
sky130_fd_sc_hd__o21bai_2 _3267_ (
.A1(_0529_),
.A2(_0541_),
.B1_N(_0857_),
.Y(_0858_)
);
sky130_fd_sc_hd__or4_2 _3268_ (
.A(_0707_),
.B(_0855_),
.C(_0856_),
.D(_0858_),
.X(_0859_)
);
sky130_fd_sc_hd__a31o_2 _3269_ (
.A1(_0713_),
.A2(_0785_),
.A3(_0540_),
.B1(_0616_),
.X(_0860_)
);
sky130_fd_sc_hd__inv_2 _3270_ (
.A(_0860_),
.Y(_0861_)
);
sky130_fd_sc_hd__nor2_2 _3271_ (
.A(_0443_),
.B(_0437_),
.Y(_0862_)
);
sky130_fd_sc_hd__buf_1 _3272_ (
.A(_0862_),
.X(_0863_)
);
sky130_fd_sc_hd__nor3_2 _3273_ (
.A(_0863_),
.B(_0660_),
.C(_0661_),
.Y(_0864_)
);
sky130_fd_sc_hd__or3b_2 _3274_ (
.A(_0656_),
.B(_0832_),
.C_N(_0864_),
.X(_0865_)
);
sky130_fd_sc_hd__or4_2 _3275_ (
.A(_0478_),
.B(_0859_),
.C(_0861_),
.D(_0865_),
.X(_0866_)
);
sky130_fd_sc_hd__nor2_2 _3276_ (
.A(_0643_),
.B(_0630_),
.Y(_0867_)
);
sky130_fd_sc_hd__and2_2 _3277_ (
.A(_0582_),
.B(_0715_),
.X(_0868_)
);
sky130_fd_sc_hd__nor2_2 _3278_ (
.A(_0487_),
.B(_0708_),
.Y(_0869_)
);
sky130_fd_sc_hd__or2_2 _3279_ (
.A(_0599_),
.B(_0600_),
.X(_0870_)
);
sky130_fd_sc_hd__or4_2 _3280_ (
.A(_0867_),
.B(_0868_),
.C(_0869_),
.D(_0870_),
.X(_0871_)
);
sky130_fd_sc_hd__or4_2 _3281_ (
.A(_0503_),
.B(_0518_),
.C(_0681_),
.D(_0871_),
.X(_0872_)
);
sky130_fd_sc_hd__nor2_2 _3282_ (
.A(_0390_),
.B(_0399_),
.Y(_0873_)
);
sky130_fd_sc_hd__nor2_2 _3283_ (
.A(_0422_),
.B(_0592_),
.Y(_0874_)
);
sky130_fd_sc_hd__nor2_2 _3284_ (
.A(_0614_),
.B(_0716_),
.Y(_0875_)
);
sky130_fd_sc_hd__nor2_2 _3285_ (
.A(_0415_),
.B(_0492_),
.Y(_0876_)
);
sky130_fd_sc_hd__or4_2 _3286_ (
.A(_0873_),
.B(_0874_),
.C(_0875_),
.D(_0876_),
.X(_0877_)
);
sky130_fd_sc_hd__a2111o_2 _3287_ (
.A1(_0576_),
.A2(_0441_),
.B1(_0579_),
.C1(_0687_),
.D1(_0877_),
.X(_0878_)
);
sky130_fd_sc_hd__nor2_2 _3288_ (
.A(_0490_),
.B(_0623_),
.Y(_0879_)
);
sky130_fd_sc_hd__and2_2 _3289_ (
.A(_0495_),
.B(_0714_),
.X(_0880_)
);
sky130_fd_sc_hd__or3b_2 _3290_ (
.A(_0434_),
.B(_0691_),
.C_N(_0685_),
.X(_0881_)
);
sky130_fd_sc_hd__o21ai_2 _3291_ (
.A1(_2086_),
.A2(_0635_),
.B1(_0636_),
.Y(_0882_)
);
sky130_fd_sc_hd__nor2_2 _3292_ (
.A(_0422_),
.B(_0430_),
.Y(_0883_)
);
sky130_fd_sc_hd__nor2_2 _3293_ (
.A(_0391_),
.B(_0652_),
.Y(_0884_)
);
sky130_fd_sc_hd__nor2_2 _3294_ (
.A(_0364_),
.B(_0584_),
.Y(_0885_)
);
sky130_fd_sc_hd__buf_1 _3295_ (
.A(_0885_),
.X(_0886_)
);
sky130_fd_sc_hd__or4_2 _3296_ (
.A(_0610_),
.B(_0609_),
.C(_0886_),
.D(_0646_),
.X(_0887_)
);
sky130_fd_sc_hd__or4_2 _3297_ (
.A(_0882_),
.B(_0883_),
.C(_0884_),
.D(_0887_),
.X(_0888_)
);
sky130_fd_sc_hd__or4_2 _3298_ (
.A(_0879_),
.B(_0880_),
.C(_0881_),
.D(_0888_),
.X(_0889_)
);
sky130_fd_sc_hd__or4_2 _3299_ (
.A(_0866_),
.B(_0872_),
.C(_0878_),
.D(_0889_),
.X(_0890_)
);
sky130_fd_sc_hd__or3_2 _3300_ (
.A(_0565_),
.B(_0854_),
.C(_0890_),
.X(_0891_)
);
sky130_fd_sc_hd__o21ba_2 _3301_ (
.A1(\imem.bmem.bmem_data[6] ),
.A2(_0449_),
.B1_N(_0891_),
.X(_0018_)
);
sky130_fd_sc_hd__o21ai_2 _3302_ (
.A1(_0367_),
.A2(_0794_),
.B1(_0766_),
.Y(_0892_)
);
sky130_fd_sc_hd__buf_1 _3303_ (
.A(_0892_),
.X(_0893_)
);
sky130_fd_sc_hd__buf_1 _3304_ (
.A(_0893_),
.X(_0894_)
);
sky130_fd_sc_hd__a21oi_2 _3305_ (
.A1(_0500_),
.A2(_0785_),
.B1(_0367_),
.Y(_0895_)
);
sky130_fd_sc_hd__buf_1 _3306_ (
.A(_0895_),
.X(_0896_)
);
sky130_fd_sc_hd__buf_1 _3307_ (
.A(_0644_),
.X(_0897_)
);
sky130_fd_sc_hd__buf_1 _3308_ (
.A(_0689_),
.X(_0898_)
);
sky130_fd_sc_hd__a21oi_2 _3309_ (
.A1(_0794_),
.A2(_0509_),
.B1(_0639_),
.Y(_0899_)
);
sky130_fd_sc_hd__nor2_2 _3310_ (
.A(_0461_),
.B(_0638_),
.Y(_0900_)
);
sky130_fd_sc_hd__buf_1 _3311_ (
.A(_0900_),
.X(_0901_)
);
sky130_fd_sc_hd__buf_1 _3312_ (
.A(_0901_),
.X(_0902_)
);
sky130_fd_sc_hd__and2_2 _3313_ (
.A(_0753_),
.B(_0862_),
.X(_0903_)
);
sky130_fd_sc_hd__buf_1 _3314_ (
.A(_0718_),
.X(_0904_)
);
sky130_fd_sc_hd__or2_2 _3315_ (
.A(_0713_),
.B(_0607_),
.X(_0905_)
);
sky130_fd_sc_hd__nor2_2 _3316_ (
.A(_0904_),
.B(_0905_),
.Y(_0906_)
);
sky130_fd_sc_hd__buf_1 _3317_ (
.A(_0697_),
.X(_0907_)
);
sky130_fd_sc_hd__buf_1 _3318_ (
.A(_0483_),
.X(_0908_)
);
sky130_fd_sc_hd__nor2_2 _3319_ (
.A(_0585_),
.B(_0908_),
.Y(_0909_)
);
sky130_fd_sc_hd__nor2_2 _3320_ (
.A(_0461_),
.B(_0722_),
.Y(_0910_)
);
sky130_fd_sc_hd__nor2_2 _3321_ (
.A(_0507_),
.B(_0665_),
.Y(_0911_)
);
sky130_fd_sc_hd__or2_2 _3322_ (
.A(_0669_),
.B(_0755_),
.X(_0912_)
);
sky130_fd_sc_hd__nor2_2 _3323_ (
.A(_0391_),
.B(_0745_),
.Y(_0913_)
);
sky130_fd_sc_hd__nor2_2 _3324_ (
.A(_0500_),
.B(_0731_),
.Y(_0914_)
);
sky130_fd_sc_hd__or2_2 _3325_ (
.A(_0743_),
.B(_0769_),
.X(_0915_)
);
sky130_fd_sc_hd__nor2_2 _3326_ (
.A(_0499_),
.B(_0571_),
.Y(_0916_)
);
sky130_fd_sc_hd__or3_2 _3327_ (
.A(_0572_),
.B(_0633_),
.C(_0916_),
.X(_0917_)
);
sky130_fd_sc_hd__nor2_2 _3328_ (
.A(_0512_),
.B(_0466_),
.Y(_0918_)
);
sky130_fd_sc_hd__nor2_2 _3329_ (
.A(_0482_),
.B(_0527_),
.Y(_0919_)
);
sky130_fd_sc_hd__nor2_2 _3330_ (
.A(_0742_),
.B(_0527_),
.Y(_0920_)
);
sky130_fd_sc_hd__nor2_2 _3331_ (
.A(_0382_),
.B(_0535_),
.Y(_0921_)
);
sky130_fd_sc_hd__a21oi_2 _3332_ (
.A1(_0499_),
.A2(_0540_),
.B1(_0384_),
.Y(_0922_)
);
sky130_fd_sc_hd__o22a_2 _3333_ (
.A1(_0405_),
.A2(_0549_),
.B1(_0429_),
.B2(_0375_),
.X(_0923_)
);
sky130_fd_sc_hd__o21ai_2 _3334_ (
.A1(_0836_),
.A2(_0420_),
.B1(_0923_),
.Y(_0924_)
);
sky130_fd_sc_hd__or3_2 _3335_ (
.A(_0401_),
.B(_0407_),
.C(_0720_),
.X(_0925_)
);
sky130_fd_sc_hd__nor2_2 _3336_ (
.A(_0442_),
.B(_0376_),
.Y(_0926_)
);
sky130_fd_sc_hd__nand2_2 _3337_ (
.A(_0372_),
.B(_0414_),
.Y(_0927_)
);
sky130_fd_sc_hd__o21ai_2 _3338_ (
.A1(_2070_),
.A2(_0927_),
.B1(_0636_),
.Y(_0928_)
);
sky130_fd_sc_hd__nor2_2 _3339_ (
.A(_0550_),
.B(_0475_),
.Y(_0929_)
);
sky130_fd_sc_hd__nor2_2 _3340_ (
.A(_0676_),
.B(_0634_),
.Y(_0930_)
);
sky130_fd_sc_hd__or2_2 _3341_ (
.A(_0929_),
.B(_0930_),
.X(_0931_)
);
sky130_fd_sc_hd__or3_2 _3342_ (
.A(_0736_),
.B(_0846_),
.C(_0931_),
.X(_0932_)
);
sky130_fd_sc_hd__nor2_2 _3343_ (
.A(_0425_),
.B(_0377_),
.Y(_0933_)
);
sky130_fd_sc_hd__a2111o_2 _3344_ (
.A1(_0587_),
.A2(_0926_),
.B1(_0928_),
.C1(_0932_),
.D1(_0933_),
.X(_0934_)
);
sky130_fd_sc_hd__or4_2 _3345_ (
.A(_0684_),
.B(_0924_),
.C(_0925_),
.D(_0934_),
.X(_0935_)
);
sky130_fd_sc_hd__or4_2 _3346_ (
.A(_0599_),
.B(_0921_),
.C(_0922_),
.D(_0935_),
.X(_0936_)
);
sky130_fd_sc_hd__or4_2 _3347_ (
.A(_0674_),
.B(_0919_),
.C(_0920_),
.D(_0936_),
.X(_0937_)
);
sky130_fd_sc_hd__nor2_2 _3348_ (
.A(_0463_),
.B(_0629_),
.Y(_0938_)
);
sky130_fd_sc_hd__or2_2 _3349_ (
.A(_0472_),
.B(_0464_),
.X(_0939_)
);
sky130_fd_sc_hd__or2_2 _3350_ (
.A(_0455_),
.B(_0464_),
.X(_0940_)
);
sky130_fd_sc_hd__o22ai_2 _3351_ (
.A1(_0676_),
.A2(_0939_),
.B1(_0940_),
.B2(_0904_),
.Y(_0941_)
);
sky130_fd_sc_hd__a2111o_2 _3352_ (
.A1(_2071_),
.A2(_0524_),
.B1(_0791_),
.C1(_0938_),
.D1(_0941_),
.X(_0942_)
);
sky130_fd_sc_hd__or3_2 _3353_ (
.A(_0918_),
.B(_0937_),
.C(_0942_),
.X(_0943_)
);
sky130_fd_sc_hd__or3_2 _3354_ (
.A(_0574_),
.B(_0917_),
.C(_0943_),
.X(_0944_)
);
sky130_fd_sc_hd__or4_2 _3355_ (
.A(_0913_),
.B(_0914_),
.C(_0915_),
.D(_0944_),
.X(_0945_)
);
sky130_fd_sc_hd__or4_2 _3356_ (
.A(_0911_),
.B(_0744_),
.C(_0912_),
.D(_0945_),
.X(_0946_)
);
sky130_fd_sc_hd__or4_2 _3357_ (
.A(_0546_),
.B(_0732_),
.C(_0910_),
.D(_0946_),
.X(_0947_)
);
sky130_fd_sc_hd__or4_2 _3358_ (
.A(_0907_),
.B(_0909_),
.C(_0762_),
.D(_0947_),
.X(_0948_)
);
sky130_fd_sc_hd__or4_2 _3359_ (
.A(_0631_),
.B(_0903_),
.C(_0906_),
.D(_0948_),
.X(_0949_)
);
sky130_fd_sc_hd__or4_2 _3360_ (
.A(_0619_),
.B(_0899_),
.C(_0902_),
.D(_0949_),
.X(_0950_)
);
sky130_fd_sc_hd__or4_2 _3361_ (
.A(_0625_),
.B(_0897_),
.C(_0898_),
.D(_0950_),
.X(_0951_)
);
sky130_fd_sc_hd__or4_2 _3362_ (
.A(_0751_),
.B(_0894_),
.C(_0896_),
.D(_0951_),
.X(_0952_)
);
sky130_fd_sc_hd__o211a_2 _3363_ (
.A1(\imem.bmem.bmem_data[22] ),
.A2(_0449_),
.B1(_0730_),
.C1(_0952_),
.X(_0019_)
);
sky130_fd_sc_hd__buf_1 _3364_ (
.A(_0342_),
.X(_0953_)
);
sky130_fd_sc_hd__and3b_2 _3365_ (
.A_N(io_ibus_addr[15]),
.B(io_ibus_addr[14]),
.C(io_ibus_addr[12]),
.X(_0954_)
);
sky130_fd_sc_hd__and3_2 _3366_ (
.A(_0953_),
.B(io_ibus_addr[13]),
.C(_0954_),
.X(_0955_)
);
sky130_fd_sc_hd__buf_1 _3367_ (
.A(_0955_),
.X(_0020_)
);
sky130_fd_sc_hd__buf_1 _3368_ (
.A(reset),
.X(_0956_)
);
sky130_fd_sc_hd__buf_1 _3369_ (
.A(_0956_),
.X(_0957_)
);
sky130_fd_sc_hd__buf_1 _3370_ (
.A(_0957_),
.X(_0958_)
);
sky130_fd_sc_hd__nor2_2 _3371_ (
.A(_0958_),
.B(_0344_),
.Y(_0021_)
);
sky130_fd_sc_hd__buf_1 _3372_ (
.A(reset),
.X(_0959_)
);
sky130_fd_sc_hd__or3_2 _3373_ (
.A(\imem.ack ),
.B(_0959_),
.C(_0000_),
.X(_0960_)
);
sky130_fd_sc_hd__buf_1 _3374_ (
.A(_0960_),
.X(_0022_)
);
sky130_fd_sc_hd__or4_2 _3375_ (
.A(\uart.rxm.prescaler[3] ),
.B(\uart.rxm.prescaler[2] ),
.C(\uart.rxm.prescaler[1] ),
.D(\uart.rxm.prescaler[0] ),
.X(_0961_)
);
sky130_fd_sc_hd__or4_2 _3376_ (
.A(\uart.rxm.prescaler[6] ),
.B(\uart.rxm.prescaler[5] ),
.C(\uart.rxm.prescaler[4] ),
.D(_0961_),
.X(_0962_)
);
sky130_fd_sc_hd__nor4_2 _3377_ (
.A(\uart.rxm.prescaler[9] ),
.B(\uart.rxm.prescaler[8] ),
.C(\uart.rxm.prescaler[7] ),
.D(_0962_),
.Y(_0963_)
);
sky130_fd_sc_hd__and2_2 _3378_ (
.A(\uart.rxm.state ),
.B(_0963_),
.X(_0964_)
);
sky130_fd_sc_hd__or3b_2 _3379_ (
.A(\uart.rxm.data_count[0] ),
.B(\uart.rxm.data_count[1] ),
.C_N(_0964_),
.X(_0965_)
);
sky130_fd_sc_hd__nor3_2 _3380_ (
.A(\uart.rxm.data_count[3] ),
.B(\uart.rxm.data_count[2] ),
.C(_0965_),
.Y(_0023_)
);
sky130_fd_sc_hd__buf_1 _3381_ (
.A(\uart.rxm.state ),
.X(_0966_)
);
sky130_fd_sc_hd__buf_1 _3382_ (
.A(\uart.rxm._GEN_28[6] ),
.X(_0967_)
);
sky130_fd_sc_hd__nand2_2 _3383_ (
.A(\uart.baud_r[7] ),
.B(_0967_),
.Y(_0968_)
);
sky130_fd_sc_hd__or2_2 _3384_ (
.A(\uart.baud_r[7] ),
.B(\uart.rxm._GEN_28[6] ),
.X(_0969_)
);
sky130_fd_sc_hd__buf_1 _3385_ (
.A(\uart.baud_r[3] ),
.X(_0970_)
);
sky130_fd_sc_hd__nand2_2 _3386_ (
.A(_0970_),
.B(\uart.rxm._GEN_28[2] ),
.Y(_0971_)
);
sky130_fd_sc_hd__or2_2 _3387_ (
.A(\uart.baud_r[3] ),
.B(\uart.rxm._GEN_28[2] ),
.X(_0972_)
);
sky130_fd_sc_hd__a22o_2 _3388_ (
.A1(_0968_),
.A2(_0969_),
.B1(_0971_),
.B2(_0972_),
.X(_0973_)
);
sky130_fd_sc_hd__or2_2 _3389_ (
.A(\uart.baud_r[4] ),
.B(\uart.rxm._GEN_28[3] ),
.X(_0974_)
);
sky130_fd_sc_hd__nand2_2 _3390_ (
.A(\uart.baud_r[4] ),
.B(\uart.rxm._GEN_28[3] ),
.Y(_0975_)
);
sky130_fd_sc_hd__or2_2 _3391_ (
.A(\uart.baud_r[2] ),
.B(\uart.rxm._GEN_28[1] ),
.X(_0976_)
);
sky130_fd_sc_hd__buf_1 _3392_ (
.A(\uart.rxm._GEN_28[1] ),
.X(_0977_)
);
sky130_fd_sc_hd__nand2_2 _3393_ (
.A(\uart.baud_r[2] ),
.B(_0977_),
.Y(_0978_)
);
sky130_fd_sc_hd__a22o_2 _3394_ (
.A1(_0974_),
.A2(_0975_),
.B1(_0976_),
.B2(_0978_),
.X(_0979_)
);
sky130_fd_sc_hd__xor2_2 _3395_ (
.A(\uart.baud_r[6] ),
.B(\uart.rxm._GEN_28[5] ),
.X(_0980_)
);
sky130_fd_sc_hd__xor2_2 _3396_ (
.A(\uart.baud_r[5] ),
.B(\uart.rxm._GEN_28[4] ),
.X(_0981_)
);
sky130_fd_sc_hd__or4_2 _3397_ (
.A(\uart.rxm._GEN_28[8] ),
.B(\uart.rxm._GEN_28[7] ),
.C(io_uart_rx),
.D(_0981_),
.X(_0982_)
);
sky130_fd_sc_hd__xor2_2 _3398_ (
.A(\uart.baud_r[1] ),
.B(\uart.rxm._GEN_28[0] ),
.X(_0983_)
);
sky130_fd_sc_hd__or4_2 _3399_ (
.A(_0979_),
.B(_0980_),
.C(_0982_),
.D(_0983_),
.X(_0984_)
);
sky130_fd_sc_hd__nor2_2 _3400_ (
.A(_0973_),
.B(_0984_),
.Y(_0985_)
);
sky130_fd_sc_hd__nor2_2 _3401_ (
.A(_0966_),
.B(_0985_),
.Y(_0986_)
);
sky130_fd_sc_hd__buf_1 _3402_ (
.A(_0986_),
.X(_0987_)
);
sky130_fd_sc_hd__nand2_2 _3403_ (
.A(\uart.rxm.prescaler[0] ),
.B(_0987_),
.Y(_0988_)
);
sky130_fd_sc_hd__buf_1 _3404_ (
.A(\uart.baud_r[0] ),
.X(_0989_)
);
sky130_fd_sc_hd__buf_1 _3405_ (
.A(_0963_),
.X(_0990_)
);
sky130_fd_sc_hd__buf_1 _3406_ (
.A(_0990_),
.X(_0991_)
);
sky130_fd_sc_hd__or2_2 _3407_ (
.A(\uart.rxm.prescaler[0] ),
.B(_0986_),
.X(_0992_)
);
sky130_fd_sc_hd__a21o_2 _3408_ (
.A1(_0989_),
.A2(_0991_),
.B1(_0992_),
.X(_0993_)
);
sky130_fd_sc_hd__buf_1 _3409_ (
.A(_0959_),
.X(_0994_)
);
sky130_fd_sc_hd__a21oi_2 _3410_ (
.A1(_0988_),
.A2(_0993_),
.B1(_0994_),
.Y(_0024_)
);
sky130_fd_sc_hd__nand2_2 _3411_ (
.A(\uart.rxm.prescaler[1] ),
.B(_0992_),
.Y(_0995_)
);
sky130_fd_sc_hd__or2_2 _3412_ (
.A(\uart.baud_r[1] ),
.B(\uart.baud_r[0] ),
.X(_0996_)
);
sky130_fd_sc_hd__nand2_2 _3413_ (
.A(\uart.baud_r[1] ),
.B(_0989_),
.Y(_0997_)
);
sky130_fd_sc_hd__or2_2 _3414_ (
.A(\uart.rxm.prescaler[1] ),
.B(_0992_),
.X(_0998_)
);
sky130_fd_sc_hd__a31o_2 _3415_ (
.A1(_0990_),
.A2(_0996_),
.A3(_0997_),
.B1(_0998_),
.X(_0999_)
);
sky130_fd_sc_hd__buf_1 _3416_ (
.A(_0959_),
.X(_1000_)
);
sky130_fd_sc_hd__a21oi_2 _3417_ (
.A1(_0995_),
.A2(_0999_),
.B1(_1000_),
.Y(_0025_)
);
sky130_fd_sc_hd__nand2_2 _3418_ (
.A(\uart.rxm.prescaler[2] ),
.B(_0998_),
.Y(_1001_)
);
sky130_fd_sc_hd__buf_1 _3419_ (
.A(\uart.baud_r[2] ),
.X(_1002_)
);
sky130_fd_sc_hd__xor2_2 _3420_ (
.A(_1002_),
.B(_0996_),
.X(_1003_)
);
sky130_fd_sc_hd__or2_2 _3421_ (
.A(\uart.rxm.prescaler[2] ),
.B(_0998_),
.X(_1004_)
);
sky130_fd_sc_hd__a21o_2 _3422_ (
.A1(_0991_),
.A2(_1003_),
.B1(_1004_),
.X(_1005_)
);
sky130_fd_sc_hd__a21oi_2 _3423_ (
.A1(_1001_),
.A2(_1005_),
.B1(_1000_),
.Y(_0026_)
);
sky130_fd_sc_hd__or3_2 _3424_ (
.A(_0970_),
.B(_1002_),
.C(_0996_),
.X(_1006_)
);
sky130_fd_sc_hd__o21ai_2 _3425_ (
.A1(_1002_),
.A2(_0996_),
.B1(_0970_),
.Y(_1007_)
);
sky130_fd_sc_hd__and2_2 _3426_ (
.A(_1006_),
.B(_1007_),
.X(_1008_)
);
sky130_fd_sc_hd__or2_2 _3427_ (
.A(_0961_),
.B(_0987_),
.X(_1009_)
);
sky130_fd_sc_hd__a21oi_2 _3428_ (
.A1(_0990_),
.A2(_1008_),
.B1(_1009_),
.Y(_1010_)
);
sky130_fd_sc_hd__a21oi_2 _3429_ (
.A1(\uart.rxm.prescaler[3] ),
.A2(_1004_),
.B1(_1010_),
.Y(_1011_)
);
sky130_fd_sc_hd__nor2_2 _3430_ (
.A(_0958_),
.B(_1011_),
.Y(_0027_)
);
sky130_fd_sc_hd__nand2_2 _3431_ (
.A(\uart.rxm.prescaler[4] ),
.B(_1009_),
.Y(_1012_)
);
sky130_fd_sc_hd__buf_1 _3432_ (
.A(\uart.baud_r[4] ),
.X(_1013_)
);
sky130_fd_sc_hd__xor2_2 _3433_ (
.A(_1013_),
.B(_1006_),
.X(_1014_)
);
sky130_fd_sc_hd__or2_2 _3434_ (
.A(\uart.rxm.prescaler[4] ),
.B(_1009_),
.X(_1015_)
);
sky130_fd_sc_hd__a21o_2 _3435_ (
.A1(_0991_),
.A2(_1014_),
.B1(_1015_),
.X(_1016_)
);
sky130_fd_sc_hd__a21oi_2 _3436_ (
.A1(_1012_),
.A2(_1016_),
.B1(_1000_),
.Y(_0028_)
);
sky130_fd_sc_hd__nand2_2 _3437_ (
.A(\uart.rxm.prescaler[5] ),
.B(_1015_),
.Y(_1017_)
);
sky130_fd_sc_hd__or3_2 _3438_ (
.A(\uart.baud_r[5] ),
.B(_1013_),
.C(_1006_),
.X(_1018_)
);
sky130_fd_sc_hd__o21ai_2 _3439_ (
.A1(_1013_),
.A2(_1006_),
.B1(\uart.baud_r[5] ),
.Y(_1019_)
);
sky130_fd_sc_hd__and2_2 _3440_ (
.A(_1018_),
.B(_1019_),
.X(_1020_)
);
sky130_fd_sc_hd__a211o_2 _3441_ (
.A1(_0990_),
.A2(_1020_),
.B1(_1015_),
.C1(\uart.rxm.prescaler[5] ),
.X(_1021_)
);
sky130_fd_sc_hd__a21oi_2 _3442_ (
.A1(_1017_),
.A2(_1021_),
.B1(_1000_),
.Y(_0029_)
);
sky130_fd_sc_hd__o21ai_2 _3443_ (
.A1(\uart.rxm.prescaler[5] ),
.A2(_1015_),
.B1(\uart.rxm.prescaler[6] ),
.Y(_1022_)
);
sky130_fd_sc_hd__or2_2 _3444_ (
.A(\uart.baud_r[6] ),
.B(_1018_),
.X(_1023_)
);
sky130_fd_sc_hd__nand2_2 _3445_ (
.A(\uart.baud_r[6] ),
.B(_1018_),
.Y(_1024_)
);
sky130_fd_sc_hd__and2_2 _3446_ (
.A(_1023_),
.B(_1024_),
.X(_1025_)
);
sky130_fd_sc_hd__or2_2 _3447_ (
.A(_0962_),
.B(_0987_),
.X(_1026_)
);
sky130_fd_sc_hd__a21o_2 _3448_ (
.A1(_0991_),
.A2(_1025_),
.B1(_1026_),
.X(_1027_)
);
sky130_fd_sc_hd__a21oi_2 _3449_ (
.A1(_1022_),
.A2(_1027_),
.B1(_1000_),
.Y(_0030_)
);
sky130_fd_sc_hd__nand2_2 _3450_ (
.A(\uart.rxm.prescaler[7] ),
.B(_1026_),
.Y(_1028_)
);
sky130_fd_sc_hd__buf_1 _3451_ (
.A(\uart.baud_r[7] ),
.X(_1029_)
);
sky130_fd_sc_hd__nor2_2 _3452_ (
.A(_1029_),
.B(_1023_),
.Y(_1030_)
);
sky130_fd_sc_hd__and2_2 _3453_ (
.A(_1029_),
.B(_1023_),
.X(_1031_)
);
sky130_fd_sc_hd__nor2_2 _3454_ (
.A(_1030_),
.B(_1031_),
.Y(_1032_)
);
sky130_fd_sc_hd__or2_2 _3455_ (
.A(\uart.rxm.prescaler[7] ),
.B(_1026_),
.X(_1033_)
);
sky130_fd_sc_hd__a21o_2 _3456_ (
.A1(_0991_),
.A2(_1032_),
.B1(_1033_),
.X(_1034_)
);
sky130_fd_sc_hd__buf_1 _3457_ (
.A(_0959_),
.X(_1035_)
);
sky130_fd_sc_hd__a21oi_2 _3458_ (
.A1(_1028_),
.A2(_1034_),
.B1(_1035_),
.Y(_0031_)
);
sky130_fd_sc_hd__xnor2_2 _3459_ (
.A(\uart.rxm.prescaler[8] ),
.B(_1033_),
.Y(_1036_)
);
sky130_fd_sc_hd__buf_1 _3460_ (
.A(_0341_),
.X(_1037_)
);
sky130_fd_sc_hd__buf_1 _3461_ (
.A(_1037_),
.X(_1038_)
);
sky130_fd_sc_hd__o311a_2 _3462_ (
.A1(\uart.rxm.prescaler[9] ),
.A2(\uart.rxm.prescaler[8] ),
.A3(_1030_),
.B1(_1036_),
.C1(_1038_),
.X(_0032_)
);
sky130_fd_sc_hd__o21ai_2 _3463_ (
.A1(\uart.rxm.prescaler[8] ),
.A2(_1033_),
.B1(\uart.rxm.prescaler[9] ),
.Y(_1039_)
);
sky130_fd_sc_hd__or4b_2 _3464_ (
.A(_1029_),
.B(_0987_),
.C(_1023_),
.D_N(_0990_),
.X(_1040_)
);
sky130_fd_sc_hd__a21oi_2 _3465_ (
.A1(_1039_),
.A2(_1040_),
.B1(_1035_),
.Y(_0033_)
);
sky130_fd_sc_hd__buf_1 _3466_ (
.A(_1037_),
.X(_1041_)
);
sky130_fd_sc_hd__buf_1 _3467_ (
.A(_0964_),
.X(_1042_)
);
sky130_fd_sc_hd__or3_2 _3468_ (
.A(\uart.rxm.data_count[0] ),
.B(\uart.rxm.data_count[1] ),
.C(\uart.rxm.data_count[2] ),
.X(_1043_)
);
sky130_fd_sc_hd__or2_2 _3469_ (
.A(\uart.rxm.data_count[3] ),
.B(_1043_),
.X(_1044_)
);
sky130_fd_sc_hd__nand2_2 _3470_ (
.A(_1042_),
.B(_1044_),
.Y(_1045_)
);
sky130_fd_sc_hd__buf_1 _3471_ (
.A(_1045_),
.X(_1046_)
);
sky130_fd_sc_hd__mux2_2 _3472_ (
.A0(\uart.rxm._T_49[0] ),
.A1(\uart.rxm.io_out_bits[0] ),
.S(_1046_),
.X(_1047_)
);
sky130_fd_sc_hd__and2_2 _3473_ (
.A(_1041_),
.B(_1047_),
.X(_1048_)
);
sky130_fd_sc_hd__buf_1 _3474_ (
.A(_1048_),
.X(_0034_)
);
sky130_fd_sc_hd__mux2_2 _3475_ (
.A0(\uart.rxm._T_49[1] ),
.A1(\uart.rxm._T_49[0] ),
.S(_1046_),
.X(_1049_)
);
sky130_fd_sc_hd__and2_2 _3476_ (
.A(_1041_),
.B(_1049_),
.X(_1050_)
);
sky130_fd_sc_hd__buf_1 _3477_ (
.A(_1050_),
.X(_0035_)
);
sky130_fd_sc_hd__mux2_2 _3478_ (
.A0(\uart.rxm._T_49[2] ),
.A1(\uart.rxm._T_49[1] ),
.S(_1046_),
.X(_1051_)
);
sky130_fd_sc_hd__and2_2 _3479_ (
.A(_1041_),
.B(_1051_),
.X(_1052_)
);
sky130_fd_sc_hd__buf_1 _3480_ (
.A(_1052_),
.X(_0036_)
);
sky130_fd_sc_hd__mux2_2 _3481_ (
.A0(\uart.rxm._T_49[3] ),
.A1(\uart.rxm._T_49[2] ),
.S(_1046_),
.X(_1053_)
);
sky130_fd_sc_hd__and2_2 _3482_ (
.A(_1041_),
.B(_1053_),
.X(_1054_)
);
sky130_fd_sc_hd__buf_1 _3483_ (
.A(_1054_),
.X(_0037_)
);
sky130_fd_sc_hd__mux2_2 _3484_ (
.A0(\uart.rxm._T_49[4] ),
.A1(\uart.rxm._T_49[3] ),
.S(_1046_),
.X(_1055_)
);
sky130_fd_sc_hd__and2_2 _3485_ (
.A(_1041_),
.B(_1055_),
.X(_1056_)
);
sky130_fd_sc_hd__buf_1 _3486_ (
.A(_1056_),
.X(_0038_)
);
sky130_fd_sc_hd__buf_1 _3487_ (
.A(_1037_),
.X(_1057_)
);
sky130_fd_sc_hd__mux2_2 _3488_ (
.A0(\uart.rxm._T_49[5] ),
.A1(\uart.rxm._T_49[4] ),
.S(_1045_),
.X(_1058_)
);
sky130_fd_sc_hd__and2_2 _3489_ (
.A(_1057_),
.B(_1058_),
.X(_1059_)
);
sky130_fd_sc_hd__buf_1 _3490_ (
.A(_1059_),
.X(_0039_)
);
sky130_fd_sc_hd__mux2_2 _3491_ (
.A0(\uart.rxm._T_49[6] ),
.A1(\uart.rxm._T_49[5] ),
.S(_1045_),
.X(_1060_)
);
sky130_fd_sc_hd__and2_2 _3492_ (
.A(_1057_),
.B(_1060_),
.X(_1061_)
);
sky130_fd_sc_hd__buf_1 _3493_ (
.A(_1061_),
.X(_0040_)
);
sky130_fd_sc_hd__mux2_2 _3494_ (
.A0(io_uart_rx),
.A1(\uart.rxm._T_49[6] ),
.S(_1045_),
.X(_1062_)
);
sky130_fd_sc_hd__and2_2 _3495_ (
.A(_1057_),
.B(_1062_),
.X(_1063_)
);
sky130_fd_sc_hd__buf_1 _3496_ (
.A(_1063_),
.X(_0041_)
);
sky130_fd_sc_hd__or2_2 _3497_ (
.A(_0350_),
.B(_0353_),
.X(_1064_)
);
sky130_fd_sc_hd__or4_2 _3498_ (
.A(io_dbus_addr[5]),
.B(io_dbus_addr[4]),
.C(io_dbus_addr[7]),
.D(io_dbus_addr[6]),
.X(_1065_)
);
sky130_fd_sc_hd__or3_2 _3499_ (
.A(io_dbus_addr[3]),
.B(io_dbus_addr[2]),
.C(_1065_),
.X(_1066_)
);
sky130_fd_sc_hd__or3_2 _3500_ (
.A(_2132_),
.B(_2133_),
.C(_1066_),
.X(_1067_)
);
sky130_fd_sc_hd__or2_2 _3501_ (
.A(_1064_),
.B(_1067_),
.X(_1068_)
);
sky130_fd_sc_hd__buf_1 _3502_ (
.A(_1068_),
.X(_1069_)
);
sky130_fd_sc_hd__or3_2 _3503_ (
.A(_2132_),
.B(io_dbus_addr[0]),
.C(_1066_),
.X(_1070_)
);
sky130_fd_sc_hd__nor2_2 _3504_ (
.A(_1064_),
.B(_1070_),
.Y(_1071_)
);
sky130_fd_sc_hd__nor2_2 _3505_ (
.A(_1064_),
.B(_1067_),
.Y(_1072_)
);
sky130_fd_sc_hd__a21o_2 _3506_ (
.A1(_0989_),
.A2(_1071_),
.B1(_1072_),
.X(_1073_)
);
sky130_fd_sc_hd__or3_2 _3507_ (
.A(_2138_),
.B(_2141_),
.C(_1066_),
.X(_1074_)
);
sky130_fd_sc_hd__or2b_2 _3508_ (
.A(io_dbus_addr[3]),
.B_N(io_dbus_addr[2]),
.X(_1075_)
);
sky130_fd_sc_hd__or4_2 _3509_ (
.A(io_dbus_addr[1]),
.B(_2141_),
.C(_1065_),
.D(_1075_),
.X(_1076_)
);
sky130_fd_sc_hd__nor3b_2 _3510_ (
.A(_1074_),
.B(_1064_),
.C_N(_1076_),
.Y(_1077_)
);
sky130_fd_sc_hd__buf_1 _3511_ (
.A(_1077_),
.X(_1078_)
);
sky130_fd_sc_hd__nor2_2 _3512_ (
.A(_1064_),
.B(_1076_),
.Y(_1079_)
);
sky130_fd_sc_hd__buf_1 _3513_ (
.A(_1079_),
.X(_1080_)
);
sky130_fd_sc_hd__a22o_2 _3514_ (
.A1(\uart.rx_data_r[0] ),
.A2(_1078_),
.B1(_1080_),
.B2(\uart._T_103 ),
.X(_1081_)
);
sky130_fd_sc_hd__buf_1 _3515_ (
.A(_0953_),
.X(_1082_)
);
sky130_fd_sc_hd__o221a_2 _3516_ (
.A1(\uart.control_r[0] ),
.A2(_1069_),
.B1(_1073_),
.B2(_1081_),
.C1(_1082_),
.X(_0042_)
);
sky130_fd_sc_hd__a22o_2 _3517_ (
.A1(\uart.rx_data_r[1] ),
.A2(_1078_),
.B1(_1080_),
.B2(\uart._T_97[0] ),
.X(_1083_)
);
sky130_fd_sc_hd__buf_1 _3518_ (
.A(_1071_),
.X(_1084_)
);
sky130_fd_sc_hd__buf_1 _3519_ (
.A(_1072_),
.X(_1085_)
);
sky130_fd_sc_hd__a21o_2 _3520_ (
.A1(\uart.baud_r[1] ),
.A2(_1084_),
.B1(_1085_),
.X(_1086_)
);
sky130_fd_sc_hd__o221a_2 _3521_ (
.A1(\uart.control_r[1] ),
.A2(_1069_),
.B1(_1083_),
.B2(_1086_),
.C1(_1082_),
.X(_0043_)
);
sky130_fd_sc_hd__a22o_2 _3522_ (
.A1(\uart.rx_data_r[2] ),
.A2(_1078_),
.B1(_1080_),
.B2(\uart._T_102[0] ),
.X(_1087_)
);
sky130_fd_sc_hd__a21o_2 _3523_ (
.A1(_1002_),
.A2(_1084_),
.B1(_1085_),
.X(_1088_)
);
sky130_fd_sc_hd__o221a_2 _3524_ (
.A1(\uart.control_r[2] ),
.A2(_1069_),
.B1(_1087_),
.B2(_1088_),
.C1(_1082_),
.X(_0044_)
);
sky130_fd_sc_hd__a22o_2 _3525_ (
.A1(\uart.rx_data_r[3] ),
.A2(_1078_),
.B1(_1080_),
.B2(\uart._T_102[1] ),
.X(_1089_)
);
sky130_fd_sc_hd__a21o_2 _3526_ (
.A1(_0970_),
.A2(_1084_),
.B1(_1085_),
.X(_1090_)
);
sky130_fd_sc_hd__buf_1 _3527_ (
.A(_0342_),
.X(_1091_)
);
sky130_fd_sc_hd__buf_1 _3528_ (
.A(_1091_),
.X(_1092_)
);
sky130_fd_sc_hd__o221a_2 _3529_ (
.A1(\uart.control_r[3] ),
.A2(_1069_),
.B1(_1089_),
.B2(_1090_),
.C1(_1092_),
.X(_0045_)
);
sky130_fd_sc_hd__a22o_2 _3530_ (
.A1(\uart.rx_data_r[4] ),
.A2(_1077_),
.B1(_1079_),
.B2(\uart._T_102[2] ),
.X(_1093_)
);
sky130_fd_sc_hd__a211o_2 _3531_ (
.A1(_1013_),
.A2(_1071_),
.B1(_1093_),
.C1(_1072_),
.X(_1094_)
);
sky130_fd_sc_hd__buf_1 _3532_ (
.A(_0341_),
.X(_1095_)
);
sky130_fd_sc_hd__buf_1 _3533_ (
.A(_1095_),
.X(_1096_)
);
sky130_fd_sc_hd__buf_1 _3534_ (
.A(_1096_),
.X(_1097_)
);
sky130_fd_sc_hd__o211a_2 _3535_ (
.A1(\uart.control_r[4] ),
.A2(_1069_),
.B1(_1094_),
.C1(_1097_),
.X(_0046_)
);
sky130_fd_sc_hd__a22o_2 _3536_ (
.A1(\uart.rx_data_r[5] ),
.A2(_1078_),
.B1(_1080_),
.B2(\uart._T_102[3] ),
.X(_1098_)
);
sky130_fd_sc_hd__a21o_2 _3537_ (
.A1(\uart.baud_r[5] ),
.A2(_1084_),
.B1(_1085_),
.X(_1099_)
);
sky130_fd_sc_hd__o221a_2 _3538_ (
.A1(\uart.control_r[5] ),
.A2(_1068_),
.B1(_1098_),
.B2(_1099_),
.C1(_1092_),
.X(_0047_)
);
sky130_fd_sc_hd__a22o_2 _3539_ (
.A1(\uart.rx_data_r[6] ),
.A2(_1077_),
.B1(_1079_),
.B2(\uart._T_102[4] ),
.X(_1100_)
);
sky130_fd_sc_hd__a21o_2 _3540_ (
.A1(\uart.baud_r[6] ),
.A2(_1084_),
.B1(_1085_),
.X(_1101_)
);
sky130_fd_sc_hd__o221a_2 _3541_ (
.A1(\uart.control_r[6] ),
.A2(_1068_),
.B1(_1100_),
.B2(_1101_),
.C1(_1092_),
.X(_0048_)
);
sky130_fd_sc_hd__a22o_2 _3542_ (
.A1(\uart.rx_data_r[7] ),
.A2(_1077_),
.B1(_1079_),
.B2(\uart._T_102[5] ),
.X(_1102_)
);
sky130_fd_sc_hd__a21o_2 _3543_ (
.A1(_1029_),
.A2(_1071_),
.B1(_1072_),
.X(_1103_)
);
sky130_fd_sc_hd__o221a_2 _3544_ (
.A1(io_uart_txen),
.A2(_1068_),
.B1(_1102_),
.B2(_1103_),
.C1(_1092_),
.X(_0049_)
);
sky130_fd_sc_hd__nor2_2 _3545_ (
.A(_0966_),
.B(io_uart_rx),
.Y(_1104_)
);
sky130_fd_sc_hd__or2_2 _3546_ (
.A(_0023_),
.B(_1104_),
.X(_1105_)
);
sky130_fd_sc_hd__buf_1 _3547_ (
.A(_1105_),
.X(_1106_)
);
sky130_fd_sc_hd__and2_2 _3548_ (
.A(\uart.rxm._GEN_28[0] ),
.B(_1106_),
.X(_1107_)
);
sky130_fd_sc_hd__inv_2 _3549_ (
.A(_1107_),
.Y(_1108_)
);
sky130_fd_sc_hd__nor2_2 _3550_ (
.A(reset),
.B(_0023_),
.Y(_1109_)
);
sky130_fd_sc_hd__buf_1 _3551_ (
.A(_1109_),
.X(_1110_)
);
sky130_fd_sc_hd__o211a_2 _3552_ (
.A1(\uart.rxm._GEN_28[0] ),
.A2(_1104_),
.B1(_1108_),
.C1(_1110_),
.X(_0050_)
);
sky130_fd_sc_hd__nand2_2 _3553_ (
.A(_0977_),
.B(_1107_),
.Y(_1111_)
);
sky130_fd_sc_hd__o211a_2 _3554_ (
.A1(_0977_),
.A2(_1107_),
.B1(_1110_),
.C1(_1111_),
.X(_0051_)
);
sky130_fd_sc_hd__and3_2 _3555_ (
.A(\uart.rxm._GEN_28[2] ),
.B(_0977_),
.C(\uart.rxm._GEN_28[0] ),
.X(_1112_)
);
sky130_fd_sc_hd__nand2_2 _3556_ (
.A(_1105_),
.B(_1112_),
.Y(_1113_)
);
sky130_fd_sc_hd__a31o_2 _3557_ (
.A1(_0977_),
.A2(\uart.rxm._GEN_28[0] ),
.A3(_1106_),
.B1(\uart.rxm._GEN_28[2] ),
.X(_1114_)
);
sky130_fd_sc_hd__and3_2 _3558_ (
.A(_1110_),
.B(_1113_),
.C(_1114_),
.X(_1115_)
);
sky130_fd_sc_hd__buf_1 _3559_ (
.A(_1115_),
.X(_0052_)
);
sky130_fd_sc_hd__inv_2 _3560_ (
.A(\uart.rxm._GEN_28[3] ),
.Y(_1116_)
);
sky130_fd_sc_hd__nor2_2 _3561_ (
.A(_1116_),
.B(_1113_),
.Y(_1117_)
);
sky130_fd_sc_hd__buf_1 _3562_ (
.A(_0956_),
.X(_1118_)
);
sky130_fd_sc_hd__a2111oi_2 _3563_ (
.A1(_1116_),
.A2(_1113_),
.B1(_1117_),
.C1(_1118_),
.D1(_0023_),
.Y(_0053_)
);
sky130_fd_sc_hd__a31o_2 _3564_ (
.A1(\uart.rxm._GEN_28[4] ),
.A2(\uart.rxm._GEN_28[3] ),
.A3(_1112_),
.B1(_0966_),
.X(_1119_)
);
sky130_fd_sc_hd__nand2_2 _3565_ (
.A(_1106_),
.B(_1119_),
.Y(_1120_)
);
sky130_fd_sc_hd__o211a_2 _3566_ (
.A1(\uart.rxm._GEN_28[4] ),
.A2(_1117_),
.B1(_1120_),
.C1(_1097_),
.X(_0054_)
);
sky130_fd_sc_hd__a21o_2 _3567_ (
.A1(\uart.rxm._GEN_28[4] ),
.A2(_1117_),
.B1(\uart.rxm._GEN_28[5] ),
.X(_1121_)
);
sky130_fd_sc_hd__and4_2 _3568_ (
.A(\uart.rxm._GEN_28[5] ),
.B(\uart.rxm._GEN_28[4] ),
.C(\uart.rxm._GEN_28[3] ),
.D(_1112_),
.X(_1122_)
);
sky130_fd_sc_hd__a21oi_2 _3569_ (
.A1(_1104_),
.A2(_1122_),
.B1(_0023_),
.Y(_1123_)
);
sky130_fd_sc_hd__and3_2 _3570_ (
.A(_1091_),
.B(_1121_),
.C(_1123_),
.X(_1124_)
);
sky130_fd_sc_hd__buf_1 _3571_ (
.A(_1124_),
.X(_0055_)
);
sky130_fd_sc_hd__inv_2 _3572_ (
.A(_0967_),
.Y(_1125_)
);
sky130_fd_sc_hd__a21o_2 _3573_ (
.A1(_1104_),
.A2(_1122_),
.B1(_0967_),
.X(_1126_)
);
sky130_fd_sc_hd__o211a_2 _3574_ (
.A1(_1125_),
.A2(_1123_),
.B1(_1126_),
.C1(_1097_),
.X(_0056_)
);
sky130_fd_sc_hd__and4_2 _3575_ (
.A(\uart.rxm._GEN_28[7] ),
.B(_0967_),
.C(_1106_),
.D(_1122_),
.X(_1127_)
);
sky130_fd_sc_hd__a31o_2 _3576_ (
.A1(_0967_),
.A2(_1106_),
.A3(_1122_),
.B1(\uart.rxm._GEN_28[7] ),
.X(_1128_)
);
sky130_fd_sc_hd__and3b_2 _3577_ (
.A_N(_1127_),
.B(_1109_),
.C(_1128_),
.X(_1129_)
);
sky130_fd_sc_hd__buf_1 _3578_ (
.A(_1129_),
.X(_0057_)
);
sky130_fd_sc_hd__a21boi_2 _3579_ (
.A1(\uart.rxm._GEN_28[8] ),
.A2(_1127_),
.B1_N(_1110_),
.Y(_1130_)
);
sky130_fd_sc_hd__o21a_2 _3580_ (
.A1(\uart.rxm._GEN_28[8] ),
.A2(_1127_),
.B1(_1130_),
.X(_0058_)
);
sky130_fd_sc_hd__buf_1 _3581_ (
.A(_2147_),
.X(_1131_)
);
sky130_fd_sc_hd__nand2_2 _3582_ (
.A(\uart.txm.prescaler[0] ),
.B(_1131_),
.Y(_1132_)
);
sky130_fd_sc_hd__nor4_2 _3583_ (
.A(\uart.txm.prescaler[3] ),
.B(\uart.txm.prescaler[2] ),
.C(_2149_),
.D(_2150_),
.Y(_1133_)
);
sky130_fd_sc_hd__a211o_2 _3584_ (
.A1(_0989_),
.A2(_1133_),
.B1(_1131_),
.C1(\uart.txm.prescaler[0] ),
.X(_1134_)
);
sky130_fd_sc_hd__a21oi_2 _3585_ (
.A1(_1132_),
.A2(_1134_),
.B1(_1035_),
.Y(_0059_)
);
sky130_fd_sc_hd__o21ai_2 _3586_ (
.A1(\uart.txm.prescaler[0] ),
.A2(_1131_),
.B1(\uart.txm.prescaler[1] ),
.Y(_1135_)
);
sky130_fd_sc_hd__buf_1 _3587_ (
.A(_1133_),
.X(_1136_)
);
sky130_fd_sc_hd__or2_2 _3588_ (
.A(_2146_),
.B(_2150_),
.X(_1137_)
);
sky130_fd_sc_hd__a31o_2 _3589_ (
.A1(_1136_),
.A2(_0996_),
.A3(_0997_),
.B1(_1137_),
.X(_1138_)
);
sky130_fd_sc_hd__a21oi_2 _3590_ (
.A1(_1135_),
.A2(_1138_),
.B1(_1035_),
.Y(_0060_)
);
sky130_fd_sc_hd__nand2_2 _3591_ (
.A(\uart.txm.prescaler[2] ),
.B(_1137_),
.Y(_1139_)
);
sky130_fd_sc_hd__or2_2 _3592_ (
.A(\uart.txm.prescaler[2] ),
.B(_1137_),
.X(_1140_)
);
sky130_fd_sc_hd__buf_1 _3593_ (
.A(_0956_),
.X(_1141_)
);
sky130_fd_sc_hd__a221oi_2 _3594_ (
.A1(_1136_),
.A2(_1003_),
.B1(_1139_),
.B2(_1140_),
.C1(_1141_),
.Y(_0061_)
);
sky130_fd_sc_hd__o21ai_2 _3595_ (
.A1(\uart.txm.prescaler[2] ),
.A2(_1137_),
.B1(\uart.txm.prescaler[3] ),
.Y(_1142_)
);
sky130_fd_sc_hd__or2_2 _3596_ (
.A(\uart.txm.prescaler[3] ),
.B(_1140_),
.X(_1143_)
);
sky130_fd_sc_hd__a21o_2 _3597_ (
.A1(_1136_),
.A2(_1008_),
.B1(_1143_),
.X(_1144_)
);
sky130_fd_sc_hd__a21oi_2 _3598_ (
.A1(_1142_),
.A2(_1144_),
.B1(_1035_),
.Y(_0062_)
);
sky130_fd_sc_hd__inv_2 _3599_ (
.A(_2149_),
.Y(_1145_)
);
sky130_fd_sc_hd__or2_2 _3600_ (
.A(\uart.txm.prescaler[4] ),
.B(_1143_),
.X(_1146_)
);
sky130_fd_sc_hd__nand2_2 _3601_ (
.A(\uart.txm.prescaler[4] ),
.B(_1143_),
.Y(_1147_)
);
sky130_fd_sc_hd__a221oi_2 _3602_ (
.A1(_1145_),
.A2(_1014_),
.B1(_1146_),
.B2(_1147_),
.C1(_1141_),
.Y(_0063_)
);
sky130_fd_sc_hd__nand2_2 _3603_ (
.A(\uart.txm.prescaler[5] ),
.B(_1146_),
.Y(_1148_)
);
sky130_fd_sc_hd__a211o_2 _3604_ (
.A1(_1136_),
.A2(_1020_),
.B1(_1146_),
.C1(\uart.txm.prescaler[5] ),
.X(_1149_)
);
sky130_fd_sc_hd__buf_1 _3605_ (
.A(_0959_),
.X(_1150_)
);
sky130_fd_sc_hd__a21oi_2 _3606_ (
.A1(_1148_),
.A2(_1149_),
.B1(_1150_),
.Y(_0064_)
);
sky130_fd_sc_hd__inv_2 _3607_ (
.A(_2148_),
.Y(_1151_)
);
sky130_fd_sc_hd__or3_2 _3608_ (
.A(\uart.txm.prescaler[6] ),
.B(\uart.txm.prescaler[5] ),
.C(_1146_),
.X(_1152_)
);
sky130_fd_sc_hd__o21ai_2 _3609_ (
.A1(\uart.txm.prescaler[5] ),
.A2(_1146_),
.B1(\uart.txm.prescaler[6] ),
.Y(_1153_)
);
sky130_fd_sc_hd__a221oi_2 _3610_ (
.A1(_1151_),
.A2(_1025_),
.B1(_1152_),
.B2(_1153_),
.C1(_1118_),
.Y(_0065_)
);
sky130_fd_sc_hd__nand2_2 _3611_ (
.A(\uart.txm.prescaler[7] ),
.B(_1152_),
.Y(_1154_)
);
sky130_fd_sc_hd__or2_2 _3612_ (
.A(\uart.txm.prescaler[7] ),
.B(_1152_),
.X(_1155_)
);
sky130_fd_sc_hd__a21o_2 _3613_ (
.A1(_1136_),
.A2(_1032_),
.B1(_1155_),
.X(_1156_)
);
sky130_fd_sc_hd__a21oi_2 _3614_ (
.A1(_1154_),
.A2(_1156_),
.B1(_1150_),
.Y(_0066_)
);
sky130_fd_sc_hd__nor2_2 _3615_ (
.A(\uart.txm.prescaler[8] ),
.B(_1155_),
.Y(_1157_)
);
sky130_fd_sc_hd__o211a_2 _3616_ (
.A1(\uart.txm.prescaler[9] ),
.A2(_1030_),
.B1(_1157_),
.C1(_1091_),
.X(_1158_)
);
sky130_fd_sc_hd__a31o_2 _3617_ (
.A1(\uart.txm.prescaler[8] ),
.A2(_1038_),
.A3(_1155_),
.B1(_1158_),
.X(_0067_)
);
sky130_fd_sc_hd__o21a_2 _3618_ (
.A1(\uart.txm.prescaler[8] ),
.A2(_1155_),
.B1(\uart.txm.prescaler[9] ),
.X(_1159_)
);
sky130_fd_sc_hd__o221a_2 _3619_ (
.A1(\uart.txm.prescaler[9] ),
.A2(_1030_),
.B1(_1159_),
.B2(_2153_),
.C1(_1092_),
.X(_0068_)
);
sky130_fd_sc_hd__and2b_2 _3620_ (
.A_N(_0987_),
.B(_1110_),
.X(_1160_)
);
sky130_fd_sc_hd__buf_1 _3621_ (
.A(_1160_),
.X(_0069_)
);
sky130_fd_sc_hd__mux2_2 _3622_ (
.A0(io_uart_tx),
.A1(\uart.txm._T_68 ),
.S(_2152_),
.X(_1161_)
);
sky130_fd_sc_hd__or2_2 _3623_ (
.A(_0957_),
.B(_1161_),
.X(_1162_)
);
sky130_fd_sc_hd__buf_1 _3624_ (
.A(_1162_),
.X(_0070_)
);
sky130_fd_sc_hd__buf_1 _3625_ (
.A(io_dbus_wdata[0]),
.X(_1163_)
);
sky130_fd_sc_hd__or2_2 _3626_ (
.A(_0338_),
.B(_0350_),
.X(_1164_)
);
sky130_fd_sc_hd__buf_1 _3627_ (
.A(_1164_),
.X(_1165_)
);
sky130_fd_sc_hd__or2_2 _3628_ (
.A(_1070_),
.B(_1165_),
.X(_1166_)
);
sky130_fd_sc_hd__buf_1 _3629_ (
.A(_1166_),
.X(_1167_)
);
sky130_fd_sc_hd__mux2_2 _3630_ (
.A0(_1163_),
.A1(_0989_),
.S(_1167_),
.X(_1168_)
);
sky130_fd_sc_hd__and2_2 _3631_ (
.A(_1057_),
.B(_1168_),
.X(_1169_)
);
sky130_fd_sc_hd__buf_1 _3632_ (
.A(_1169_),
.X(_0071_)
);
sky130_fd_sc_hd__buf_1 _3633_ (
.A(io_dbus_wdata[1]),
.X(_1170_)
);
sky130_fd_sc_hd__mux2_2 _3634_ (
.A0(_1170_),
.A1(\uart.baud_r[1] ),
.S(_1167_),
.X(_1171_)
);
sky130_fd_sc_hd__and2_2 _3635_ (
.A(_1057_),
.B(_1171_),
.X(_1172_)
);
sky130_fd_sc_hd__buf_1 _3636_ (
.A(_1172_),
.X(_0072_)
);
sky130_fd_sc_hd__buf_1 _3637_ (
.A(_1037_),
.X(_1173_)
);
sky130_fd_sc_hd__buf_1 _3638_ (
.A(io_dbus_wdata[2]),
.X(_1174_)
);
sky130_fd_sc_hd__mux2_2 _3639_ (
.A0(_1174_),
.A1(_1002_),
.S(_1167_),
.X(_1175_)
);
sky130_fd_sc_hd__and2_2 _3640_ (
.A(_1173_),
.B(_1175_),
.X(_1176_)
);
sky130_fd_sc_hd__buf_1 _3641_ (
.A(_1176_),
.X(_0073_)
);
sky130_fd_sc_hd__mux2_2 _3642_ (
.A0(io_dbus_wdata[3]),
.A1(_0970_),
.S(_1166_),
.X(_1177_)
);
sky130_fd_sc_hd__or2_2 _3643_ (
.A(_0957_),
.B(_1177_),
.X(_1178_)
);
sky130_fd_sc_hd__buf_1 _3644_ (
.A(_1178_),
.X(_0074_)
);
sky130_fd_sc_hd__buf_1 _3645_ (
.A(io_dbus_wdata[4]),
.X(_1179_)
);
sky130_fd_sc_hd__mux2_2 _3646_ (
.A0(_1179_),
.A1(_1013_),
.S(_1167_),
.X(_1180_)
);
sky130_fd_sc_hd__and2_2 _3647_ (
.A(_1173_),
.B(_1180_),
.X(_1181_)
);
sky130_fd_sc_hd__buf_1 _3648_ (
.A(_1181_),
.X(_0075_)
);
sky130_fd_sc_hd__buf_1 _3649_ (
.A(io_dbus_wdata[5]),
.X(_1182_)
);
sky130_fd_sc_hd__mux2_2 _3650_ (
.A0(_1182_),
.A1(\uart.baud_r[5] ),
.S(_1167_),
.X(_1183_)
);
sky130_fd_sc_hd__and2_2 _3651_ (
.A(_1173_),
.B(_1183_),
.X(_1184_)
);
sky130_fd_sc_hd__buf_1 _3652_ (
.A(_1184_),
.X(_0076_)
);
sky130_fd_sc_hd__buf_1 _3653_ (
.A(io_dbus_wdata[6]),
.X(_1185_)
);
sky130_fd_sc_hd__mux2_2 _3654_ (
.A0(_1185_),
.A1(\uart.baud_r[6] ),
.S(_1166_),
.X(_1186_)
);
sky130_fd_sc_hd__and2_2 _3655_ (
.A(_1173_),
.B(_1186_),
.X(_1187_)
);
sky130_fd_sc_hd__buf_1 _3656_ (
.A(_1187_),
.X(_0077_)
);
sky130_fd_sc_hd__buf_1 _3657_ (
.A(io_dbus_wdata[7]),
.X(_1188_)
);
sky130_fd_sc_hd__mux2_2 _3658_ (
.A0(_1188_),
.A1(_1029_),
.S(_1166_),
.X(_1189_)
);
sky130_fd_sc_hd__and2_2 _3659_ (
.A(_1173_),
.B(_1189_),
.X(_1190_)
);
sky130_fd_sc_hd__buf_1 _3660_ (
.A(_1190_),
.X(_0078_)
);
sky130_fd_sc_hd__nand2_2 _3661_ (
.A(_2131_),
.B(io_dbus_addr[0]),
.Y(_1191_)
);
sky130_fd_sc_hd__or3_2 _3662_ (
.A(_1191_),
.B(_1065_),
.C(_1075_),
.X(_1192_)
);
sky130_fd_sc_hd__or2_2 _3663_ (
.A(_1165_),
.B(_1192_),
.X(_1193_)
);
sky130_fd_sc_hd__buf_1 _3664_ (
.A(_1193_),
.X(_1194_)
);
sky130_fd_sc_hd__inv_2 _3665_ (
.A(io_dbus_wdata[0]),
.Y(_1195_)
);
sky130_fd_sc_hd__nor2_2 _3666_ (
.A(_1195_),
.B(_1194_),
.Y(_1196_)
);
sky130_fd_sc_hd__a211o_2 _3667_ (
.A1(\uart.int_mask_r[0] ),
.A2(_1194_),
.B1(_1196_),
.C1(_1150_),
.X(_0079_)
);
sky130_fd_sc_hd__buf_1 _3668_ (
.A(_0341_),
.X(_1197_)
);
sky130_fd_sc_hd__buf_1 _3669_ (
.A(_1197_),
.X(_1198_)
);
sky130_fd_sc_hd__mux2_2 _3670_ (
.A0(_1170_),
.A1(\uart.int_mask_r[1] ),
.S(_1194_),
.X(_1199_)
);
sky130_fd_sc_hd__and2_2 _3671_ (
.A(_1198_),
.B(_1199_),
.X(_1200_)
);
sky130_fd_sc_hd__buf_1 _3672_ (
.A(_1200_),
.X(_0080_)
);
sky130_fd_sc_hd__mux2_2 _3673_ (
.A0(_1174_),
.A1(\uart.int_mask_r[2] ),
.S(_1194_),
.X(_1201_)
);
sky130_fd_sc_hd__and2_2 _3674_ (
.A(_1198_),
.B(_1201_),
.X(_1202_)
);
sky130_fd_sc_hd__buf_1 _3675_ (
.A(_1202_),
.X(_0081_)
);
sky130_fd_sc_hd__buf_1 _3676_ (
.A(io_dbus_wdata[3]),
.X(_1203_)
);
sky130_fd_sc_hd__mux2_2 _3677_ (
.A0(_1203_),
.A1(\uart.int_mask_r[3] ),
.S(_1194_),
.X(_1204_)
);
sky130_fd_sc_hd__and2_2 _3678_ (
.A(_1198_),
.B(_1204_),
.X(_1205_)
);
sky130_fd_sc_hd__buf_1 _3679_ (
.A(_1205_),
.X(_0082_)
);
sky130_fd_sc_hd__mux2_2 _3680_ (
.A0(_1179_),
.A1(\uart.int_mask_r[4] ),
.S(_1193_),
.X(_1206_)
);
sky130_fd_sc_hd__and2_2 _3681_ (
.A(_1198_),
.B(_1206_),
.X(_1207_)
);
sky130_fd_sc_hd__buf_1 _3682_ (
.A(_1207_),
.X(_0083_)
);
sky130_fd_sc_hd__mux2_2 _3683_ (
.A0(_1182_),
.A1(\uart.int_mask_r[5] ),
.S(_1193_),
.X(_1208_)
);
sky130_fd_sc_hd__and2_2 _3684_ (
.A(_1198_),
.B(_1208_),
.X(_1209_)
);
sky130_fd_sc_hd__buf_1 _3685_ (
.A(_1209_),
.X(_0084_)
);
sky130_fd_sc_hd__buf_1 _3686_ (
.A(_1197_),
.X(_1210_)
);
sky130_fd_sc_hd__mux2_2 _3687_ (
.A0(_1185_),
.A1(\uart.int_mask_r[6] ),
.S(_1193_),
.X(_1211_)
);
sky130_fd_sc_hd__and2_2 _3688_ (
.A(_1210_),
.B(_1211_),
.X(_1212_)
);
sky130_fd_sc_hd__buf_1 _3689_ (
.A(_1212_),
.X(_0085_)
);
sky130_fd_sc_hd__mux2_2 _3690_ (
.A0(_1188_),
.A1(\uart.int_mask_r[7] ),
.S(_1193_),
.X(_1213_)
);
sky130_fd_sc_hd__and2_2 _3691_ (
.A(_1210_),
.B(_1213_),
.X(_1214_)
);
sky130_fd_sc_hd__buf_1 _3692_ (
.A(_1214_),
.X(_0086_)
);
sky130_fd_sc_hd__buf_1 _3693_ (
.A(\uart.rxm.io_out_valid ),
.X(_1215_)
);
sky130_fd_sc_hd__buf_1 _3694_ (
.A(_1215_),
.X(_1216_)
);
sky130_fd_sc_hd__or2_2 _3695_ (
.A(_1076_),
.B(_1165_),
.X(_1217_)
);
sky130_fd_sc_hd__mux2_2 _3696_ (
.A0(_1170_),
.A1(_1131_),
.S(_1217_),
.X(_1218_)
);
sky130_fd_sc_hd__or2b_2 _3697_ (
.A(\uart._T_97[0] ),
.B_N(_1216_),
.X(_1219_)
);
sky130_fd_sc_hd__o211a_2 _3698_ (
.A1(_1216_),
.A2(_1218_),
.B1(_1219_),
.C1(_1097_),
.X(_0087_)
);
sky130_fd_sc_hd__or2_2 _3699_ (
.A(_1191_),
.B(_1066_),
.X(_1220_)
);
sky130_fd_sc_hd__or2_2 _3700_ (
.A(_1165_),
.B(_1220_),
.X(_1221_)
);
sky130_fd_sc_hd__buf_1 _3701_ (
.A(_1221_),
.X(_1222_)
);
sky130_fd_sc_hd__nor2_2 _3702_ (
.A(_0958_),
.B(_1222_),
.Y(_0088_)
);
sky130_fd_sc_hd__or2_2 _3703_ (
.A(_1067_),
.B(_1164_),
.X(_1223_)
);
sky130_fd_sc_hd__buf_1 _3704_ (
.A(_1223_),
.X(_1224_)
);
sky130_fd_sc_hd__mux2_2 _3705_ (
.A0(_1163_),
.A1(\uart.control_r[0] ),
.S(_1224_),
.X(_1225_)
);
sky130_fd_sc_hd__and2_2 _3706_ (
.A(_1210_),
.B(_1225_),
.X(_1226_)
);
sky130_fd_sc_hd__buf_1 _3707_ (
.A(_1226_),
.X(_0089_)
);
sky130_fd_sc_hd__mux2_2 _3708_ (
.A0(_1170_),
.A1(\uart.control_r[1] ),
.S(_1224_),
.X(_1227_)
);
sky130_fd_sc_hd__and2_2 _3709_ (
.A(_1210_),
.B(_1227_),
.X(_1228_)
);
sky130_fd_sc_hd__buf_1 _3710_ (
.A(_1228_),
.X(_0090_)
);
sky130_fd_sc_hd__mux2_2 _3711_ (
.A0(_1174_),
.A1(\uart.control_r[2] ),
.S(_1224_),
.X(_1229_)
);
sky130_fd_sc_hd__and2_2 _3712_ (
.A(_1210_),
.B(_1229_),
.X(_1230_)
);
sky130_fd_sc_hd__buf_1 _3713_ (
.A(_1230_),
.X(_0091_)
);
sky130_fd_sc_hd__buf_1 _3714_ (
.A(_1197_),
.X(_1231_)
);
sky130_fd_sc_hd__mux2_2 _3715_ (
.A0(_1203_),
.A1(\uart.control_r[3] ),
.S(_1224_),
.X(_1232_)
);
sky130_fd_sc_hd__and2_2 _3716_ (
.A(_1231_),
.B(_1232_),
.X(_1233_)
);
sky130_fd_sc_hd__buf_1 _3717_ (
.A(_1233_),
.X(_0092_)
);
sky130_fd_sc_hd__mux2_2 _3718_ (
.A0(_1179_),
.A1(\uart.control_r[4] ),
.S(_1224_),
.X(_1234_)
);
sky130_fd_sc_hd__and2_2 _3719_ (
.A(_1231_),
.B(_1234_),
.X(_1235_)
);
sky130_fd_sc_hd__buf_1 _3720_ (
.A(_1235_),
.X(_0093_)
);
sky130_fd_sc_hd__mux2_2 _3721_ (
.A0(_1182_),
.A1(\uart.control_r[5] ),
.S(_1223_),
.X(_1236_)
);
sky130_fd_sc_hd__and2_2 _3722_ (
.A(_1231_),
.B(_1236_),
.X(_1237_)
);
sky130_fd_sc_hd__buf_1 _3723_ (
.A(_1237_),
.X(_0094_)
);
sky130_fd_sc_hd__mux2_2 _3724_ (
.A0(_1185_),
.A1(\uart.control_r[6] ),
.S(_1223_),
.X(_1238_)
);
sky130_fd_sc_hd__and2_2 _3725_ (
.A(_1231_),
.B(_1238_),
.X(_1239_)
);
sky130_fd_sc_hd__buf_1 _3726_ (
.A(_1239_),
.X(_0095_)
);
sky130_fd_sc_hd__mux2_2 _3727_ (
.A0(_1188_),
.A1(io_uart_txen),
.S(_1223_),
.X(_1240_)
);
sky130_fd_sc_hd__and2_2 _3728_ (
.A(_1231_),
.B(_1240_),
.X(_1241_)
);
sky130_fd_sc_hd__buf_1 _3729_ (
.A(_1241_),
.X(_0096_)
);
sky130_fd_sc_hd__buf_1 _3730_ (
.A(_1197_),
.X(_1242_)
);
sky130_fd_sc_hd__buf_1 _3731_ (
.A(\uart.rxm.io_out_valid ),
.X(_1243_)
);
sky130_fd_sc_hd__mux2_2 _3732_ (
.A0(\uart.rx_data_r[0] ),
.A1(\uart.rxm.io_out_bits[0] ),
.S(_1243_),
.X(_1244_)
);
sky130_fd_sc_hd__and2_2 _3733_ (
.A(_1242_),
.B(_1244_),
.X(_1245_)
);
sky130_fd_sc_hd__buf_1 _3734_ (
.A(_1245_),
.X(_0097_)
);
sky130_fd_sc_hd__mux2_2 _3735_ (
.A0(\uart.rx_data_r[1] ),
.A1(\uart.rxm._T_49[0] ),
.S(_1243_),
.X(_1246_)
);
sky130_fd_sc_hd__and2_2 _3736_ (
.A(_1242_),
.B(_1246_),
.X(_1247_)
);
sky130_fd_sc_hd__buf_1 _3737_ (
.A(_1247_),
.X(_0098_)
);
sky130_fd_sc_hd__mux2_2 _3738_ (
.A0(\uart.rx_data_r[2] ),
.A1(\uart.rxm._T_49[1] ),
.S(_1243_),
.X(_1248_)
);
sky130_fd_sc_hd__and2_2 _3739_ (
.A(_1242_),
.B(_1248_),
.X(_1249_)
);
sky130_fd_sc_hd__buf_1 _3740_ (
.A(_1249_),
.X(_0099_)
);
sky130_fd_sc_hd__mux2_2 _3741_ (
.A0(\uart.rx_data_r[3] ),
.A1(\uart.rxm._T_49[2] ),
.S(_1243_),
.X(_1250_)
);
sky130_fd_sc_hd__and2_2 _3742_ (
.A(_1242_),
.B(_1250_),
.X(_1251_)
);
sky130_fd_sc_hd__buf_1 _3743_ (
.A(_1251_),
.X(_0100_)
);
sky130_fd_sc_hd__mux2_2 _3744_ (
.A0(\uart.rx_data_r[4] ),
.A1(\uart.rxm._T_49[3] ),
.S(_1243_),
.X(_1252_)
);
sky130_fd_sc_hd__and2_2 _3745_ (
.A(_1242_),
.B(_1252_),
.X(_1253_)
);
sky130_fd_sc_hd__buf_1 _3746_ (
.A(_1253_),
.X(_0101_)
);
sky130_fd_sc_hd__buf_1 _3747_ (
.A(_1197_),
.X(_1254_)
);
sky130_fd_sc_hd__mux2_2 _3748_ (
.A0(\uart.rx_data_r[5] ),
.A1(\uart.rxm._T_49[4] ),
.S(_1215_),
.X(_1255_)
);
sky130_fd_sc_hd__and2_2 _3749_ (
.A(_1254_),
.B(_1255_),
.X(_1256_)
);
sky130_fd_sc_hd__buf_1 _3750_ (
.A(_1256_),
.X(_0102_)
);
sky130_fd_sc_hd__mux2_2 _3751_ (
.A0(\uart.rx_data_r[6] ),
.A1(\uart.rxm._T_49[5] ),
.S(_1215_),
.X(_1257_)
);
sky130_fd_sc_hd__and2_2 _3752_ (
.A(_1254_),
.B(_1257_),
.X(_1258_)
);
sky130_fd_sc_hd__buf_1 _3753_ (
.A(_1258_),
.X(_0103_)
);
sky130_fd_sc_hd__mux2_2 _3754_ (
.A0(\uart.rx_data_r[7] ),
.A1(\uart.rxm._T_49[6] ),
.S(_1215_),
.X(_1259_)
);
sky130_fd_sc_hd__and2_2 _3755_ (
.A(_1254_),
.B(_1259_),
.X(_1260_)
);
sky130_fd_sc_hd__buf_1 _3756_ (
.A(_1260_),
.X(_0104_)
);
sky130_fd_sc_hd__mux2_2 _3757_ (
.A0(_1163_),
.A1(\uart.tx_data_r[0] ),
.S(_1222_),
.X(_1261_)
);
sky130_fd_sc_hd__and2_2 _3758_ (
.A(_1254_),
.B(_1261_),
.X(_1262_)
);
sky130_fd_sc_hd__buf_1 _3759_ (
.A(_1262_),
.X(_0105_)
);
sky130_fd_sc_hd__mux2_2 _3760_ (
.A0(io_dbus_wdata[1]),
.A1(\uart.tx_data_r[1] ),
.S(_1221_),
.X(_1263_)
);
sky130_fd_sc_hd__or2_2 _3761_ (
.A(_0957_),
.B(_1263_),
.X(_1264_)
);
sky130_fd_sc_hd__buf_1 _3762_ (
.A(_1264_),
.X(_0106_)
);
sky130_fd_sc_hd__mux2_2 _3763_ (
.A0(_1174_),
.A1(\uart.tx_data_r[2] ),
.S(_1222_),
.X(_1265_)
);
sky130_fd_sc_hd__and2_2 _3764_ (
.A(_1254_),
.B(_1265_),
.X(_1266_)
);
sky130_fd_sc_hd__buf_1 _3765_ (
.A(_1266_),
.X(_0107_)
);
sky130_fd_sc_hd__buf_1 _3766_ (
.A(_0956_),
.X(_1267_)
);
sky130_fd_sc_hd__mux2_2 _3767_ (
.A0(io_dbus_wdata[3]),
.A1(\uart.tx_data_r[3] ),
.S(_1221_),
.X(_1268_)
);
sky130_fd_sc_hd__or2_2 _3768_ (
.A(_1267_),
.B(_1268_),
.X(_1269_)
);
sky130_fd_sc_hd__buf_1 _3769_ (
.A(_1269_),
.X(_0108_)
);
sky130_fd_sc_hd__buf_1 _3770_ (
.A(_1095_),
.X(_1270_)
);
sky130_fd_sc_hd__mux2_2 _3771_ (
.A0(_1179_),
.A1(\uart.tx_data_r[4] ),
.S(_1222_),
.X(_1271_)
);
sky130_fd_sc_hd__and2_2 _3772_ (
.A(_1270_),
.B(_1271_),
.X(_1272_)
);
sky130_fd_sc_hd__buf_1 _3773_ (
.A(_1272_),
.X(_0109_)
);
sky130_fd_sc_hd__mux2_2 _3774_ (
.A0(_1182_),
.A1(\uart.tx_data_r[5] ),
.S(_1222_),
.X(_1273_)
);
sky130_fd_sc_hd__and2_2 _3775_ (
.A(_1270_),
.B(_1273_),
.X(_1274_)
);
sky130_fd_sc_hd__buf_1 _3776_ (
.A(_1274_),
.X(_0110_)
);
sky130_fd_sc_hd__mux2_2 _3777_ (
.A0(io_dbus_wdata[6]),
.A1(\uart.tx_data_r[6] ),
.S(_1221_),
.X(_1275_)
);
sky130_fd_sc_hd__or2_2 _3778_ (
.A(_1267_),
.B(_1275_),
.X(_1276_)
);
sky130_fd_sc_hd__buf_1 _3779_ (
.A(_1276_),
.X(_0111_)
);
sky130_fd_sc_hd__mux2_2 _3780_ (
.A0(io_dbus_wdata[7]),
.A1(\uart.tx_data_r[7] ),
.S(_1221_),
.X(_1277_)
);
sky130_fd_sc_hd__and2_2 _3781_ (
.A(_1270_),
.B(_1277_),
.X(_1278_)
);
sky130_fd_sc_hd__buf_1 _3782_ (
.A(_1278_),
.X(_0112_)
);
sky130_fd_sc_hd__buf_1 _3783_ (
.A(\spi.n_status[0] ),
.X(_1279_)
);
sky130_fd_sc_hd__inv_2 _3784_ (
.A(_1279_),
.Y(_1280_)
);
sky130_fd_sc_hd__nor2_2 _3785_ (
.A(_1280_),
.B(_0958_),
.Y(_0113_)
);
sky130_fd_sc_hd__inv_2 _3786_ (
.A(\spi.n_status[1] ),
.Y(_1281_)
);
sky130_fd_sc_hd__nor2_2 _3787_ (
.A(_1281_),
.B(_0958_),
.Y(_0114_)
);
sky130_fd_sc_hd__buf_1 _3788_ (
.A(\spi.n_status[2] ),
.X(_1282_)
);
sky130_fd_sc_hd__and2_2 _3789_ (
.A(_1282_),
.B(_0953_),
.X(_1283_)
);
sky130_fd_sc_hd__buf_1 _3790_ (
.A(_1283_),
.X(_0115_)
);
sky130_fd_sc_hd__buf_1 _3791_ (
.A(_1215_),
.X(_1284_)
);
sky130_fd_sc_hd__or4_2 _3792_ (
.A(_2138_),
.B(_2141_),
.C(_1065_),
.D(_1075_),
.X(_1285_)
);
sky130_fd_sc_hd__nor3_2 _3793_ (
.A(_1284_),
.B(_1285_),
.C(_1165_),
.Y(_1286_)
);
sky130_fd_sc_hd__buf_1 _3794_ (
.A(_1286_),
.X(_1287_)
);
sky130_fd_sc_hd__buf_1 _3795_ (
.A(_1217_),
.X(_1288_)
);
sky130_fd_sc_hd__or3_2 _3796_ (
.A(_1174_),
.B(_1216_),
.C(_1288_),
.X(_1289_)
);
sky130_fd_sc_hd__buf_1 _3797_ (
.A(_1096_),
.X(_1290_)
);
sky130_fd_sc_hd__o211a_2 _3798_ (
.A1(\uart._T_102[0] ),
.A2(_1287_),
.B1(_1289_),
.C1(_1290_),
.X(_0116_)
);
sky130_fd_sc_hd__or3_2 _3799_ (
.A(_1203_),
.B(_1216_),
.C(_1288_),
.X(_1291_)
);
sky130_fd_sc_hd__o211a_2 _3800_ (
.A1(\uart._T_102[1] ),
.A2(_1287_),
.B1(_1291_),
.C1(_1290_),
.X(_0117_)
);
sky130_fd_sc_hd__or3_2 _3801_ (
.A(_1179_),
.B(_1284_),
.C(_1288_),
.X(_1292_)
);
sky130_fd_sc_hd__o211a_2 _3802_ (
.A1(\uart._T_102[2] ),
.A2(_1287_),
.B1(_1292_),
.C1(_1290_),
.X(_0118_)
);
sky130_fd_sc_hd__or3_2 _3803_ (
.A(_1182_),
.B(_1284_),
.C(_1288_),
.X(_1293_)
);
sky130_fd_sc_hd__o211a_2 _3804_ (
.A1(\uart._T_102[3] ),
.A2(_1287_),
.B1(_1293_),
.C1(_1290_),
.X(_0119_)
);
sky130_fd_sc_hd__or3_2 _3805_ (
.A(_1185_),
.B(_1284_),
.C(_1288_),
.X(_1294_)
);
sky130_fd_sc_hd__o211a_2 _3806_ (
.A1(\uart._T_102[4] ),
.A2(_1287_),
.B1(_1294_),
.C1(_1290_),
.X(_0120_)
);
sky130_fd_sc_hd__or3_2 _3807_ (
.A(_1188_),
.B(_1284_),
.C(_1217_),
.X(_1295_)
);
sky130_fd_sc_hd__buf_1 _3808_ (
.A(_1096_),
.X(_1296_)
);
sky130_fd_sc_hd__o211a_2 _3809_ (
.A1(\uart._T_102[5] ),
.A2(_1286_),
.B1(_1295_),
.C1(_1296_),
.X(_0121_)
);
sky130_fd_sc_hd__mux2_2 _3810_ (
.A0(_1163_),
.A1(\uart._T_103 ),
.S(_1217_),
.X(_1297_)
);
sky130_fd_sc_hd__o21a_2 _3811_ (
.A1(_1216_),
.A2(_1297_),
.B1(_0005_),
.X(_0122_)
);
sky130_fd_sc_hd__or2_2 _3812_ (
.A(_0510_),
.B(_0892_),
.X(_1298_)
);
sky130_fd_sc_hd__nor2_2 _3813_ (
.A(_0369_),
.B(_0666_),
.Y(_1299_)
);
sky130_fd_sc_hd__and2_2 _3814_ (
.A(_2086_),
.B(_0714_),
.X(_1300_)
);
sky130_fd_sc_hd__a21oi_2 _3815_ (
.A1(_0374_),
.A2(_0593_),
.B1(_0639_),
.Y(_1301_)
);
sky130_fd_sc_hd__nor2_2 _3816_ (
.A(_0647_),
.B(_0638_),
.Y(_1302_)
);
sky130_fd_sc_hd__or2_2 _3817_ (
.A(_0656_),
.B(_1302_),
.X(_1303_)
);
sky130_fd_sc_hd__nor2_2 _3818_ (
.A(_0908_),
.B(_0618_),
.Y(_1304_)
);
sky130_fd_sc_hd__nor2_2 _3819_ (
.A(_0557_),
.B(_0643_),
.Y(_1305_)
);
sky130_fd_sc_hd__or3_2 _3820_ (
.A(_0786_),
.B(_1304_),
.C(_1305_),
.X(_1306_)
);
sky130_fd_sc_hd__nor2_2 _3821_ (
.A(_0838_),
.B(_0905_),
.Y(_1307_)
);
sky130_fd_sc_hd__nor2_2 _3822_ (
.A(_0585_),
.B(_0568_),
.Y(_1308_)
);
sky130_fd_sc_hd__and3_2 _3823_ (
.A(_0826_),
.B(_0496_),
.C(_0441_),
.X(_1309_)
);
sky130_fd_sc_hd__nor2_2 _3824_ (
.A(_0439_),
.B(_0490_),
.Y(_1310_)
);
sky130_fd_sc_hd__or2_2 _3825_ (
.A(_0832_),
.B(_1310_),
.X(_1311_)
);
sky130_fd_sc_hd__or2_2 _3826_ (
.A(_0544_),
.B(_0876_),
.X(_1312_)
);
sky130_fd_sc_hd__nor2_2 _3827_ (
.A(_0512_),
.B(_0731_),
.Y(_1313_)
);
sky130_fd_sc_hd__nor2_2 _3828_ (
.A(_0908_),
.B(_0668_),
.Y(_1314_)
);
sky130_fd_sc_hd__nor2_2 _3829_ (
.A(_0426_),
.B(_0596_),
.Y(_1315_)
);
sky130_fd_sc_hd__or2_2 _3830_ (
.A(_0589_),
.B(_0514_),
.X(_1316_)
);
sky130_fd_sc_hd__nor2_2 _3831_ (
.A(_0837_),
.B(_1316_),
.Y(_1317_)
);
sky130_fd_sc_hd__nor2_2 _3832_ (
.A(_0388_),
.B(_0463_),
.Y(_1318_)
);
sky130_fd_sc_hd__or3_2 _3833_ (
.A(_0798_),
.B(_0938_),
.C(_1318_),
.X(_1319_)
);
sky130_fd_sc_hd__or2_2 _3834_ (
.A(_0566_),
.B(_0921_),
.X(_1320_)
);
sky130_fd_sc_hd__nor2_2 _3835_ (
.A(_0382_),
.B(_0498_),
.Y(_1321_)
);
sky130_fd_sc_hd__o22ai_2 _3836_ (
.A1(_0396_),
.A2(_0550_),
.B1(_0742_),
.B2(_0402_),
.Y(_1322_)
);
sky130_fd_sc_hd__or4_2 _3837_ (
.A(_0548_),
.B(_0641_),
.C(_0820_),
.D(_1322_),
.X(_1323_)
);
sky130_fd_sc_hd__nor2_2 _3838_ (
.A(_0376_),
.B(_0458_),
.Y(_1324_)
);
sky130_fd_sc_hd__nor2_2 _3839_ (
.A(_0359_),
.B(_0550_),
.Y(_1325_)
);
sky130_fd_sc_hd__a21oi_2 _3840_ (
.A1(_0425_),
.A2(_0429_),
.B1(_0402_),
.Y(_1326_)
);
sky130_fd_sc_hd__or4_2 _3841_ (
.A(_0563_),
.B(_1324_),
.C(_1325_),
.D(_1326_),
.X(_1327_)
);
sky130_fd_sc_hd__or4b_2 _3842_ (
.A(_0404_),
.B(_0406_),
.C(_0409_),
.D_N(_0923_),
.X(_1328_)
);
sky130_fd_sc_hd__or4_2 _3843_ (
.A(_0873_),
.B(_1323_),
.C(_1327_),
.D(_1328_),
.X(_1329_)
);
sky130_fd_sc_hd__or4_2 _3844_ (
.A(_1320_),
.B(_1321_),
.C(_0686_),
.D(_1329_),
.X(_1330_)
);
sky130_fd_sc_hd__or4_2 _3845_ (
.A(_0598_),
.B(_0433_),
.C(_0834_),
.D(_1330_),
.X(_1331_)
);
sky130_fd_sc_hd__or4_2 _3846_ (
.A(_0673_),
.B(_0705_),
.C(_0796_),
.D(_1331_),
.X(_1332_)
);
sky130_fd_sc_hd__or4_2 _3847_ (
.A(_0476_),
.B(_0531_),
.C(_0791_),
.D(_1332_),
.X(_1333_)
);
sky130_fd_sc_hd__or4_2 _3848_ (
.A(_0632_),
.B(_0777_),
.C(_1319_),
.D(_1333_),
.X(_1334_)
);
sky130_fd_sc_hd__or4_2 _3849_ (
.A(_0574_),
.B(_0769_),
.C(_0775_),
.D(_1334_),
.X(_1335_)
);
sky130_fd_sc_hd__or4_2 _3850_ (
.A(_1315_),
.B(_0699_),
.C(_1317_),
.D(_1335_),
.X(_1336_)
);
sky130_fd_sc_hd__or4_2 _3851_ (
.A(_0538_),
.B(_1314_),
.C(_0646_),
.D(_1336_),
.X(_1337_)
);
sky130_fd_sc_hd__or4_2 _3852_ (
.A(_0494_),
.B(_0670_),
.C(_1313_),
.D(_1337_),
.X(_1338_)
);
sky130_fd_sc_hd__and3_2 _3853_ (
.A(_2074_),
.B(_0754_),
.C(_0497_),
.X(_1339_)
);
sky130_fd_sc_hd__or4_2 _3854_ (
.A(_1311_),
.B(_1312_),
.C(_1338_),
.D(_1339_),
.X(_1340_)
);
sky130_fd_sc_hd__or4_2 _3855_ (
.A(_0695_),
.B(_1308_),
.C(_1309_),
.D(_1340_),
.X(_1341_)
);
sky130_fd_sc_hd__a21oi_2 _3856_ (
.A1(_0469_),
.A2(_0505_),
.B1(_0586_),
.Y(_1342_)
);
sky130_fd_sc_hd__or4_2 _3857_ (
.A(_0660_),
.B(_1307_),
.C(_1341_),
.D(_1342_),
.X(_1343_)
);
sky130_fd_sc_hd__or4_2 _3858_ (
.A(_1301_),
.B(_1303_),
.C(_1306_),
.D(_1343_),
.X(_1344_)
);
sky130_fd_sc_hd__nor2_2 _3859_ (
.A(_0617_),
.B(_0654_),
.Y(_1345_)
);
sky130_fd_sc_hd__a21oi_2 _3860_ (
.A1(_0581_),
.A2(_0885_),
.B1(_0803_),
.Y(_1346_)
);
sky130_fd_sc_hd__or3b_2 _3861_ (
.A(_1345_),
.B(_0849_),
.C_N(_1346_),
.X(_1347_)
);
sky130_fd_sc_hd__or4_2 _3862_ (
.A(_0690_),
.B(_0807_),
.C(_1344_),
.D(_1347_),
.X(_1348_)
);
sky130_fd_sc_hd__or4_2 _3863_ (
.A(_0844_),
.B(_1299_),
.C(_1300_),
.D(_1348_),
.X(_1349_)
);
sky130_fd_sc_hd__o21a_2 _3864_ (
.A1(_0368_),
.A2(_0647_),
.B1(_0730_),
.X(_1350_)
);
sky130_fd_sc_hd__buf_1 _3865_ (
.A(_1350_),
.X(_1351_)
);
sky130_fd_sc_hd__buf_1 _3866_ (
.A(_1351_),
.X(_1352_)
);
sky130_fd_sc_hd__or2_2 _3867_ (
.A(\imem.bmem.bmem_data[8] ),
.B(_0767_),
.X(_1353_)
);
sky130_fd_sc_hd__o211a_2 _3868_ (
.A1(_1298_),
.A2(_1349_),
.B1(_1352_),
.C1(_1353_),
.X(_0123_)
);
sky130_fd_sc_hd__buf_1 _3869_ (
.A(_0369_),
.X(_1354_)
);
sky130_fd_sc_hd__nor2_2 _3870_ (
.A(_0904_),
.B(_0708_),
.Y(_1355_)
);
sky130_fd_sc_hd__or2_2 _3871_ (
.A(_0592_),
.B(_0377_),
.X(_1356_)
);
sky130_fd_sc_hd__o21ai_2 _3872_ (
.A1(_2085_),
.A2(_0560_),
.B1(_1356_),
.Y(_1357_)
);
sky130_fd_sc_hd__or3_2 _3873_ (
.A(_1355_),
.B(_0553_),
.C(_1357_),
.X(_1358_)
);
sky130_fd_sc_hd__buf_1 _3874_ (
.A(_0406_),
.X(_1359_)
);
sky130_fd_sc_hd__nor2_2 _3875_ (
.A(_1359_),
.B(_0641_),
.Y(_1360_)
);
sky130_fd_sc_hd__o21ai_2 _3876_ (
.A1(_0423_),
.A2(_0425_),
.B1(_1360_),
.Y(_1361_)
);
sky130_fd_sc_hd__a21o_2 _3877_ (
.A1(_0582_),
.A2(_0524_),
.B1(_0530_),
.X(_1362_)
);
sky130_fd_sc_hd__or3_2 _3878_ (
.A(_1358_),
.B(_1361_),
.C(_1362_),
.X(_1363_)
);
sky130_fd_sc_hd__nor2_2 _3879_ (
.A(_0417_),
.B(_0528_),
.Y(_1364_)
);
sky130_fd_sc_hd__buf_1 _3880_ (
.A(_1364_),
.X(_1365_)
);
sky130_fd_sc_hd__a21oi_2 _3881_ (
.A1(_0567_),
.A2(_0541_),
.B1(_0529_),
.Y(_1366_)
);
sky130_fd_sc_hd__buf_1 _3882_ (
.A(_0677_),
.X(_1367_)
);
sky130_fd_sc_hd__buf_1 _3883_ (
.A(_0926_),
.X(_1368_)
);
sky130_fd_sc_hd__a22o_2 _3884_ (
.A1(_1367_),
.A2(_1368_),
.B1(_0704_),
.B2(_0826_),
.X(_1369_)
);
sky130_fd_sc_hd__nor2_2 _3885_ (
.A(_0431_),
.B(_0465_),
.Y(_1370_)
);
sky130_fd_sc_hd__a31o_2 _3886_ (
.A1(_0412_),
.A2(_0752_),
.A3(_0496_),
.B1(_1370_),
.X(_1371_)
);
sky130_fd_sc_hd__or3_2 _3887_ (
.A(_0684_),
.B(_0928_),
.C(_1371_),
.X(_1372_)
);
sky130_fd_sc_hd__nor2_2 _3888_ (
.A(_0540_),
.B(_0466_),
.Y(_1373_)
);
sky130_fd_sc_hd__buf_1 _3889_ (
.A(_1373_),
.X(_1374_)
);
sky130_fd_sc_hd__nor2_2 _3890_ (
.A(_0587_),
.B(_0940_),
.Y(_1375_)
);
sky130_fd_sc_hd__nand2_2 _3891_ (
.A(_0385_),
.B(_0414_),
.Y(_1376_)
);
sky130_fd_sc_hd__a21oi_2 _3892_ (
.A1(_1376_),
.A2(_0939_),
.B1(_0559_),
.Y(_1377_)
);
sky130_fd_sc_hd__or4_2 _3893_ (
.A(_0736_),
.B(_0827_),
.C(_0856_),
.D(_0918_),
.X(_1378_)
);
sky130_fd_sc_hd__or4_2 _3894_ (
.A(_0738_),
.B(_0432_),
.C(_0921_),
.D(_1378_),
.X(_1379_)
);
sky130_fd_sc_hd__or4_2 _3895_ (
.A(_1374_),
.B(_1375_),
.C(_1377_),
.D(_1379_),
.X(_1380_)
);
sky130_fd_sc_hd__or4_2 _3896_ (
.A(_1366_),
.B(_1369_),
.C(_1372_),
.D(_1380_),
.X(_1381_)
);
sky130_fd_sc_hd__or4_2 _3897_ (
.A(_0394_),
.B(_0454_),
.C(_1365_),
.D(_1381_),
.X(_1382_)
);
sky130_fd_sc_hd__or2_2 _3898_ (
.A(_1363_),
.B(_1382_),
.X(_1383_)
);
sky130_fd_sc_hd__and2_2 _3899_ (
.A(_0758_),
.B(_1383_),
.X(_1384_)
);
sky130_fd_sc_hd__nor2_2 _3900_ (
.A(_0608_),
.B(_0630_),
.Y(_1385_)
);
sky130_fd_sc_hd__nor2_2 _3901_ (
.A(_0568_),
.B(_0617_),
.Y(_1386_)
);
sky130_fd_sc_hd__or3_2 _3902_ (
.A(_1385_),
.B(_0898_),
.C(_1386_),
.X(_1387_)
);
sky130_fd_sc_hd__or2_2 _3903_ (
.A(_0907_),
.B(_0906_),
.X(_1388_)
);
sky130_fd_sc_hd__or4_2 _3904_ (
.A(_0886_),
.B(_1304_),
.C(_1387_),
.D(_1388_),
.X(_1389_)
);
sky130_fd_sc_hd__or3_2 _3905_ (
.A(_1310_),
.B(_0876_),
.C(_1302_),
.X(_1390_)
);
sky130_fd_sc_hd__or3_2 _3906_ (
.A(_0857_),
.B(_0910_),
.C(_1390_),
.X(_1391_)
);
sky130_fd_sc_hd__and2_2 _3907_ (
.A(_2073_),
.B(_0748_),
.X(_1392_)
);
sky130_fd_sc_hd__buf_1 _3908_ (
.A(_1392_),
.X(_1393_)
);
sky130_fd_sc_hd__or2_2 _3909_ (
.A(_0374_),
.B(_0745_),
.X(_1394_)
);
sky130_fd_sc_hd__o21ai_2 _3910_ (
.A1(_0606_),
.A2(_1394_),
.B1(_0578_),
.Y(_1395_)
);
sky130_fd_sc_hd__or2_2 _3911_ (
.A(_1393_),
.B(_1395_),
.X(_1396_)
);
sky130_fd_sc_hd__buf_1 _3912_ (
.A(_0547_),
.X(_1397_)
);
sky130_fd_sc_hd__or2_2 _3913_ (
.A(_0573_),
.B(_0667_),
.X(_1398_)
);
sky130_fd_sc_hd__and2b_2 _3914_ (
.A_N(_2075_),
.B(_0609_),
.X(_1399_)
);
sky130_fd_sc_hd__nor2_2 _3915_ (
.A(_0904_),
.B(_0678_),
.Y(_1400_)
);
sky130_fd_sc_hd__a2111o_2 _3916_ (
.A1(_1397_),
.A2(_0672_),
.B1(_1398_),
.C1(_1399_),
.D1(_1400_),
.X(_1401_)
);
sky130_fd_sc_hd__or4_2 _3917_ (
.A(_1389_),
.B(_1391_),
.C(_1396_),
.D(_1401_),
.X(_1402_)
);
sky130_fd_sc_hd__nor2_2 _3918_ (
.A(_0642_),
.B(_0734_),
.Y(_1403_)
);
sky130_fd_sc_hd__nor2_2 _3919_ (
.A(_0567_),
.B(_0628_),
.Y(_1404_)
);
sky130_fd_sc_hd__or3_2 _3920_ (
.A(_0914_),
.B(_1404_),
.C(_0911_),
.X(_1405_)
);
sky130_fd_sc_hd__and2b_2 _3921_ (
.A_N(_0606_),
.B(_0661_),
.X(_1406_)
);
sky130_fd_sc_hd__or4_2 _3922_ (
.A(_0517_),
.B(_1403_),
.C(_1405_),
.D(_1406_),
.X(_1407_)
);
sky130_fd_sc_hd__nor2_2 _3923_ (
.A(_0666_),
.B(_0722_),
.Y(_1408_)
);
sky130_fd_sc_hd__or3_2 _3924_ (
.A(_1408_),
.B(_0795_),
.C(_0849_),
.X(_1409_)
);
sky130_fd_sc_hd__nor2_2 _3925_ (
.A(_0558_),
.B(_0831_),
.Y(_1410_)
);
sky130_fd_sc_hd__a21o_2 _3926_ (
.A1(_0387_),
.A2(_0862_),
.B1(_1410_),
.X(_1411_)
);
sky130_fd_sc_hd__or4_2 _3927_ (
.A(_0733_),
.B(_0901_),
.C(_1409_),
.D(_1411_),
.X(_1412_)
);
sky130_fd_sc_hd__or3_2 _3928_ (
.A(_1402_),
.B(_1407_),
.C(_1412_),
.X(_1413_)
);
sky130_fd_sc_hd__or2_2 _3929_ (
.A(_1384_),
.B(_1413_),
.X(_1414_)
);
sky130_fd_sc_hd__o21a_2 _3930_ (
.A1(_1354_),
.A2(_0757_),
.B1(_1414_),
.X(_1415_)
);
sky130_fd_sc_hd__nor2_2 _3931_ (
.A(_0365_),
.B(_0461_),
.Y(_1416_)
);
sky130_fd_sc_hd__or2_2 _3932_ (
.A(\imem.bmem.bmem_data[13] ),
.B(_0767_),
.X(_1417_)
);
sky130_fd_sc_hd__o311a_2 _3933_ (
.A1(_0894_),
.A2(_1415_),
.A3(_1416_),
.B1(_1417_),
.C1(_1351_),
.X(_0124_)
);
sky130_fd_sc_hd__buf_1 _3934_ (
.A(_0766_),
.X(_1418_)
);
sky130_fd_sc_hd__buf_1 _3935_ (
.A(_1418_),
.X(_1419_)
);
sky130_fd_sc_hd__nor2_2 _3936_ (
.A(_0366_),
.B(_0642_),
.Y(_1420_)
);
sky130_fd_sc_hd__nor2_2 _3937_ (
.A(_0715_),
.B(_1420_),
.Y(_1421_)
);
sky130_fd_sc_hd__inv_2 _3938_ (
.A(_0884_),
.Y(_1422_)
);
sky130_fd_sc_hd__o211a_2 _3939_ (
.A1(_2084_),
.A2(_0927_),
.B1(_0552_),
.C1(_0420_),
.X(_1423_)
);
sky130_fd_sc_hd__o211a_2 _3940_ (
.A1(_0752_),
.A2(_0635_),
.B1(_1423_),
.C1(_0594_),
.X(_1424_)
);
sky130_fd_sc_hd__inv_2 _3941_ (
.A(_0424_),
.Y(_1425_)
);
sky130_fd_sc_hd__and3_2 _3942_ (
.A(_2097_),
.B(_0581_),
.C(_1425_),
.X(_1426_)
);
sky130_fd_sc_hd__a21oi_2 _3943_ (
.A1(_0564_),
.A2(_1424_),
.B1(_1426_),
.Y(_1427_)
);
sky130_fd_sc_hd__or2_2 _3944_ (
.A(_0453_),
.B(_0916_),
.X(_1428_)
);
sky130_fd_sc_hd__or4_2 _3945_ (
.A(_0416_),
.B(_0432_),
.C(_1371_),
.D(_1428_),
.X(_1429_)
);
sky130_fd_sc_hd__nor2_2 _3946_ (
.A(_0596_),
.B(_0556_),
.Y(_1430_)
);
sky130_fd_sc_hd__or3_2 _3947_ (
.A(_0517_),
.B(_1430_),
.C(_0915_),
.X(_1431_)
);
sky130_fd_sc_hd__nor2_2 _3948_ (
.A(_0521_),
.B(_0466_),
.Y(_1432_)
);
sky130_fd_sc_hd__nand2_2 _3949_ (
.A(_0473_),
.B(_1397_),
.Y(_1433_)
);
sky130_fd_sc_hd__or4b_2 _3950_ (
.A(_0569_),
.B(_1432_),
.C(_0918_),
.D_N(_1433_),
.X(_1434_)
);
sky130_fd_sc_hd__or4_2 _3951_ (
.A(_0532_),
.B(_1429_),
.C(_1431_),
.D(_1434_),
.X(_1435_)
);
sky130_fd_sc_hd__or2_2 _3952_ (
.A(_1355_),
.B(_0641_),
.X(_1436_)
);
sky130_fd_sc_hd__nor2_2 _3953_ (
.A(_0415_),
.B(_0466_),
.Y(_1437_)
);
sky130_fd_sc_hd__a2111o_2 _3954_ (
.A1(_2083_),
.A2(_0747_),
.B1(_0873_),
.C1(_0835_),
.D1(_1437_),
.X(_1438_)
);
sky130_fd_sc_hd__or3_2 _3955_ (
.A(_0597_),
.B(_1436_),
.C(_1438_),
.X(_1439_)
);
sky130_fd_sc_hd__or2_2 _3956_ (
.A(_0599_),
.B(_0389_),
.X(_1440_)
);
sky130_fd_sc_hd__or4_2 _3957_ (
.A(_1440_),
.B(_0542_),
.C(_0682_),
.D(_1326_),
.X(_1441_)
);
sky130_fd_sc_hd__or4_2 _3958_ (
.A(_0573_),
.B(_0671_),
.C(_0744_),
.D(_0798_),
.X(_1442_)
);
sky130_fd_sc_hd__nor2_2 _3959_ (
.A(_0568_),
.B(_0668_),
.Y(_1443_)
);
sky130_fd_sc_hd__or4_2 _3960_ (
.A(_0418_),
.B(_0434_),
.C(_1373_),
.D(_1443_),
.X(_1444_)
);
sky130_fd_sc_hd__or4_2 _3961_ (
.A(_1439_),
.B(_1441_),
.C(_1442_),
.D(_1444_),
.X(_1445_)
);
sky130_fd_sc_hd__nor2_2 _3962_ (
.A(_0745_),
.B(_0630_),
.Y(_1446_)
);
sky130_fd_sc_hd__nor2_2 _3963_ (
.A(_0559_),
.B(_0578_),
.Y(_1447_)
);
sky130_fd_sc_hd__o22ai_2 _3964_ (
.A1(_0521_),
.A2(_0745_),
.B1(_1394_),
.B2(_2072_),
.Y(_1448_)
);
sky130_fd_sc_hd__or3_2 _3965_ (
.A(_1446_),
.B(_1447_),
.C(_1448_),
.X(_1449_)
);
sky130_fd_sc_hd__or4_2 _3966_ (
.A(_0859_),
.B(_1435_),
.C(_1445_),
.D(_1449_),
.X(_1450_)
);
sky130_fd_sc_hd__o21ba_2 _3967_ (
.A1(_1427_),
.A2(_1450_),
.B1_N(_1392_),
.X(_1451_)
);
sky130_fd_sc_hd__nor2_2 _3968_ (
.A(_0734_),
.B(_0630_),
.Y(_1452_)
);
sky130_fd_sc_hd__or4_2 _3969_ (
.A(_0503_),
.B(_1313_),
.C(_1451_),
.D(_1452_),
.X(_1453_)
);
sky130_fd_sc_hd__or4_2 _3970_ (
.A(_0523_),
.B(_0852_),
.C(_1312_),
.D(_1453_),
.X(_1454_)
);
sky130_fd_sc_hd__nor2_2 _3971_ (
.A(_0698_),
.B(_1454_),
.Y(_1455_)
);
sky130_fd_sc_hd__a21oi_2 _3972_ (
.A1(_0711_),
.A2(_0374_),
.B1(_0652_),
.Y(_1456_)
);
sky130_fd_sc_hd__a31o_2 _3973_ (
.A1(_0905_),
.A2(_0864_),
.A3(_1455_),
.B1(_1456_),
.X(_1457_)
);
sky130_fd_sc_hd__o2111a_2 _3974_ (
.A1(_0696_),
.A2(_0639_),
.B1(_0860_),
.C1(_1422_),
.D1(_1457_),
.X(_1458_)
);
sky130_fd_sc_hd__and4bb_2 _3975_ (
.A_N(_0848_),
.B_N(_0692_),
.C(_1346_),
.D(_1458_),
.X(_1459_)
);
sky130_fd_sc_hd__and4bb_2 _3976_ (
.A_N(_0510_),
.B_N(_0765_),
.C(_1421_),
.D(_1459_),
.X(_1460_)
);
sky130_fd_sc_hd__o211a_2 _3977_ (
.A1(\imem.bmem.bmem_data[15] ),
.A2(_1419_),
.B1(_1352_),
.C1(_1460_),
.X(_0125_)
);
sky130_fd_sc_hd__o21ai_2 _3978_ (
.A1(_0368_),
.A2(_0654_),
.B1(_0766_),
.Y(_1461_)
);
sky130_fd_sc_hd__buf_1 _3979_ (
.A(_1461_),
.X(_1462_)
);
sky130_fd_sc_hd__or2_2 _3980_ (
.A(_0531_),
.B(_1362_),
.X(_1463_)
);
sky130_fd_sc_hd__or2_2 _3981_ (
.A(_0602_),
.B(_0736_),
.X(_1464_)
);
sky130_fd_sc_hd__or2_2 _3982_ (
.A(_0930_),
.B(_1464_),
.X(_1465_)
);
sky130_fd_sc_hd__or3_2 _3983_ (
.A(_0561_),
.B(_1463_),
.C(_1465_),
.X(_1466_)
);
sky130_fd_sc_hd__nand2_2 _3984_ (
.A(_0414_),
.B(_0481_),
.Y(_1467_)
);
sky130_fd_sc_hd__nor2_2 _3985_ (
.A(_0582_),
.B(_1467_),
.Y(_1468_)
);
sky130_fd_sc_hd__buf_1 _3986_ (
.A(_0920_),
.X(_1469_)
);
sky130_fd_sc_hd__or2_2 _3987_ (
.A(_0797_),
.B(_1469_),
.X(_1470_)
);
sky130_fd_sc_hd__or2_2 _3988_ (
.A(_1468_),
.B(_1470_),
.X(_1471_)
);
sky130_fd_sc_hd__or4_2 _3989_ (
.A(_0562_),
.B(_1374_),
.C(_1324_),
.D(_0706_),
.X(_1472_)
);
sky130_fd_sc_hd__o221a_2 _3990_ (
.A1(_0606_),
.A2(_0685_),
.B1(_0703_),
.B2(_0582_),
.C1(_1356_),
.X(_1473_)
);
sky130_fd_sc_hd__or4b_2 _3991_ (
.A(_0566_),
.B(_1472_),
.C(_0675_),
.D_N(_1473_),
.X(_1474_)
);
sky130_fd_sc_hd__or4_2 _3992_ (
.A(_0739_),
.B(_0925_),
.C(_1471_),
.D(_1474_),
.X(_1475_)
);
sky130_fd_sc_hd__or2_2 _3993_ (
.A(_0419_),
.B(_0467_),
.X(_1476_)
);
sky130_fd_sc_hd__nor2_2 _3994_ (
.A(_2072_),
.B(_1476_),
.Y(_1477_)
);
sky130_fd_sc_hd__o21bai_2 _3995_ (
.A1(_1466_),
.A2(_1475_),
.B1_N(_1477_),
.Y(_1478_)
);
sky130_fd_sc_hd__o21ai_2 _3996_ (
.A1(_0790_),
.A2(_0940_),
.B1(_1433_),
.Y(_1479_)
);
sky130_fd_sc_hd__a21oi_2 _3997_ (
.A1(_0939_),
.A2(_1478_),
.B1(_1479_),
.Y(_1480_)
);
sky130_fd_sc_hd__or2_2 _3998_ (
.A(_0613_),
.B(_1393_),
.X(_1481_)
);
sky130_fd_sc_hd__nor2_2 _3999_ (
.A(_2073_),
.B(_0940_),
.Y(_1482_)
);
sky130_fd_sc_hd__or4_2 _4000_ (
.A(_0695_),
.B(_0907_),
.C(_1345_),
.D(_0691_),
.X(_1483_)
);
sky130_fd_sc_hd__o21ai_2 _4001_ (
.A1(_0567_),
.A2(_0734_),
.B1(_0831_),
.Y(_1484_)
);
sky130_fd_sc_hd__or4_2 _4002_ (
.A(_0520_),
.B(_0545_),
.C(_0672_),
.D(_1484_),
.X(_1485_)
);
sky130_fd_sc_hd__or3_2 _4003_ (
.A(_0702_),
.B(_1483_),
.C(_1485_),
.X(_1486_)
);
sky130_fd_sc_hd__or4_2 _4004_ (
.A(_0513_),
.B(_1481_),
.C(_1482_),
.D(_1486_),
.X(_1487_)
);
sky130_fd_sc_hd__o21ai_2 _4005_ (
.A1(_0586_),
.A2(_0642_),
.B1(_0591_),
.Y(_1488_)
);
sky130_fd_sc_hd__nor2_2 _4006_ (
.A(_0535_),
.B(_0571_),
.Y(_1489_)
);
sky130_fd_sc_hd__nor2_2 _4007_ (
.A(_2074_),
.B(_0678_),
.Y(_1490_)
);
sky130_fd_sc_hd__or3_2 _4008_ (
.A(_1318_),
.B(_1489_),
.C(_1490_),
.X(_1491_)
);
sky130_fd_sc_hd__buf_1 _4009_ (
.A(_0776_),
.X(_1492_)
);
sky130_fd_sc_hd__a31o_2 _4010_ (
.A1(_0588_),
.A2(_0576_),
.A3(_0441_),
.B1(_0879_),
.X(_1493_)
);
sky130_fd_sc_hd__or4_2 _4011_ (
.A(_1492_),
.B(_0911_),
.C(_1315_),
.D(_1493_),
.X(_1494_)
);
sky130_fd_sc_hd__or2_2 _4012_ (
.A(_1491_),
.B(_1494_),
.X(_1495_)
);
sky130_fd_sc_hd__or4_2 _4013_ (
.A(_0502_),
.B(_1488_),
.C(_0721_),
.D(_1495_),
.X(_1496_)
);
sky130_fd_sc_hd__or4_2 _4014_ (
.A(_0542_),
.B(_0611_),
.C(_1487_),
.D(_1496_),
.X(_1497_)
);
sky130_fd_sc_hd__or4_2 _4015_ (
.A(_0663_),
.B(_0844_),
.C(_0880_),
.D(_1497_),
.X(_1498_)
);
sky130_fd_sc_hd__or3_2 _4016_ (
.A(_1462_),
.B(_1480_),
.C(_1498_),
.X(_1499_)
);
sky130_fd_sc_hd__o211a_2 _4017_ (
.A1(\imem.bmem.bmem_data[16] ),
.A2(_1419_),
.B1(_1352_),
.C1(_1499_),
.X(_0126_)
);
sky130_fd_sc_hd__a21oi_2 _4018_ (
.A1(_0362_),
.A2(_0462_),
.B1(_0393_),
.Y(_1500_)
);
sky130_fd_sc_hd__nor2_2 _4019_ (
.A(_0422_),
.B(_0498_),
.Y(_1501_)
);
sky130_fd_sc_hd__or3_2 _4020_ (
.A(_1501_),
.B(_0484_),
.C(_1471_),
.X(_1502_)
);
sky130_fd_sc_hd__buf_1 _4021_ (
.A(_0473_),
.X(_1503_)
);
sky130_fd_sc_hd__and3_2 _4022_ (
.A(_2091_),
.B(_0412_),
.C(_0387_),
.X(_1504_)
);
sky130_fd_sc_hd__or4_2 _4023_ (
.A(_1503_),
.B(_0580_),
.C(_0675_),
.D(_1504_),
.X(_1505_)
);
sky130_fd_sc_hd__or4_2 _4024_ (
.A(_1465_),
.B(_1500_),
.C(_1502_),
.D(_1505_),
.X(_1506_)
);
sky130_fd_sc_hd__o21ba_2 _4025_ (
.A1(_0925_),
.A2(_1506_),
.B1_N(_1479_),
.X(_1507_)
);
sky130_fd_sc_hd__or3_2 _4026_ (
.A(_0513_),
.B(_0545_),
.C(_1482_),
.X(_1508_)
);
sky130_fd_sc_hd__nor2_2 _4027_ (
.A(_0838_),
.B(_0808_),
.Y(_1509_)
);
sky130_fd_sc_hd__or3_2 _4028_ (
.A(_1492_),
.B(_1345_),
.C(_0849_),
.X(_1510_)
);
sky130_fd_sc_hd__or3_2 _4029_ (
.A(_1493_),
.B(_1509_),
.C(_1510_),
.X(_1511_)
);
sky130_fd_sc_hd__or4_2 _4030_ (
.A(_0658_),
.B(_1456_),
.C(_1491_),
.D(_1511_),
.X(_1512_)
);
sky130_fd_sc_hd__or4_2 _4031_ (
.A(_1481_),
.B(_1484_),
.C(_1508_),
.D(_1512_),
.X(_1513_)
);
sky130_fd_sc_hd__or3_2 _4032_ (
.A(_0894_),
.B(_1507_),
.C(_1513_),
.X(_1514_)
);
sky130_fd_sc_hd__o211a_2 _4033_ (
.A1(\imem.bmem.bmem_data[17] ),
.A2(_1419_),
.B1(_1352_),
.C1(_1514_),
.X(_0127_)
);
sky130_fd_sc_hd__buf_1 _4034_ (
.A(_1350_),
.X(_1515_)
);
sky130_fd_sc_hd__or4_2 _4035_ (
.A(_0600_),
.B(_0633_),
.C(_1368_),
.D(_1365_),
.X(_1516_)
);
sky130_fd_sc_hd__or4_2 _4036_ (
.A(_0738_),
.B(_0546_),
.C(_1484_),
.D(_1516_),
.X(_1517_)
);
sky130_fd_sc_hd__nor2_2 _4037_ (
.A(_0587_),
.B(_0685_),
.Y(_1518_)
);
sky130_fd_sc_hd__or4_2 _4038_ (
.A(_0929_),
.B(_0631_),
.C(_0667_),
.D(_0675_),
.X(_1519_)
);
sky130_fd_sc_hd__or4_2 _4039_ (
.A(_0394_),
.B(_1518_),
.C(_1305_),
.D(_1519_),
.X(_1520_)
);
sky130_fd_sc_hd__or4_2 _4040_ (
.A(_0925_),
.B(_1481_),
.C(_1517_),
.D(_1520_),
.X(_1521_)
);
sky130_fd_sc_hd__or4_2 _4041_ (
.A(_0554_),
.B(_0579_),
.C(_0658_),
.D(_0928_),
.X(_1522_)
);
sky130_fd_sc_hd__or4_2 _4042_ (
.A(_0570_),
.B(_0580_),
.C(_0619_),
.D(_0683_),
.X(_1523_)
);
sky130_fd_sc_hd__o22a_2 _4043_ (
.A1(_0365_),
.A2(_0474_),
.B1(_0628_),
.B2(_0666_),
.X(_1524_)
);
sky130_fd_sc_hd__or4b_2 _4044_ (
.A(_0409_),
.B(_0815_),
.C(_1456_),
.D_N(_1524_),
.X(_1525_)
);
sky130_fd_sc_hd__or3_2 _4045_ (
.A(_0518_),
.B(_0625_),
.C(_1525_),
.X(_1526_)
);
sky130_fd_sc_hd__a211o_2 _4046_ (
.A1(_0826_),
.A2(_0863_),
.B1(_1464_),
.C1(_1490_),
.X(_1527_)
);
sky130_fd_sc_hd__or4_2 _4047_ (
.A(_0893_),
.B(_1470_),
.C(_1526_),
.D(_1527_),
.X(_1528_)
);
sky130_fd_sc_hd__or4_2 _4048_ (
.A(_1521_),
.B(_1522_),
.C(_1523_),
.D(_1528_),
.X(_1529_)
);
sky130_fd_sc_hd__or2_2 _4049_ (
.A(_0485_),
.B(_1529_),
.X(_1530_)
);
sky130_fd_sc_hd__o211a_2 _4050_ (
.A1(\imem.bmem.bmem_data[18] ),
.A2(_1419_),
.B1(_1515_),
.C1(_1530_),
.X(_0128_)
);
sky130_fd_sc_hd__buf_1 _4051_ (
.A(_1490_),
.X(_1531_)
);
sky130_fd_sc_hd__or4_2 _4052_ (
.A(_0740_),
.B(_0893_),
.C(_1393_),
.D(_1531_),
.X(_1532_)
);
sky130_fd_sc_hd__o211a_2 _4053_ (
.A1(\imem.bmem.bmem_data[19] ),
.A2(_1419_),
.B1(_1515_),
.C1(_1532_),
.X(_0129_)
);
sky130_fd_sc_hd__buf_1 _4054_ (
.A(_0767_),
.X(_1533_)
);
sky130_fd_sc_hd__nor2_2 _4055_ (
.A(_0431_),
.B(_0608_),
.Y(_1534_)
);
sky130_fd_sc_hd__buf_1 _4056_ (
.A(_0722_),
.X(_1535_)
);
sky130_fd_sc_hd__nor2_2 _4057_ (
.A(_0647_),
.B(_1535_),
.Y(_1536_)
);
sky130_fd_sc_hd__nor2_2 _4058_ (
.A(_0556_),
.B(_1535_),
.Y(_1537_)
);
sky130_fd_sc_hd__nor2_2 _4059_ (
.A(_0794_),
.B(_0734_),
.Y(_1538_)
);
sky130_fd_sc_hd__nor2_2 _4060_ (
.A(_0677_),
.B(_1316_),
.Y(_1539_)
);
sky130_fd_sc_hd__nor2_2 _4061_ (
.A(_0415_),
.B(_0529_),
.Y(_1540_)
);
sky130_fd_sc_hd__nor2_2 _4062_ (
.A(_0676_),
.B(_1476_),
.Y(_1541_)
);
sky130_fd_sc_hd__nor2_2 _4063_ (
.A(_0424_),
.B(_0459_),
.Y(_1542_)
);
sky130_fd_sc_hd__a21oi_2 _4064_ (
.A1(_0426_),
.A2(_0459_),
.B1(_0821_),
.Y(_1543_)
);
sky130_fd_sc_hd__a31o_2 _4065_ (
.A1(_2070_),
.A2(_0471_),
.A3(_0562_),
.B1(_0407_),
.X(_1544_)
);
sky130_fd_sc_hd__or4_2 _4066_ (
.A(_1542_),
.B(_0724_),
.C(_1543_),
.D(_1544_),
.X(_1545_)
);
sky130_fd_sc_hd__a31o_2 _4067_ (
.A1(_2097_),
.A2(_0412_),
.A3(_0487_),
.B1(_0835_),
.X(_1546_)
);
sky130_fd_sc_hd__or4_2 _4068_ (
.A(_0737_),
.B(_0932_),
.C(_1504_),
.D(_1546_),
.X(_1547_)
);
sky130_fd_sc_hd__or4_2 _4069_ (
.A(_0674_),
.B(_0797_),
.C(_1545_),
.D(_1547_),
.X(_1548_)
);
sky130_fd_sc_hd__a211o_2 _4070_ (
.A1(_0752_),
.A2(_0473_),
.B1(_1541_),
.C1(_1548_),
.X(_1549_)
);
sky130_fd_sc_hd__or4_2 _4071_ (
.A(_1492_),
.B(_1437_),
.C(_1540_),
.D(_1549_),
.X(_1550_)
);
sky130_fd_sc_hd__or4_2 _4072_ (
.A(_0573_),
.B(_0748_),
.C(_1539_),
.D(_1550_),
.X(_1551_)
);
sky130_fd_sc_hd__or4_2 _4073_ (
.A(_1537_),
.B(_0732_),
.C(_1538_),
.D(_1551_),
.X(_1552_)
);
sky130_fd_sc_hd__or4_2 _4074_ (
.A(_0793_),
.B(_0909_),
.C(_1536_),
.D(_1552_),
.X(_1553_)
);
sky130_fd_sc_hd__a2111o_2 _4075_ (
.A1(_2077_),
.A2(_0863_),
.B1(_1534_),
.C1(_0902_),
.D1(_1553_),
.X(_1554_)
);
sky130_fd_sc_hd__or4_2 _4076_ (
.A(_0786_),
.B(_0848_),
.C(_0897_),
.D(_1554_),
.X(_1555_)
);
sky130_fd_sc_hd__or4_2 _4077_ (
.A(_0804_),
.B(_0893_),
.C(_0896_),
.D(_1555_),
.X(_1556_)
);
sky130_fd_sc_hd__o211a_2 _4078_ (
.A1(\imem.bmem.bmem_data[20] ),
.A2(_1533_),
.B1(_1515_),
.C1(_1556_),
.X(_0130_)
);
sky130_fd_sc_hd__inv_2 _4079_ (
.A(_0369_),
.Y(_1557_)
);
sky130_fd_sc_hd__nor2_2 _4080_ (
.A(_0505_),
.B(_0746_),
.Y(_1558_)
);
sky130_fd_sc_hd__nor2_2 _4081_ (
.A(_0534_),
.B(_0528_),
.Y(_1559_)
);
sky130_fd_sc_hd__buf_1 _4082_ (
.A(_1559_),
.X(_1560_)
);
sky130_fd_sc_hd__buf_1 _4083_ (
.A(_0919_),
.X(_1561_)
);
sky130_fd_sc_hd__o21bai_2 _4084_ (
.A1(_2071_),
.A2(_0552_),
.B1_N(_1561_),
.Y(_1562_)
);
sky130_fd_sc_hd__or3_2 _4085_ (
.A(_0834_),
.B(_1469_),
.C(_0931_),
.X(_1563_)
);
sky130_fd_sc_hd__or4_2 _4086_ (
.A(_1359_),
.B(_0847_),
.C(_1562_),
.D(_1563_),
.X(_1564_)
);
sky130_fd_sc_hd__a21oi_2 _4087_ (
.A1(_0388_),
.A2(_0417_),
.B1(_0424_),
.Y(_1565_)
);
sky130_fd_sc_hd__a31o_2 _4088_ (
.A1(_0512_),
.A2(_0535_),
.A3(_0459_),
.B1(_0423_),
.X(_1566_)
);
sky130_fd_sc_hd__or4b_2 _4089_ (
.A(_0580_),
.B(_0682_),
.C(_1565_),
.D_N(_1566_),
.X(_1567_)
);
sky130_fd_sc_hd__or3_2 _4090_ (
.A(_1560_),
.B(_1564_),
.C(_1567_),
.X(_1568_)
);
sky130_fd_sc_hd__a2111o_2 _4091_ (
.A1(_2073_),
.A2(_0473_),
.B1(_1541_),
.C1(_1365_),
.D1(_1568_),
.X(_1569_)
);
sky130_fd_sc_hd__a211o_2 _4092_ (
.A1(_0470_),
.A2(_1397_),
.B1(_1482_),
.C1(_1569_),
.X(_1570_)
);
sky130_fd_sc_hd__a31o_2 _4093_ (
.A1(_2097_),
.A2(_0790_),
.A3(_0577_),
.B1(_1570_),
.X(_1571_)
);
sky130_fd_sc_hd__or4_2 _4094_ (
.A(_0515_),
.B(_0646_),
.C(_1558_),
.D(_1571_),
.X(_1572_)
);
sky130_fd_sc_hd__or4_2 _4095_ (
.A(_0546_),
.B(_0670_),
.C(_1410_),
.D(_1572_),
.X(_1573_)
);
sky130_fd_sc_hd__or4_2 _4096_ (
.A(_0909_),
.B(_0631_),
.C(_0903_),
.D(_1573_),
.X(_1574_)
);
sky130_fd_sc_hd__or4_2 _4097_ (
.A(_0619_),
.B(_1534_),
.C(_0902_),
.D(_1574_),
.X(_1575_)
);
sky130_fd_sc_hd__or4_2 _4098_ (
.A(_0613_),
.B(_0625_),
.C(_0897_),
.D(_1575_),
.X(_1576_)
);
sky130_fd_sc_hd__a311o_2 _4099_ (
.A1(_1557_),
.A2(_0583_),
.A3(_0754_),
.B1(_0894_),
.C1(_1576_),
.X(_1577_)
);
sky130_fd_sc_hd__o211a_2 _4100_ (
.A1(\imem.bmem.bmem_data[21] ),
.A2(_1533_),
.B1(_1515_),
.C1(_1577_),
.X(_0131_)
);
sky130_fd_sc_hd__a21o_2 _4101_ (
.A1(_2077_),
.A2(_1503_),
.B1(_1374_),
.X(_1578_)
);
sky130_fd_sc_hd__a31o_2 _4102_ (
.A1(_0826_),
.A2(_0412_),
.A3(_0496_),
.B1(_0684_),
.X(_1579_)
);
sky130_fd_sc_hd__nor2_2 _4103_ (
.A(_0621_),
.B(_0483_),
.Y(_1580_)
);
sky130_fd_sc_hd__or3_2 _4104_ (
.A(_0401_),
.B(_0929_),
.C(_1580_),
.X(_1581_)
);
sky130_fd_sc_hd__a211o_2 _4105_ (
.A1(_0713_),
.A2(_0654_),
.B1(_0821_),
.C1(_0581_),
.X(_1582_)
);
sky130_fd_sc_hd__or3b_2 _4106_ (
.A(_1359_),
.B(_0720_),
.C_N(_1582_),
.X(_1583_)
);
sky130_fd_sc_hd__or4_2 _4107_ (
.A(_0637_),
.B(_1358_),
.C(_1581_),
.D(_1583_),
.X(_1584_)
);
sky130_fd_sc_hd__or4_2 _4108_ (
.A(_0921_),
.B(_1321_),
.C(_1579_),
.D(_1584_),
.X(_1585_)
);
sky130_fd_sc_hd__or3_2 _4109_ (
.A(_1440_),
.B(_0738_),
.C(_1585_),
.X(_1586_)
);
sky130_fd_sc_hd__a211o_2 _4110_ (
.A1(_2077_),
.A2(_0704_),
.B1(_1560_),
.C1(_1586_),
.X(_1587_)
);
sky130_fd_sc_hd__or4_2 _4111_ (
.A(_1561_),
.B(_1469_),
.C(_1578_),
.D(_1587_),
.X(_1588_)
);
sky130_fd_sc_hd__o22a_2 _4112_ (
.A1(_0753_),
.A2(_0939_),
.B1(_1362_),
.B2(_1588_),
.X(_1589_)
);
sky130_fd_sc_hd__or2_2 _4113_ (
.A(_0910_),
.B(_0918_),
.X(_1590_)
);
sky130_fd_sc_hd__or4_2 _4114_ (
.A(_0907_),
.B(_0631_),
.C(_0644_),
.D(_1590_),
.X(_1591_)
);
sky130_fd_sc_hd__nor2_2 _4115_ (
.A(_0908_),
.B(_1535_),
.Y(_1592_)
);
sky130_fd_sc_hd__a2111o_2 _4116_ (
.A1(_0790_),
.A2(_0672_),
.B1(_1536_),
.C1(_1592_),
.D1(_0625_),
.X(_1593_)
);
sky130_fd_sc_hd__or4_2 _4117_ (
.A(_1591_),
.B(_0896_),
.C(_1407_),
.D(_1593_),
.X(_1594_)
);
sky130_fd_sc_hd__or3_2 _4118_ (
.A(_0619_),
.B(_0648_),
.C(_0857_),
.X(_1595_)
);
sky130_fd_sc_hd__or4_2 _4119_ (
.A(_0744_),
.B(_1534_),
.C(_0900_),
.D(_1416_),
.X(_1596_)
);
sky130_fd_sc_hd__or3_2 _4120_ (
.A(_0546_),
.B(_0679_),
.C(_1596_),
.X(_1597_)
);
sky130_fd_sc_hd__or4_2 _4121_ (
.A(_0575_),
.B(_0769_),
.C(_1595_),
.D(_1597_),
.X(_1598_)
);
sky130_fd_sc_hd__a211o_2 _4122_ (
.A1(_1367_),
.A2(_0748_),
.B1(_1428_),
.C1(_1558_),
.X(_1599_)
);
sky130_fd_sc_hd__or3_2 _4123_ (
.A(_0898_),
.B(_1375_),
.C(_1386_),
.X(_1600_)
);
sky130_fd_sc_hd__or4_2 _4124_ (
.A(_0909_),
.B(_0906_),
.C(_0938_),
.D(_1600_),
.X(_1601_)
);
sky130_fd_sc_hd__or3_2 _4125_ (
.A(_1598_),
.B(_1599_),
.C(_1601_),
.X(_1602_)
);
sky130_fd_sc_hd__or3_2 _4126_ (
.A(_1462_),
.B(_1594_),
.C(_1602_),
.X(_1603_)
);
sky130_fd_sc_hd__or2_2 _4127_ (
.A(_1589_),
.B(_1603_),
.X(_1604_)
);
sky130_fd_sc_hd__o211a_2 _4128_ (
.A1(\imem.bmem.bmem_data[23] ),
.A2(_1533_),
.B1(_1515_),
.C1(_1604_),
.X(_0132_)
);
sky130_fd_sc_hd__buf_1 _4129_ (
.A(_1350_),
.X(_1605_)
);
sky130_fd_sc_hd__nor2_2 _4130_ (
.A(_0621_),
.B(_0757_),
.Y(_1606_)
);
sky130_fd_sc_hd__or3_2 _4131_ (
.A(_1440_),
.B(_1359_),
.C(_0919_),
.X(_1607_)
);
sky130_fd_sc_hd__nor2_2 _4132_ (
.A(_0422_),
.B(_0742_),
.Y(_1608_)
);
sky130_fd_sc_hd__a21o_2 _4133_ (
.A1(_0403_),
.A2(_0534_),
.B1(_0383_),
.X(_1609_)
);
sky130_fd_sc_hd__o221a_2 _4134_ (
.A1(_0397_),
.A2(_0400_),
.B1(_0708_),
.B2(_0836_),
.C1(_1609_),
.X(_1610_)
);
sky130_fd_sc_hd__or4b_2 _4135_ (
.A(_1324_),
.B(_0930_),
.C(_1608_),
.D_N(_1610_),
.X(_1611_)
);
sky130_fd_sc_hd__or4b_2 _4136_ (
.A(_1606_),
.B(_1607_),
.C(_1611_),
.D_N(_0818_),
.X(_1612_)
);
sky130_fd_sc_hd__or4_2 _4137_ (
.A(_0705_),
.B(_0840_),
.C(_1559_),
.D(_1612_),
.X(_1613_)
);
sky130_fd_sc_hd__a21o_2 _4138_ (
.A1(_0752_),
.A2(_0453_),
.B1(_1370_),
.X(_1614_)
);
sky130_fd_sc_hd__or4_2 _4139_ (
.A(_0531_),
.B(_0798_),
.C(_1613_),
.D(_1614_),
.X(_1615_)
);
sky130_fd_sc_hd__or4_2 _4140_ (
.A(_0743_),
.B(_0916_),
.C(_0778_),
.D(_1615_),
.X(_1616_)
);
sky130_fd_sc_hd__or4_2 _4141_ (
.A(_1315_),
.B(_0809_),
.C(_1317_),
.D(_1616_),
.X(_1617_)
);
sky130_fd_sc_hd__or4_2 _4142_ (
.A(_1314_),
.B(_0700_),
.C(_1392_),
.D(_1617_),
.X(_1618_)
);
sky130_fd_sc_hd__o2bb2a_2 _4143_ (
.A1_N(_1367_),
.A2_N(_0748_),
.B1(_0744_),
.B2(_1618_),
.X(_1619_)
);
sky130_fd_sc_hd__or4_2 _4144_ (
.A(_0829_),
.B(_0732_),
.C(_0755_),
.D(_1619_),
.X(_1620_)
);
sky130_fd_sc_hd__or4_2 _4145_ (
.A(_0501_),
.B(_0910_),
.C(_1536_),
.D(_1620_),
.X(_1621_)
);
sky130_fd_sc_hd__or4_2 _4146_ (
.A(_0907_),
.B(_0902_),
.C(_0906_),
.D(_1621_),
.X(_1622_)
);
sky130_fd_sc_hd__or4_2 _4147_ (
.A(_0848_),
.B(_1385_),
.C(_0898_),
.D(_1622_),
.X(_1623_)
);
sky130_fd_sc_hd__a311o_2 _4148_ (
.A1(_2091_),
.A2(_1557_),
.A3(_0790_),
.B1(_1462_),
.C1(_1623_),
.X(_1624_)
);
sky130_fd_sc_hd__o211a_2 _4149_ (
.A1(\imem.bmem.bmem_data[24] ),
.A2(_1533_),
.B1(_1605_),
.C1(_1624_),
.X(_0133_)
);
sky130_fd_sc_hd__a31o_2 _4150_ (
.A1(_0474_),
.A2(_0490_),
.A3(_0908_),
.B1(_0821_),
.X(_1625_)
);
sky130_fd_sc_hd__a21o_2 _4151_ (
.A1(_0593_),
.A2(_0462_),
.B1(_0378_),
.X(_1626_)
);
sky130_fd_sc_hd__o211a_2 _4152_ (
.A1(_0821_),
.A2(_0568_),
.B1(_1626_),
.C1(_0560_),
.X(_1627_)
);
sky130_fd_sc_hd__o211a_2 _4153_ (
.A1(_0588_),
.A2(_1376_),
.B1(_0635_),
.C1(_1627_),
.X(_1628_)
);
sky130_fd_sc_hd__and3b_2 _4154_ (
.A_N(_1543_),
.B(_1625_),
.C(_1628_),
.X(_1629_)
);
sky130_fd_sc_hd__nor2_2 _4155_ (
.A(_1426_),
.B(_1629_),
.Y(_1630_)
);
sky130_fd_sc_hd__or2_2 _4156_ (
.A(_0737_),
.B(_0389_),
.X(_1631_)
);
sky130_fd_sc_hd__or4_2 _4157_ (
.A(_1359_),
.B(_0416_),
.C(_0600_),
.D(_1561_),
.X(_1632_)
);
sky130_fd_sc_hd__or2_2 _4158_ (
.A(_1374_),
.B(_1560_),
.X(_1633_)
);
sky130_fd_sc_hd__a221o_2 _4159_ (
.A1(_0606_),
.A2(_0453_),
.B1(_0704_),
.B2(_0838_),
.C1(_1633_),
.X(_1634_)
);
sky130_fd_sc_hd__or4_2 _4160_ (
.A(_1579_),
.B(_1434_),
.C(_1463_),
.D(_1634_),
.X(_1635_)
);
sky130_fd_sc_hd__or4_2 _4161_ (
.A(_1436_),
.B(_1631_),
.C(_1632_),
.D(_1635_),
.X(_1636_)
);
sky130_fd_sc_hd__o2bb2a_2 _4162_ (
.A1_N(_0454_),
.A2_N(_1397_),
.B1(_1630_),
.B2(_1636_),
.X(_1637_)
);
sky130_fd_sc_hd__or4_2 _4163_ (
.A(_0695_),
.B(_0780_),
.C(_0805_),
.D(_1534_),
.X(_1638_)
);
sky130_fd_sc_hd__or4_2 _4164_ (
.A(_0917_),
.B(_0895_),
.C(_1448_),
.D(_1638_),
.X(_1639_)
);
sky130_fd_sc_hd__or2_2 _4165_ (
.A(_0786_),
.B(_0787_),
.X(_1640_)
);
sky130_fd_sc_hd__a2bb2o_2 _4166_ (
.A1_N(_0628_),
.A2_N(_0757_),
.B1(_0723_),
.B2(_2075_),
.X(_1641_)
);
sky130_fd_sc_hd__a211o_2 _4167_ (
.A1(_0838_),
.A2(_0788_),
.B1(_1640_),
.C1(_1641_),
.X(_1642_)
);
sky130_fd_sc_hd__or3_2 _4168_ (
.A(_0649_),
.B(_0690_),
.C(_0712_),
.X(_1643_)
);
sky130_fd_sc_hd__or4_2 _4169_ (
.A(_0733_),
.B(_1307_),
.C(_1642_),
.D(_1643_),
.X(_1644_)
);
sky130_fd_sc_hd__or3_2 _4170_ (
.A(_0793_),
.B(_0700_),
.C(_0807_),
.X(_1645_)
);
sky130_fd_sc_hd__or3_2 _4171_ (
.A(_0803_),
.B(_0795_),
.C(_1645_),
.X(_1646_)
);
sky130_fd_sc_hd__or3_2 _4172_ (
.A(_0517_),
.B(_0644_),
.C(_1646_),
.X(_1647_)
);
sky130_fd_sc_hd__or3_2 _4173_ (
.A(_0657_),
.B(_0660_),
.C(_0781_),
.X(_1648_)
);
sky130_fd_sc_hd__a21o_2 _4174_ (
.A1(_0837_),
.A2(_0672_),
.B1(_0667_),
.X(_1649_)
);
sky130_fd_sc_hd__a21o_2 _4175_ (
.A1(_2076_),
.A2(_0880_),
.B1(_1649_),
.X(_1650_)
);
sky130_fd_sc_hd__or4_2 _4176_ (
.A(_0901_),
.B(_1558_),
.C(_1648_),
.D(_1650_),
.X(_1651_)
);
sky130_fd_sc_hd__or4_2 _4177_ (
.A(_1639_),
.B(_1644_),
.C(_1647_),
.D(_1651_),
.X(_1652_)
);
sky130_fd_sc_hd__or2_2 _4178_ (
.A(_1462_),
.B(_1652_),
.X(_1653_)
);
sky130_fd_sc_hd__or2_2 _4179_ (
.A(_1637_),
.B(_1653_),
.X(_1654_)
);
sky130_fd_sc_hd__o211a_2 _4180_ (
.A1(\imem.bmem.bmem_data[25] ),
.A2(_1533_),
.B1(_1605_),
.C1(_1654_),
.X(_0134_)
);
sky130_fd_sc_hd__or3_2 _4181_ (
.A(_0602_),
.B(_1368_),
.C(_1325_),
.X(_1655_)
);
sky130_fd_sc_hd__or3_2 _4182_ (
.A(_1355_),
.B(_0553_),
.C(_1655_),
.X(_1656_)
);
sky130_fd_sc_hd__or3_2 _4183_ (
.A(_0928_),
.B(_1606_),
.C(_1656_),
.X(_1657_)
);
sky130_fd_sc_hd__inv_2 _4184_ (
.A(_1360_),
.Y(_1658_)
);
sky130_fd_sc_hd__or4_2 _4185_ (
.A(_0738_),
.B(_0600_),
.C(_0720_),
.D(_1658_),
.X(_1659_)
);
sky130_fd_sc_hd__or4_2 _4186_ (
.A(_0569_),
.B(_1579_),
.C(_1657_),
.D(_1659_),
.X(_1660_)
);
sky130_fd_sc_hd__or2_2 _4187_ (
.A(_1561_),
.B(_1560_),
.X(_1661_)
);
sky130_fd_sc_hd__or2_2 _4188_ (
.A(_0706_),
.B(_1365_),
.X(_1662_)
);
sky130_fd_sc_hd__a2111o_2 _4189_ (
.A1(_0588_),
.A2(_0524_),
.B1(_1661_),
.C1(_1662_),
.D1(_0395_),
.X(_1663_)
);
sky130_fd_sc_hd__or2_2 _4190_ (
.A(_2076_),
.B(_0940_),
.X(_1664_)
);
sky130_fd_sc_hd__o31a_2 _4191_ (
.A1(_1479_),
.A2(_1660_),
.A3(_1663_),
.B1(_1664_),
.X(_1665_)
);
sky130_fd_sc_hd__or4_2 _4192_ (
.A(_0657_),
.B(_0660_),
.C(_0787_),
.D(_1534_),
.X(_1666_)
);
sky130_fd_sc_hd__a21o_2 _4193_ (
.A1(_2076_),
.A2(_0723_),
.B1(_1666_),
.X(_1667_)
);
sky130_fd_sc_hd__or2_2 _4194_ (
.A(_0909_),
.B(_0786_),
.X(_1668_)
);
sky130_fd_sc_hd__or4_2 _4195_ (
.A(_0649_),
.B(_0773_),
.C(_1398_),
.D(_1668_),
.X(_1669_)
);
sky130_fd_sc_hd__or4_2 _4196_ (
.A(_0896_),
.B(_0912_),
.C(_1667_),
.D(_1669_),
.X(_1670_)
);
sky130_fd_sc_hd__or3b_2 _4197_ (
.A(_0733_),
.B(_0901_),
.C_N(_0782_),
.X(_1671_)
);
sky130_fd_sc_hd__a21o_2 _4198_ (
.A1(_2076_),
.A2(_0470_),
.B1(_0454_),
.X(_1672_)
);
sky130_fd_sc_hd__or4_2 _4199_ (
.A(_1395_),
.B(_1647_),
.C(_1671_),
.D(_1672_),
.X(_1673_)
);
sky130_fd_sc_hd__or3_2 _4200_ (
.A(_1461_),
.B(_1670_),
.C(_1673_),
.X(_1674_)
);
sky130_fd_sc_hd__or2_2 _4201_ (
.A(_1665_),
.B(_1674_),
.X(_1675_)
);
sky130_fd_sc_hd__o211a_2 _4202_ (
.A1(\imem.bmem.bmem_data[26] ),
.A2(_1418_),
.B1(_1605_),
.C1(_1675_),
.X(_0135_)
);
sky130_fd_sc_hd__or3_2 _4203_ (
.A(_1374_),
.B(_1479_),
.C(_1662_),
.X(_1676_)
);
sky130_fd_sc_hd__o41a_2 _4204_ (
.A1(_0394_),
.A2(_1661_),
.A3(_1660_),
.A4(_1676_),
.B1(_1664_),
.X(_1677_)
);
sky130_fd_sc_hd__o21ai_2 _4205_ (
.A1(_1672_),
.A2(_1677_),
.B1(_0758_),
.Y(_1678_)
);
sky130_fd_sc_hd__or3_2 _4206_ (
.A(_0773_),
.B(_1393_),
.C(_1400_),
.X(_1679_)
);
sky130_fd_sc_hd__or4_2 _4207_ (
.A(_1431_),
.B(_1646_),
.C(_1667_),
.D(_1679_),
.X(_1680_)
);
sky130_fd_sc_hd__or4b_2 _4208_ (
.A(_0573_),
.B(_0648_),
.C(_0901_),
.D_N(_0782_),
.X(_1681_)
);
sky130_fd_sc_hd__or4_2 _4209_ (
.A(_0897_),
.B(_0896_),
.C(_1668_),
.D(_1681_),
.X(_1682_)
);
sky130_fd_sc_hd__or3_2 _4210_ (
.A(_1449_),
.B(_1680_),
.C(_1682_),
.X(_1683_)
);
sky130_fd_sc_hd__nor2_2 _4211_ (
.A(_1462_),
.B(_1683_),
.Y(_1684_)
);
sky130_fd_sc_hd__nand2_2 _4212_ (
.A(_1678_),
.B(_1684_),
.Y(_1685_)
);
sky130_fd_sc_hd__o211a_2 _4213_ (
.A1(\imem.bmem.bmem_data[27] ),
.A2(_1418_),
.B1(_1605_),
.C1(_1685_),
.X(_0136_)
);
sky130_fd_sc_hd__or4_2 _4214_ (
.A(_0569_),
.B(_0870_),
.C(_1579_),
.D(_1565_),
.X(_1686_)
);
sky130_fd_sc_hd__or2_2 _4215_ (
.A(_1676_),
.B(_1686_),
.X(_1687_)
);
sky130_fd_sc_hd__or3b_2 _4216_ (
.A(_0739_),
.B(_1543_),
.C_N(_1625_),
.X(_1688_)
);
sky130_fd_sc_hd__o31a_2 _4217_ (
.A1(_1656_),
.A2(_1687_),
.A3(_1688_),
.B1(_1664_),
.X(_1689_)
);
sky130_fd_sc_hd__o21a_2 _4218_ (
.A1(_1672_),
.A2(_1689_),
.B1(_0758_),
.X(_1690_)
);
sky130_fd_sc_hd__or4_2 _4219_ (
.A(_0793_),
.B(_0700_),
.C(_0723_),
.D(_0772_),
.X(_1691_)
);
sky130_fd_sc_hd__a211o_2 _4220_ (
.A1(_2087_),
.A2(_0780_),
.B1(_1398_),
.C1(_1691_),
.X(_1692_)
);
sky130_fd_sc_hd__or3_2 _4221_ (
.A(_0517_),
.B(_1396_),
.C(_1692_),
.X(_1693_)
);
sky130_fd_sc_hd__nor2_2 _4222_ (
.A(_0437_),
.B(_0614_),
.Y(_1694_)
);
sky130_fd_sc_hd__nor2_2 _4223_ (
.A(_0832_),
.B(_1694_),
.Y(_1695_)
);
sky130_fd_sc_hd__o31a_2 _4224_ (
.A1(_0733_),
.A2(_1690_),
.A3(_1693_),
.B1(_1695_),
.X(_1696_)
);
sky130_fd_sc_hd__or4_2 _4225_ (
.A(_0804_),
.B(_0648_),
.C(_0787_),
.D(_0807_),
.X(_1697_)
);
sky130_fd_sc_hd__or3_2 _4226_ (
.A(_0897_),
.B(_0868_),
.C(_1697_),
.X(_1698_)
);
sky130_fd_sc_hd__or4_2 _4227_ (
.A(_0765_),
.B(_1668_),
.C(_1648_),
.D(_1698_),
.X(_1699_)
);
sky130_fd_sc_hd__or3_2 _4228_ (
.A(_0894_),
.B(_1696_),
.C(_1699_),
.X(_1700_)
);
sky130_fd_sc_hd__o211a_2 _4229_ (
.A1(\imem.bmem.bmem_data[28] ),
.A2(_1418_),
.B1(_1605_),
.C1(_1700_),
.X(_0137_)
);
sky130_fd_sc_hd__o21a_2 _4230_ (
.A1(_1657_),
.A2(_1687_),
.B1(_1664_),
.X(_1701_)
);
sky130_fd_sc_hd__o21a_2 _4231_ (
.A1(_1672_),
.A2(_1701_),
.B1(_0758_),
.X(_1702_)
);
sky130_fd_sc_hd__o31a_2 _4232_ (
.A1(_1531_),
.A2(_1693_),
.A3(_1702_),
.B1(_1695_),
.X(_1703_)
);
sky130_fd_sc_hd__or4_2 _4233_ (
.A(_0844_),
.B(_1668_),
.C(_1648_),
.D(_1698_),
.X(_1704_)
);
sky130_fd_sc_hd__or2_2 _4234_ (
.A(_0893_),
.B(_1704_),
.X(_1705_)
);
sky130_fd_sc_hd__or2_2 _4235_ (
.A(\imem.bmem.bmem_data[29] ),
.B(_0767_),
.X(_1706_)
);
sky130_fd_sc_hd__o211a_2 _4236_ (
.A1(_1703_),
.A2(_1705_),
.B1(_1706_),
.C1(_1352_),
.X(_0138_)
);
sky130_fd_sc_hd__or3_2 _4237_ (
.A(_1531_),
.B(_1690_),
.C(_1693_),
.X(_1707_)
);
sky130_fd_sc_hd__a21o_2 _4238_ (
.A1(_1695_),
.A2(_1707_),
.B1(_1705_),
.X(_1708_)
);
sky130_fd_sc_hd__o211a_2 _4239_ (
.A1(\imem.bmem.bmem_data[30] ),
.A2(_1418_),
.B1(_1351_),
.C1(_1708_),
.X(_0139_)
);
sky130_fd_sc_hd__buf_1 _4240_ (
.A(_0673_),
.X(_1709_)
);
sky130_fd_sc_hd__or4b_2 _4241_ (
.A(_1709_),
.B(_0797_),
.C(_0835_),
.D_N(_1346_),
.X(_1710_)
);
sky130_fd_sc_hd__nor2_2 _4242_ (
.A(_1367_),
.B(_0560_),
.Y(_1711_)
);
sky130_fd_sc_hd__or2_2 _4243_ (
.A(_0404_),
.B(_0827_),
.X(_1712_)
);
sky130_fd_sc_hd__o2bb2a_2 _4244_ (
.A1_N(_1397_),
.A2_N(_0721_),
.B1(_0474_),
.B2(_0628_),
.X(_1713_)
);
sky130_fd_sc_hd__or4b_2 _4245_ (
.A(_1710_),
.B(_1711_),
.C(_1712_),
.D_N(_1713_),
.X(_1714_)
);
sky130_fd_sc_hd__or4_2 _4246_ (
.A(_1311_),
.B(_1319_),
.C(_1361_),
.D(_1714_),
.X(_1715_)
);
sky130_fd_sc_hd__or4_2 _4247_ (
.A(_0409_),
.B(_0661_),
.C(_0701_),
.D(_0704_),
.X(_1716_)
);
sky130_fd_sc_hd__a211o_2 _4248_ (
.A1(_2086_),
.A2(_0454_),
.B1(_0530_),
.C1(_1716_),
.X(_1717_)
);
sky130_fd_sc_hd__nor2_2 _4249_ (
.A(_0586_),
.B(_0666_),
.Y(_1718_)
);
sky130_fd_sc_hd__inv_2 _4250_ (
.A(_0552_),
.Y(_1719_)
);
sky130_fd_sc_hd__or4_2 _4251_ (
.A(_1719_),
.B(_1468_),
.C(_0670_),
.D(_0898_),
.X(_1720_)
);
sky130_fd_sc_hd__or4_2 _4252_ (
.A(_1718_),
.B(_0911_),
.C(_0873_),
.D(_1720_),
.X(_1721_)
);
sky130_fd_sc_hd__nor2_2 _4253_ (
.A(_0588_),
.B(_0578_),
.Y(_1722_)
);
sky130_fd_sc_hd__o22a_2 _4254_ (
.A1(_0753_),
.A2(_0927_),
.B1(_0378_),
.B2(_0711_),
.X(_1723_)
);
sky130_fd_sc_hd__or3b_2 _4255_ (
.A(_1403_),
.B(_1722_),
.C_N(_1723_),
.X(_1724_)
);
sky130_fd_sc_hd__or4_2 _4256_ (
.A(_1492_),
.B(_0613_),
.C(_1301_),
.D(_1388_),
.X(_1725_)
);
sky130_fd_sc_hd__or4_2 _4257_ (
.A(_1717_),
.B(_1721_),
.C(_1724_),
.D(_1725_),
.X(_1726_)
);
sky130_fd_sc_hd__or2_2 _4258_ (
.A(_0538_),
.B(_0645_),
.X(_1727_)
);
sky130_fd_sc_hd__or3_2 _4259_ (
.A(_0679_),
.B(_1312_),
.C(_1409_),
.X(_1728_)
);
sky130_fd_sc_hd__or4_2 _4260_ (
.A(_0863_),
.B(_0633_),
.C(_1727_),
.D(_1728_),
.X(_1729_)
);
sky130_fd_sc_hd__or4_2 _4261_ (
.A(_0580_),
.B(_0603_),
.C(_0775_),
.D(_1590_),
.X(_1730_)
);
sky130_fd_sc_hd__or4_2 _4262_ (
.A(_1715_),
.B(_1726_),
.C(_1729_),
.D(_1730_),
.X(_1731_)
);
sky130_fd_sc_hd__or2_2 _4263_ (
.A(_0714_),
.B(_1420_),
.X(_1732_)
);
sky130_fd_sc_hd__a211o_2 _4264_ (
.A1(_0837_),
.A2(_0562_),
.B1(_1368_),
.C1(_1732_),
.X(_1733_)
);
sky130_fd_sc_hd__or4_2 _4265_ (
.A(_0575_),
.B(_1518_),
.C(_1649_),
.D(_1733_),
.X(_1734_)
);
sky130_fd_sc_hd__or3_2 _4266_ (
.A(_0477_),
.B(_0484_),
.C(_0791_),
.X(_1735_)
);
sky130_fd_sc_hd__or3_2 _4267_ (
.A(_1303_),
.B(_1306_),
.C(_1735_),
.X(_1736_)
);
sky130_fd_sc_hd__or4_2 _4268_ (
.A(_0830_),
.B(_1298_),
.C(_1734_),
.D(_1736_),
.X(_1737_)
);
sky130_fd_sc_hd__or2_2 _4269_ (
.A(_1731_),
.B(_1737_),
.X(_1738_)
);
sky130_fd_sc_hd__o22a_2 _4270_ (
.A1(_1354_),
.A2(_0654_),
.B1(_0766_),
.B2(\imem.bmem.bmem_data[10] ),
.X(_1739_)
);
sky130_fd_sc_hd__and3_2 _4271_ (
.A(_1351_),
.B(_1738_),
.C(_1739_),
.X(_1740_)
);
sky130_fd_sc_hd__buf_1 _4272_ (
.A(_1740_),
.X(_0140_)
);
sky130_fd_sc_hd__nor2_2 _4273_ (
.A(_0794_),
.B(_0665_),
.Y(_1741_)
);
sky130_fd_sc_hd__or2_2 _4274_ (
.A(_0886_),
.B(_1300_),
.X(_1742_)
);
sky130_fd_sc_hd__or4_2 _4275_ (
.A(_0914_),
.B(_1303_),
.C(_1741_),
.D(_1742_),
.X(_1743_)
);
sky130_fd_sc_hd__or3_2 _4276_ (
.A(_0791_),
.B(_1318_),
.C(_1743_),
.X(_1744_)
);
sky130_fd_sc_hd__or4_2 _4277_ (
.A(_1443_),
.B(_0574_),
.C(_0879_),
.D(_1310_),
.X(_1745_)
);
sky130_fd_sc_hd__a2111o_2 _4278_ (
.A1(_2087_),
.A2(_1503_),
.B1(_0545_),
.C1(_0657_),
.D1(_1745_),
.X(_1746_)
);
sky130_fd_sc_hd__inv_2 _4279_ (
.A(_1560_),
.Y(_1747_)
);
sky130_fd_sc_hd__or4_2 _4280_ (
.A(_0601_),
.B(_1709_),
.C(_1469_),
.D(_0924_),
.X(_1748_)
);
sky130_fd_sc_hd__or2_2 _4281_ (
.A(_0736_),
.B(_1580_),
.X(_1749_)
);
sky130_fd_sc_hd__or4_2 _4282_ (
.A(_0569_),
.B(_0933_),
.C(_1749_),
.D(_1712_),
.X(_1750_)
);
sky130_fd_sc_hd__or3_2 _4283_ (
.A(_0418_),
.B(_0428_),
.C(_0724_),
.X(_1751_)
);
sky130_fd_sc_hd__or4_2 _4284_ (
.A(_0929_),
.B(_0709_),
.C(_1750_),
.D(_1751_),
.X(_1752_)
);
sky130_fd_sc_hd__or4_2 _4285_ (
.A(_1321_),
.B(_0686_),
.C(_0823_),
.D(_1752_),
.X(_1753_)
);
sky130_fd_sc_hd__or3_2 _4286_ (
.A(_1631_),
.B(_1748_),
.C(_1753_),
.X(_1754_)
);
sky130_fd_sc_hd__o2111a_2 _4287_ (
.A1(_2098_),
.A2(_0716_),
.B1(_1747_),
.C1(_1754_),
.D1(_1476_),
.X(_1755_)
);
sky130_fd_sc_hd__a21oi_2 _4288_ (
.A1(_0508_),
.A2(_0696_),
.B1(_0366_),
.Y(_1756_)
);
sky130_fd_sc_hd__or2_2 _4289_ (
.A(_0609_),
.B(_0900_),
.X(_1757_)
);
sky130_fd_sc_hd__a211o_2 _4290_ (
.A1(_2075_),
.A2(_0524_),
.B1(_0913_),
.C1(_0735_),
.X(_1758_)
);
sky130_fd_sc_hd__a2111o_2 _4291_ (
.A1(_0495_),
.A2(_0862_),
.B1(_1756_),
.C1(_1757_),
.D1(_1758_),
.X(_1759_)
);
sky130_fd_sc_hd__o2bb2a_2 _4292_ (
.A1_N(_2075_),
.A2_N(_0453_),
.B1(_0678_),
.B2(_0753_),
.X(_1760_)
);
sky130_fd_sc_hd__o221a_2 _4293_ (
.A1(_0505_),
.A2(_0467_),
.B1(_1535_),
.B2(_0655_),
.C1(_1760_),
.X(_1761_)
);
sky130_fd_sc_hd__or4b_2 _4294_ (
.A(_1304_),
.B(_1759_),
.C(_1305_),
.D_N(_1761_),
.X(_1762_)
);
sky130_fd_sc_hd__or4_2 _4295_ (
.A(_1718_),
.B(_0750_),
.C(_1755_),
.D(_1762_),
.X(_1763_)
);
sky130_fd_sc_hd__or4_2 _4296_ (
.A(_0762_),
.B(_1744_),
.C(_1746_),
.D(_1763_),
.X(_1764_)
);
sky130_fd_sc_hd__o211a_2 _4297_ (
.A1(_0711_),
.A2(_0623_),
.B1(_0612_),
.C1(_0716_),
.X(_1765_)
);
sky130_fd_sc_hd__or4b_2 _4298_ (
.A(_0624_),
.B(_0692_),
.C(_0861_),
.D_N(_1765_),
.X(_1766_)
);
sky130_fd_sc_hd__and3_2 _4299_ (
.A(_0491_),
.B(_0467_),
.C(_0638_),
.X(_1767_)
);
sky130_fd_sc_hd__or4b_2 _4300_ (
.A(_0379_),
.B(_1766_),
.C(_0879_),
.D_N(_1767_),
.X(_1768_)
);
sky130_fd_sc_hd__nor2_2 _4301_ (
.A(_0446_),
.B(_1768_),
.Y(_1769_)
);
sky130_fd_sc_hd__nor2_2 _4302_ (
.A(_1420_),
.B(_1769_),
.Y(_1770_)
);
sky130_fd_sc_hd__or4_2 _4303_ (
.A(_0844_),
.B(_1732_),
.C(_1756_),
.D(_1770_),
.X(_1771_)
);
sky130_fd_sc_hd__mux2_2 _4304_ (
.A0(\imem.bmem.bmem_data[7] ),
.A1(_1764_),
.S(_1771_),
.X(_1772_)
);
sky130_fd_sc_hd__o211a_2 _4305_ (
.A1(_1354_),
.A2(_0655_),
.B1(_1351_),
.C1(_1772_),
.X(_0141_)
);
sky130_fd_sc_hd__o21ai_2 _4306_ (
.A1(_1354_),
.A2(_0462_),
.B1(_0763_),
.Y(_1773_)
);
sky130_fd_sc_hd__nor2_2 _4307_ (
.A(_0785_),
.B(_0639_),
.Y(_1774_)
);
sky130_fd_sc_hd__nor2_2 _4308_ (
.A(_2074_),
.B(_0808_),
.Y(_1775_)
);
sky130_fd_sc_hd__nor2_2 _4309_ (
.A(_0507_),
.B(_0746_),
.Y(_1776_)
);
sky130_fd_sc_hd__or4_2 _4310_ (
.A(_1709_),
.B(_0796_),
.C(_1469_),
.D(_1364_),
.X(_1777_)
);
sky130_fd_sc_hd__or4_2 _4311_ (
.A(_0553_),
.B(_1518_),
.C(_0869_),
.D(_0874_),
.X(_1778_)
);
sky130_fd_sc_hd__or4_2 _4312_ (
.A(_0444_),
.B(_0563_),
.C(_1368_),
.D(_1580_),
.X(_1779_)
);
sky130_fd_sc_hd__or4_2 _4313_ (
.A(_0622_),
.B(_1544_),
.C(_1778_),
.D(_1779_),
.X(_1780_)
);
sky130_fd_sc_hd__or3_2 _4314_ (
.A(_0432_),
.B(_0851_),
.C(_1780_),
.X(_1781_)
);
sky130_fd_sc_hd__or4_2 _4315_ (
.A(_1540_),
.B(_1735_),
.C(_1777_),
.D(_1781_),
.X(_1782_)
);
sky130_fd_sc_hd__or4_2 _4316_ (
.A(_0575_),
.B(_0777_),
.C(_1319_),
.D(_1782_),
.X(_1783_)
);
sky130_fd_sc_hd__or4_2 _4317_ (
.A(_0515_),
.B(_0913_),
.C(_1776_),
.D(_1783_),
.X(_1784_)
);
sky130_fd_sc_hd__or4_2 _4318_ (
.A(_1430_),
.B(_1539_),
.C(_1775_),
.D(_1784_),
.X(_1785_)
);
sky130_fd_sc_hd__or4_2 _4319_ (
.A(_1537_),
.B(_1443_),
.C(_1312_),
.D(_1785_),
.X(_1786_)
);
sky130_fd_sc_hd__or4_2 _4320_ (
.A(_1404_),
.B(_1311_),
.C(_1309_),
.D(_1786_),
.X(_1787_)
);
sky130_fd_sc_hd__or4_2 _4321_ (
.A(_0863_),
.B(_1774_),
.C(_1757_),
.D(_1787_),
.X(_1788_)
);
sky130_fd_sc_hd__or4_2 _4322_ (
.A(_1303_),
.B(_1306_),
.C(_1386_),
.D(_1788_),
.X(_1789_)
);
sky130_fd_sc_hd__a211oi_2 _4323_ (
.A1(_1367_),
.A2(_0904_),
.B1(_0643_),
.C1(_0469_),
.Y(_1790_)
);
sky130_fd_sc_hd__or3_2 _4324_ (
.A(_0804_),
.B(_1790_),
.C(_1742_),
.X(_1791_)
);
sky130_fd_sc_hd__or3_2 _4325_ (
.A(_1773_),
.B(_1789_),
.C(_1791_),
.X(_1792_)
);
sky130_fd_sc_hd__o21a_2 _4326_ (
.A1(_2098_),
.A2(_1354_),
.B1(_0342_),
.X(_1793_)
);
sky130_fd_sc_hd__o211a_2 _4327_ (
.A1(\imem.bmem.bmem_data[9] ),
.A2(_0763_),
.B1(_1792_),
.C1(_1793_),
.X(_0142_)
);
sky130_fd_sc_hd__o22a_2 _4328_ (
.A1(_0393_),
.A2(_0642_),
.B1(_1535_),
.B2(_0509_),
.X(_1794_)
);
sky130_fd_sc_hd__or4b_2 _4329_ (
.A(_0484_),
.B(_0657_),
.C(_1365_),
.D_N(_1794_),
.X(_1795_)
);
sky130_fd_sc_hd__o22a_2 _4330_ (
.A1(_0837_),
.A2(_0552_),
.B1(_0785_),
.B2(_0746_),
.X(_1796_)
);
sky130_fd_sc_hd__or3b_2 _4331_ (
.A(_0602_),
.B(_1386_),
.C_N(_1796_),
.X(_1797_)
);
sky130_fd_sc_hd__or4_2 _4332_ (
.A(_1404_),
.B(_1406_),
.C(_1447_),
.D(_1797_),
.X(_1798_)
);
sky130_fd_sc_hd__or3_2 _4333_ (
.A(_1773_),
.B(_1795_),
.C(_1798_),
.X(_1799_)
);
sky130_fd_sc_hd__o211a_2 _4334_ (
.A1(\imem.bmem.bmem_data[11] ),
.A2(_0763_),
.B1(_1793_),
.C1(_1799_),
.X(_0143_)
);
sky130_fd_sc_hd__or2_2 _4335_ (
.A(_0675_),
.B(_0797_),
.X(_1800_)
);
sky130_fd_sc_hd__or4_2 _4336_ (
.A(_0404_),
.B(_0484_),
.C(_0793_),
.D(_0900_),
.X(_1801_)
);
sky130_fd_sc_hd__or4_2 _4337_ (
.A(_0833_),
.B(_1481_),
.C(_1800_),
.D(_1801_),
.X(_1802_)
);
sky130_fd_sc_hd__a2111o_2 _4338_ (
.A1(_2077_),
.A2(_0609_),
.B1(_1531_),
.C1(_1580_),
.D1(_1640_),
.X(_1803_)
);
sky130_fd_sc_hd__or3_2 _4339_ (
.A(_0850_),
.B(_1802_),
.C(_1803_),
.X(_1804_)
);
sky130_fd_sc_hd__inv_2 _4340_ (
.A(_1770_),
.Y(_1805_)
);
sky130_fd_sc_hd__a211o_2 _4341_ (
.A1(_0583_),
.A2(_1503_),
.B1(_0602_),
.C1(_0720_),
.X(_1806_)
);
sky130_fd_sc_hd__or4_2 _4342_ (
.A(_0740_),
.B(_1658_),
.C(_1805_),
.D(_1806_),
.X(_1807_)
);
sky130_fd_sc_hd__o22a_2 _4343_ (
.A1(\imem.bmem.bmem_data[14] ),
.A2(_1770_),
.B1(_1804_),
.B2(_1807_),
.X(_1808_)
);
sky130_fd_sc_hd__and3_2 _4344_ (
.A(_1421_),
.B(_1793_),
.C(_1808_),
.X(_1809_)
);
sky130_fd_sc_hd__buf_1 _4345_ (
.A(_1809_),
.X(_0144_)
);
sky130_fd_sc_hd__inv_2 _4346_ (
.A(_1769_),
.Y(_1810_)
);
sky130_fd_sc_hd__a2111o_2 _4347_ (
.A1(_2087_),
.A2(_1709_),
.B1(_0902_),
.C1(_1318_),
.D1(_1326_),
.X(_1811_)
);
sky130_fd_sc_hd__or4_2 _4348_ (
.A(_0848_),
.B(_1385_),
.C(_1561_),
.D(_1399_),
.X(_1812_)
);
sky130_fd_sc_hd__a2111o_2 _4349_ (
.A1(_2086_),
.A2(_0886_),
.B1(_0856_),
.C1(_1304_),
.D1(_1302_),
.X(_1813_)
);
sky130_fd_sc_hd__or4_2 _4350_ (
.A(_1393_),
.B(_1410_),
.C(_1531_),
.D(_1813_),
.X(_1814_)
);
sky130_fd_sc_hd__or3_2 _4351_ (
.A(_1811_),
.B(_1812_),
.C(_1814_),
.X(_1815_)
);
sky130_fd_sc_hd__o22a_2 _4352_ (
.A1(\imem.bmem.bmem_data[12] ),
.A2(_1810_),
.B1(_1807_),
.B2(_1815_),
.X(_1816_)
);
sky130_fd_sc_hd__and3b_2 _4353_ (
.A_N(_0715_),
.B(_1793_),
.C(_1816_),
.X(_1817_)
);
sky130_fd_sc_hd__buf_1 _4354_ (
.A(_1817_),
.X(_0145_)
);
sky130_fd_sc_hd__o21a_2 _4355_ (
.A1(\imem.bmem.bmem_data[0] ),
.A2(_0447_),
.B1(_0005_),
.X(_0146_)
);
sky130_fd_sc_hd__a21oi_2 _4356_ (
.A1(_0405_),
.A2(_0458_),
.B1(_0377_),
.Y(_1818_)
);
sky130_fd_sc_hd__a2111o_2 _4357_ (
.A1(_0562_),
.A2(_0558_),
.B1(_1818_),
.C1(_0931_),
.D1(_1322_),
.X(_1819_)
);
sky130_fd_sc_hd__nor2_2 _4358_ (
.A(_0718_),
.B(_1467_),
.Y(_1820_)
);
sky130_fd_sc_hd__or4_2 _4359_ (
.A(_1501_),
.B(_0815_),
.C(_0883_),
.D(_1820_),
.X(_1821_)
);
sky130_fd_sc_hd__or4_2 _4360_ (
.A(_0724_),
.B(_1361_),
.C(_1819_),
.D(_1821_),
.X(_1822_)
);
sky130_fd_sc_hd__a311o_2 _4361_ (
.A1(_2096_),
.A2(_0411_),
.A3(_0387_),
.B1(_1321_),
.C1(_1822_),
.X(_1823_)
);
sky130_fd_sc_hd__or4_2 _4362_ (
.A(_0433_),
.B(_0566_),
.C(_0835_),
.D(_1823_),
.X(_1824_)
);
sky130_fd_sc_hd__a211o_2 _4363_ (
.A1(_0587_),
.A2(_1709_),
.B1(_1366_),
.C1(_1824_),
.X(_1825_)
);
sky130_fd_sc_hd__or4_2 _4364_ (
.A(_0531_),
.B(_0840_),
.C(_1477_),
.D(_1825_),
.X(_1826_)
);
sky130_fd_sc_hd__o21ba_2 _4365_ (
.A1(_0706_),
.A2(_1826_),
.B1_N(_1373_),
.X(_1827_)
);
sky130_fd_sc_hd__o21a_2 _4366_ (
.A1(_1503_),
.A2(_1827_),
.B1(_1433_),
.X(_1828_)
);
sky130_fd_sc_hd__a2111o_2 _4367_ (
.A1(_2072_),
.A2(_0520_),
.B1(_1314_),
.C1(_0695_),
.D1(_1492_),
.X(_1829_)
);
sky130_fd_sc_hd__or4_2 _4368_ (
.A(_0694_),
.B(_0522_),
.C(_0659_),
.D(_0669_),
.X(_1830_)
);
sky130_fd_sc_hd__or4_2 _4369_ (
.A(_0513_),
.B(_0679_),
.C(_1829_),
.D(_1830_),
.X(_1831_)
);
sky130_fd_sc_hd__or4_2 _4370_ (
.A(_1318_),
.B(_1727_),
.C(_1411_),
.D(_1437_),
.X(_1832_)
);
sky130_fd_sc_hd__or4_2 _4371_ (
.A(_1315_),
.B(_0876_),
.C(_1489_),
.D(_1694_),
.X(_1833_)
);
sky130_fd_sc_hd__a21oi_2 _4372_ (
.A1(_0504_),
.A2(_0460_),
.B1(_0664_),
.Y(_1834_)
);
sky130_fd_sc_hd__or4_2 _4373_ (
.A(_0829_),
.B(_0610_),
.C(_1833_),
.D(_1834_),
.X(_1835_)
);
sky130_fd_sc_hd__or4_2 _4374_ (
.A(_0806_),
.B(_0855_),
.C(_1832_),
.D(_1835_),
.X(_1836_)
);
sky130_fd_sc_hd__or3_2 _4375_ (
.A(_0783_),
.B(_1831_),
.C(_1836_),
.X(_1837_)
);
sky130_fd_sc_hd__nor2_2 _4376_ (
.A(_1828_),
.B(_1837_),
.Y(_1838_)
);
sky130_fd_sc_hd__o22a_2 _4377_ (
.A1(_0696_),
.A2(_0652_),
.B1(_0906_),
.B2(_1838_),
.X(_1839_)
);
sky130_fd_sc_hd__or4b_2 _4378_ (
.A(_1385_),
.B(_1304_),
.C(_0884_),
.D_N(_1839_),
.X(_1840_)
);
sky130_fd_sc_hd__or4_2 _4379_ (
.A(_0690_),
.B(_0788_),
.C(_0807_),
.D(_1840_),
.X(_1841_)
);
sky130_fd_sc_hd__or4_2 _4380_ (
.A(_0886_),
.B(_0688_),
.C(_1790_),
.D(_1841_),
.X(_1842_)
);
sky130_fd_sc_hd__o21a_2 _4381_ (
.A1(_0369_),
.A2(_0757_),
.B1(_1842_),
.X(_1843_)
);
sky130_fd_sc_hd__or4b_2 _4382_ (
.A(_0839_),
.B(_0845_),
.C(_1843_),
.D_N(_0448_),
.X(_1844_)
);
sky130_fd_sc_hd__o211a_2 _4383_ (
.A1(\imem.bmem.bmem_data[4] ),
.A2(_0447_),
.B1(_1844_),
.C1(_1296_),
.X(_0147_)
);
sky130_fd_sc_hd__nand2_2 _4384_ (
.A(\spi.clock_cnt[0] ),
.B(\spi.clock_cnt[1] ),
.Y(_1845_)
);
sky130_fd_sc_hd__or4_2 _4385_ (
.A(\spi.clock_cnt[3] ),
.B(\spi.clock_cnt[2] ),
.C(\spi.clock_cnt[4] ),
.D(_1845_),
.X(_1846_)
);
sky130_fd_sc_hd__buf_1 _4386_ (
.A(_1846_),
.X(_1847_)
);
sky130_fd_sc_hd__or3_2 _4387_ (
.A(_1282_),
.B(\spi.n_status[1] ),
.C(_1279_),
.X(_1848_)
);
sky130_fd_sc_hd__and3_2 _4388_ (
.A(_0342_),
.B(_1847_),
.C(_1848_),
.X(_1849_)
);
sky130_fd_sc_hd__and2b_2 _4389_ (
.A_N(\spi.clock_cnt[0] ),
.B(_1849_),
.X(_1850_)
);
sky130_fd_sc_hd__buf_1 _4390_ (
.A(_1850_),
.X(_0148_)
);
sky130_fd_sc_hd__or2_2 _4391_ (
.A(\spi.clock_cnt[0] ),
.B(\spi.clock_cnt[1] ),
.X(_1851_)
);
sky130_fd_sc_hd__and3_2 _4392_ (
.A(_1845_),
.B(_1849_),
.C(_1851_),
.X(_1852_)
);
sky130_fd_sc_hd__buf_1 _4393_ (
.A(_1852_),
.X(_0149_)
);
sky130_fd_sc_hd__buf_1 _4394_ (
.A(\spi.clock_cnt[2] ),
.X(_1853_)
);
sky130_fd_sc_hd__and2_2 _4395_ (
.A(\spi.clock_cnt[0] ),
.B(\spi.clock_cnt[1] ),
.X(_1854_)
);
sky130_fd_sc_hd__o21ai_2 _4396_ (
.A1(_1853_),
.A2(_1854_),
.B1(_1849_),
.Y(_1855_)
);
sky130_fd_sc_hd__a21oi_2 _4397_ (
.A1(_1853_),
.A2(_1854_),
.B1(_1855_),
.Y(_0150_)
);
sky130_fd_sc_hd__and3_2 _4398_ (
.A(\spi.clock_cnt[3] ),
.B(_1853_),
.C(_1854_),
.X(_1856_)
);
sky130_fd_sc_hd__a31o_2 _4399_ (
.A1(\spi.clock_cnt[0] ),
.A2(\spi.clock_cnt[1] ),
.A3(_1853_),
.B1(\spi.clock_cnt[3] ),
.X(_1857_)
);
sky130_fd_sc_hd__and3b_2 _4400_ (
.A_N(_1856_),
.B(_1857_),
.C(_1849_),
.X(_1858_)
);
sky130_fd_sc_hd__buf_1 _4401_ (
.A(_1858_),
.X(_0151_)
);
sky130_fd_sc_hd__a21boi_2 _4402_ (
.A1(\spi.clock_cnt[4] ),
.A2(_1856_),
.B1_N(_1849_),
.Y(_1859_)
);
sky130_fd_sc_hd__o21a_2 _4403_ (
.A1(\spi.clock_cnt[4] ),
.A2(_1856_),
.B1(_1859_),
.X(_0152_)
);
sky130_fd_sc_hd__and3b_2 _4404_ (
.A_N(\spi.n_status[2] ),
.B(\spi.n_status[1] ),
.C(_1279_),
.X(_1860_)
);
sky130_fd_sc_hd__or4_2 _4405_ (
.A(\spi.data_cnt[5] ),
.B(\spi.data_cnt[4] ),
.C(\spi.data_cnt[3] ),
.D(\spi.data_cnt[2] ),
.X(_1861_)
);
sky130_fd_sc_hd__or3b_2 _4406_ (
.A(\spi.data_cnt[0] ),
.B(_1861_),
.C_N(\spi.data_cnt[1] ),
.X(_1862_)
);
sky130_fd_sc_hd__o311a_2 _4407_ (
.A1(_1280_),
.A2(_1846_),
.A3(_1862_),
.B1(_1281_),
.C1(_1282_),
.X(_1863_)
);
sky130_fd_sc_hd__or2_2 _4408_ (
.A(_1860_),
.B(_1863_),
.X(_1864_)
);
sky130_fd_sc_hd__or3_2 _4409_ (
.A(\spi.n_status[2] ),
.B(_1281_),
.C(\spi.n_status[0] ),
.X(_1865_)
);
sky130_fd_sc_hd__buf_1 _4410_ (
.A(_1865_),
.X(_1866_)
);
sky130_fd_sc_hd__buf_1 _4411_ (
.A(_1866_),
.X(_1867_)
);
sky130_fd_sc_hd__nor4_2 _4412_ (
.A(\spi.clock_cnt[3] ),
.B(_1853_),
.C(\spi.clock_cnt[4] ),
.D(_1845_),
.Y(_1868_)
);
sky130_fd_sc_hd__buf_1 _4413_ (
.A(_1868_),
.X(_1869_)
);
sky130_fd_sc_hd__and3_2 _4414_ (
.A(io_spi_clk),
.B(_1869_),
.C(_1860_),
.X(_1870_)
);
sky130_fd_sc_hd__buf_1 _4415_ (
.A(\spi.data_cnt[2] ),
.X(_1871_)
);
sky130_fd_sc_hd__nor3_2 _4416_ (
.A(\spi.data_cnt[5] ),
.B(\spi.data_cnt[4] ),
.C(\spi.data_cnt[3] ),
.Y(_1872_)
);
sky130_fd_sc_hd__and4_2 _4417_ (
.A(_1871_),
.B(\spi.data_cnt[1] ),
.C(\spi.data_cnt[0] ),
.D(_1872_),
.X(_1873_)
);
sky130_fd_sc_hd__nand2_2 _4418_ (
.A(_1870_),
.B(_1873_),
.Y(_1874_)
);
sky130_fd_sc_hd__nor2_2 _4419_ (
.A(_1846_),
.B(_1865_),
.Y(_1875_)
);
sky130_fd_sc_hd__and4bb_2 _4420_ (
.A_N(_1861_),
.B_N(\spi.data_cnt[1] ),
.C(\spi.data_cnt[0] ),
.D(_1875_),
.X(_1876_)
);
sky130_fd_sc_hd__a31oi_2 _4421_ (
.A1(_1864_),
.A2(_1867_),
.A3(_1874_),
.B1(_1876_),
.Y(_1877_)
);
sky130_fd_sc_hd__or3b_2 _4422_ (
.A(\spi.n_status[1] ),
.B(_1279_),
.C_N(_1282_),
.X(_1878_)
);
sky130_fd_sc_hd__nor2_2 _4423_ (
.A(_1869_),
.B(_1878_),
.Y(_1879_)
);
sky130_fd_sc_hd__o21ai_2 _4424_ (
.A1(\spi.pending_data ),
.A2(_1848_),
.B1(_1037_),
.Y(_0164_)
);
sky130_fd_sc_hd__a211oi_2 _4425_ (
.A1(_1848_),
.A2(_1877_),
.B1(_1879_),
.C1(_0164_),
.Y(_0153_)
);
sky130_fd_sc_hd__buf_1 _4426_ (
.A(_1867_),
.X(_1880_)
);
sky130_fd_sc_hd__buf_1 _4427_ (
.A(io_spi_clk),
.X(_1881_)
);
sky130_fd_sc_hd__or3_2 _4428_ (
.A(\spi.n_status[2] ),
.B(_1281_),
.C(_1280_),
.X(_1882_)
);
sky130_fd_sc_hd__a31o_2 _4429_ (
.A1(_1881_),
.A2(_1869_),
.A3(_1873_),
.B1(_1882_),
.X(_1883_)
);
sky130_fd_sc_hd__or3_2 _4430_ (
.A(_1282_),
.B(\spi.n_status[1] ),
.C(_1280_),
.X(_1884_)
);
sky130_fd_sc_hd__a31oi_2 _4431_ (
.A1(_1880_),
.A2(_1883_),
.A3(_1884_),
.B1(_1141_),
.Y(_0154_)
);
sky130_fd_sc_hd__and3_2 _4432_ (
.A(_1091_),
.B(_1864_),
.C(_1883_),
.X(_1885_)
);
sky130_fd_sc_hd__buf_1 _4433_ (
.A(_1885_),
.X(_0155_)
);
sky130_fd_sc_hd__nor2_2 _4434_ (
.A(_0351_),
.B(_1220_),
.Y(_1886_)
);
sky130_fd_sc_hd__buf_1 _4435_ (
.A(_1886_),
.X(_1887_)
);
sky130_fd_sc_hd__nand2_2 _4436_ (
.A(_2062_),
.B(_1887_),
.Y(_1888_)
);
sky130_fd_sc_hd__nand2_2 _4437_ (
.A(\spi.pending_data ),
.B(_1884_),
.Y(_1889_)
);
sky130_fd_sc_hd__a21oi_2 _4438_ (
.A1(_1888_),
.A2(_1889_),
.B1(_1150_),
.Y(_0156_)
);
sky130_fd_sc_hd__and4_2 _4439_ (
.A(\spi.n_status[2] ),
.B(_1281_),
.C(_1279_),
.D(_1868_),
.X(_1890_)
);
sky130_fd_sc_hd__o31a_2 _4440_ (
.A1(_1870_),
.A2(_1875_),
.A3(_1890_),
.B1(\spi.data_cnt[0] ),
.X(_1891_)
);
sky130_fd_sc_hd__or4_2 _4441_ (
.A(\spi.data_cnt[0] ),
.B(_1870_),
.C(_1875_),
.D(_1890_),
.X(_1892_)
);
sky130_fd_sc_hd__inv_2 _4442_ (
.A(_1862_),
.Y(_1893_)
);
sky130_fd_sc_hd__a211o_2 _4443_ (
.A1(_1893_),
.A2(_1890_),
.B1(_1876_),
.C1(reset),
.X(_1894_)
);
sky130_fd_sc_hd__and2b_2 _4444_ (
.A_N(_1894_),
.B(_1874_),
.X(_1895_)
);
sky130_fd_sc_hd__and3b_2 _4445_ (
.A_N(_1891_),
.B(_1892_),
.C(_1895_),
.X(_1896_)
);
sky130_fd_sc_hd__buf_1 _4446_ (
.A(_1896_),
.X(_0157_)
);
sky130_fd_sc_hd__and2_2 _4447_ (
.A(\spi.data_cnt[1] ),
.B(_1891_),
.X(_1897_)
);
sky130_fd_sc_hd__buf_1 _4448_ (
.A(_1895_),
.X(_1898_)
);
sky130_fd_sc_hd__o21ai_2 _4449_ (
.A1(\spi.data_cnt[1] ),
.A2(_1891_),
.B1(_1898_),
.Y(_1899_)
);
sky130_fd_sc_hd__nor2_2 _4450_ (
.A(_1897_),
.B(_1899_),
.Y(_0158_)
);
sky130_fd_sc_hd__or2_2 _4451_ (
.A(_1871_),
.B(_1897_),
.X(_1900_)
);
sky130_fd_sc_hd__nand2_2 _4452_ (
.A(_1871_),
.B(_1897_),
.Y(_1901_)
);
sky130_fd_sc_hd__and3_2 _4453_ (
.A(_1898_),
.B(_1900_),
.C(_1901_),
.X(_1902_)
);
sky130_fd_sc_hd__buf_1 _4454_ (
.A(_1902_),
.X(_0159_)
);
sky130_fd_sc_hd__inv_2 _4455_ (
.A(\spi.data_cnt[3] ),
.Y(_1903_)
);
sky130_fd_sc_hd__nand2_2 _4456_ (
.A(_1903_),
.B(_1901_),
.Y(_1904_)
);
sky130_fd_sc_hd__o211a_2 _4457_ (
.A1(_1903_),
.A2(_1901_),
.B1(_1904_),
.C1(_1898_),
.X(_0160_)
);
sky130_fd_sc_hd__and4_2 _4458_ (
.A(\spi.data_cnt[4] ),
.B(\spi.data_cnt[3] ),
.C(_1871_),
.D(_1897_),
.X(_1905_)
);
sky130_fd_sc_hd__a31o_2 _4459_ (
.A1(\spi.data_cnt[3] ),
.A2(_1871_),
.A3(_1897_),
.B1(\spi.data_cnt[4] ),
.X(_1906_)
);
sky130_fd_sc_hd__and3b_2 _4460_ (
.A_N(_1905_),
.B(_1898_),
.C(_1906_),
.X(_1907_)
);
sky130_fd_sc_hd__buf_1 _4461_ (
.A(_1907_),
.X(_0161_)
);
sky130_fd_sc_hd__a21boi_2 _4462_ (
.A1(\spi.data_cnt[5] ),
.A2(_1905_),
.B1_N(_1898_),
.Y(_1908_)
);
sky130_fd_sc_hd__o21a_2 _4463_ (
.A1(\spi.data_cnt[5] ),
.A2(_1905_),
.B1(_1908_),
.X(_0162_)
);
sky130_fd_sc_hd__o21a_2 _4464_ (
.A1(\spi.bit_toe ),
.A2(\spi.bit_roe ),
.B1(_0005_),
.X(_0163_)
);
sky130_fd_sc_hd__nor2_2 _4465_ (
.A(_0956_),
.B(_1860_),
.Y(_1909_)
);
sky130_fd_sc_hd__and4b_2 _4466_ (
.A_N(\spi.p_status[2] ),
.B(_1909_),
.C(\spi.p_status[1] ),
.D(\spi.p_status[0] ),
.X(_1910_)
);
sky130_fd_sc_hd__buf_1 _4467_ (
.A(_1910_),
.X(_0165_)
);
sky130_fd_sc_hd__nor2_2 _4468_ (
.A(_1847_),
.B(_1878_),
.Y(_1911_)
);
sky130_fd_sc_hd__or2_2 _4469_ (
.A(_0351_),
.B(_1074_),
.X(_1912_)
);
sky130_fd_sc_hd__buf_1 _4470_ (
.A(_1912_),
.X(_1913_)
);
sky130_fd_sc_hd__o31ai_2 _4471_ (
.A1(_0354_),
.A2(_1913_),
.A3(_1911_),
.B1(_1038_),
.Y(_1914_)
);
sky130_fd_sc_hd__o21ba_2 _4472_ (
.A1(\spi.bit_rrdy ),
.A2(_1911_),
.B1_N(_1914_),
.X(_0166_)
);
sky130_fd_sc_hd__a21bo_2 _4473_ (
.A1(\spi.bit_trdy ),
.A2(_1888_),
.B1_N(_1909_),
.X(_0167_)
);
sky130_fd_sc_hd__a21oi_2 _4474_ (
.A1(\spi.bit_rrdy ),
.A2(_1911_),
.B1(\spi.bit_roe ),
.Y(_1915_)
);
sky130_fd_sc_hd__nor2_2 _4475_ (
.A(_1914_),
.B(_1915_),
.Y(_0168_)
);
sky130_fd_sc_hd__nor2_2 _4476_ (
.A(_0352_),
.B(_1076_),
.Y(_1916_)
);
sky130_fd_sc_hd__nand2_2 _4477_ (
.A(_2062_),
.B(_1916_),
.Y(_1917_)
);
sky130_fd_sc_hd__o2bb2a_2 _4478_ (
.A1_N(\spi.bit_toe ),
.A2_N(_1917_),
.B1(_1888_),
.B2(\spi.bit_trdy ),
.X(_1918_)
);
sky130_fd_sc_hd__nor2_2 _4479_ (
.A(_0994_),
.B(_1918_),
.Y(_0169_)
);
sky130_fd_sc_hd__nor2_2 _4480_ (
.A(_0351_),
.B(_1067_),
.Y(_1919_)
);
sky130_fd_sc_hd__nand2_2 _4481_ (
.A(_2062_),
.B(_1919_),
.Y(_1920_)
);
sky130_fd_sc_hd__buf_1 _4482_ (
.A(_1920_),
.X(_1921_)
);
sky130_fd_sc_hd__buf_1 _4483_ (
.A(_2062_),
.X(_1922_)
);
sky130_fd_sc_hd__buf_1 _4484_ (
.A(_1919_),
.X(_1923_)
);
sky130_fd_sc_hd__buf_1 _4485_ (
.A(_1923_),
.X(_1924_)
);
sky130_fd_sc_hd__a31o_2 _4486_ (
.A1(_1185_),
.A2(_1922_),
.A3(_1924_),
.B1(_1118_),
.X(_1925_)
);
sky130_fd_sc_hd__a21o_2 _4487_ (
.A1(io_spi_clk_en),
.A2(_1921_),
.B1(_1925_),
.X(_0170_)
);
sky130_fd_sc_hd__a31o_2 _4488_ (
.A1(_1188_),
.A2(_1922_),
.A3(_1924_),
.B1(_0957_),
.X(_1926_)
);
sky130_fd_sc_hd__a21o_2 _4489_ (
.A1(\spi.bit_sso ),
.A2(_1921_),
.B1(_1926_),
.X(_0171_)
);
sky130_fd_sc_hd__mux2_2 _4490_ (
.A0(io_dbus_wdata[5]),
.A1(\spi.bit_ie ),
.S(_1921_),
.X(_1927_)
);
sky130_fd_sc_hd__and2_2 _4491_ (
.A(_1270_),
.B(_1927_),
.X(_1928_)
);
sky130_fd_sc_hd__buf_1 _4492_ (
.A(_1928_),
.X(_0172_)
);
sky130_fd_sc_hd__mux2_2 _4493_ (
.A0(io_dbus_wdata[4]),
.A1(\spi.bit_irrdy ),
.S(_1921_),
.X(_1929_)
);
sky130_fd_sc_hd__and2_2 _4494_ (
.A(_1270_),
.B(_1929_),
.X(_1930_)
);
sky130_fd_sc_hd__buf_1 _4495_ (
.A(_1930_),
.X(_0173_)
);
sky130_fd_sc_hd__buf_1 _4496_ (
.A(_1095_),
.X(_1931_)
);
sky130_fd_sc_hd__mux2_2 _4497_ (
.A0(_1203_),
.A1(\spi.bit_itrdy ),
.S(_1921_),
.X(_1932_)
);
sky130_fd_sc_hd__and2_2 _4498_ (
.A(_1931_),
.B(_1932_),
.X(_1933_)
);
sky130_fd_sc_hd__buf_1 _4499_ (
.A(_1933_),
.X(_0174_)
);
sky130_fd_sc_hd__mux2_2 _4500_ (
.A0(_1170_),
.A1(\spi.bit_itoe ),
.S(_1920_),
.X(_1934_)
);
sky130_fd_sc_hd__and2_2 _4501_ (
.A(_1931_),
.B(_1934_),
.X(_1935_)
);
sky130_fd_sc_hd__buf_1 _4502_ (
.A(_1935_),
.X(_0175_)
);
sky130_fd_sc_hd__mux2_2 _4503_ (
.A0(_1163_),
.A1(\spi.bit_iroe ),
.S(_1920_),
.X(_1936_)
);
sky130_fd_sc_hd__and2_2 _4504_ (
.A(_1931_),
.B(_1936_),
.X(_1937_)
);
sky130_fd_sc_hd__buf_1 _4505_ (
.A(_1937_),
.X(_0176_)
);
sky130_fd_sc_hd__nor2_2 _4506_ (
.A(_1118_),
.B(\spi.ack_o ),
.Y(_1938_)
);
sky130_fd_sc_hd__o211a_2 _4507_ (
.A1(\spi.read_wait_done ),
.A2(_1922_),
.B1(io_wbm_m2s_stb),
.C1(_1938_),
.X(_0177_)
);
sky130_fd_sc_hd__inv_2 _4508_ (
.A(\spi._T_336[1] ),
.Y(_1939_)
);
sky130_fd_sc_hd__nand2_2 _4509_ (
.A(\spi.wait_one_tick_done ),
.B(_1870_),
.Y(_1940_)
);
sky130_fd_sc_hd__nand2_2 _4510_ (
.A(_1866_),
.B(_1940_),
.Y(_1941_)
);
sky130_fd_sc_hd__buf_1 _4511_ (
.A(_1941_),
.X(_1942_)
);
sky130_fd_sc_hd__inv_2 _4512_ (
.A(\spi._GEN_44[2] ),
.Y(_1943_)
);
sky130_fd_sc_hd__o22a_2 _4513_ (
.A1(_1939_),
.A2(_1867_),
.B1(_1942_),
.B2(_1943_),
.X(_1944_)
);
sky130_fd_sc_hd__nor2_2 _4514_ (
.A(_0994_),
.B(_1944_),
.Y(_0178_)
);
sky130_fd_sc_hd__nand2_2 _4515_ (
.A(_1943_),
.B(_1880_),
.Y(_1945_)
);
sky130_fd_sc_hd__o221a_2 _4516_ (
.A1(\spi._T_336[2] ),
.A2(_1867_),
.B1(_1942_),
.B2(\spi._GEN_44[3] ),
.C1(_0953_),
.X(_1946_)
);
sky130_fd_sc_hd__o21a_2 _4517_ (
.A1(_1940_),
.A2(_1945_),
.B1(_1946_),
.X(_0179_)
);
sky130_fd_sc_hd__or3b_2 _4518_ (
.A(\spi._GEN_44[3] ),
.B(_1940_),
.C_N(_1867_),
.X(_1947_)
);
sky130_fd_sc_hd__o211a_2 _4519_ (
.A1(\spi._T_336[3] ),
.A2(_1880_),
.B1(_1947_),
.C1(_0343_),
.X(_1948_)
);
sky130_fd_sc_hd__o21a_2 _4520_ (
.A1(\spi._GEN_44[4] ),
.A2(_1942_),
.B1(_1948_),
.X(_0180_)
);
sky130_fd_sc_hd__or3b_2 _4521_ (
.A(\spi._GEN_44[4] ),
.B(_1940_),
.C_N(_1866_),
.X(_1949_)
);
sky130_fd_sc_hd__o211a_2 _4522_ (
.A1(\spi._T_336[4] ),
.A2(_1880_),
.B1(_1949_),
.C1(_1096_),
.X(_1950_)
);
sky130_fd_sc_hd__o21a_2 _4523_ (
.A1(\spi._GEN_44[5] ),
.A2(_1942_),
.B1(_1950_),
.X(_0181_)
);
sky130_fd_sc_hd__mux2_2 _4524_ (
.A0(\spi._T_336[5] ),
.A1(\spi._GEN_44[5] ),
.S(_1866_),
.X(_1951_)
);
sky130_fd_sc_hd__mux2_2 _4525_ (
.A0(\spi._GEN_44[6] ),
.A1(_1951_),
.S(_1941_),
.X(_1952_)
);
sky130_fd_sc_hd__and2_2 _4526_ (
.A(_1931_),
.B(_1952_),
.X(_1953_)
);
sky130_fd_sc_hd__buf_1 _4527_ (
.A(_1953_),
.X(_0182_)
);
sky130_fd_sc_hd__or3b_2 _4528_ (
.A(\spi._GEN_44[6] ),
.B(_1940_),
.C_N(_1866_),
.X(_1954_)
);
sky130_fd_sc_hd__o211a_2 _4529_ (
.A1(\spi._T_336[6] ),
.A2(_1880_),
.B1(_1954_),
.C1(_1096_),
.X(_1955_)
);
sky130_fd_sc_hd__o21a_2 _4530_ (
.A1(\spi._GEN_44[7] ),
.A2(_1942_),
.B1(_1955_),
.X(_0183_)
);
sky130_fd_sc_hd__mux2_2 _4531_ (
.A0(\spi._T_336[7] ),
.A1(\spi._GEN_44[7] ),
.S(_1865_),
.X(_1956_)
);
sky130_fd_sc_hd__mux2_2 _4532_ (
.A0(\spi._T_349 ),
.A1(_1956_),
.S(_1941_),
.X(_1957_)
);
sky130_fd_sc_hd__and2_2 _4533_ (
.A(_1931_),
.B(_1957_),
.X(_1958_)
);
sky130_fd_sc_hd__buf_1 _4534_ (
.A(_1958_),
.X(_0184_)
);
sky130_fd_sc_hd__and3b_2 _4535_ (
.A_N(io_spi_clk),
.B(_1869_),
.C(_1860_),
.X(_1959_)
);
sky130_fd_sc_hd__buf_1 _4536_ (
.A(_1959_),
.X(_1960_)
);
sky130_fd_sc_hd__buf_1 _4537_ (
.A(io_spi_clk),
.X(_1961_)
);
sky130_fd_sc_hd__buf_1 _4538_ (
.A(_1846_),
.X(_1962_)
);
sky130_fd_sc_hd__buf_1 _4539_ (
.A(_1882_),
.X(_1963_)
);
sky130_fd_sc_hd__or4_2 _4540_ (
.A(_1961_),
.B(io_spi_miso),
.C(_1962_),
.D(_1963_),
.X(_1964_)
);
sky130_fd_sc_hd__o211a_2 _4541_ (
.A1(\spi._T_211[1] ),
.A2(_1960_),
.B1(_1964_),
.C1(_1296_),
.X(_0185_)
);
sky130_fd_sc_hd__or4_2 _4542_ (
.A(_1961_),
.B(\spi._T_211[1] ),
.C(_1962_),
.D(_1963_),
.X(_1965_)
);
sky130_fd_sc_hd__o211a_2 _4543_ (
.A1(\spi._T_211[2] ),
.A2(_1960_),
.B1(_1965_),
.C1(_1296_),
.X(_0186_)
);
sky130_fd_sc_hd__or4_2 _4544_ (
.A(_1961_),
.B(\spi._T_211[2] ),
.C(_1962_),
.D(_1963_),
.X(_1966_)
);
sky130_fd_sc_hd__o211a_2 _4545_ (
.A1(\spi._T_211[3] ),
.A2(_1960_),
.B1(_1966_),
.C1(_1296_),
.X(_0187_)
);
sky130_fd_sc_hd__or4_2 _4546_ (
.A(_1961_),
.B(\spi._T_211[3] ),
.C(_1962_),
.D(_1963_),
.X(_1967_)
);
sky130_fd_sc_hd__buf_1 _4547_ (
.A(_0953_),
.X(_1968_)
);
sky130_fd_sc_hd__o211a_2 _4548_ (
.A1(\spi._T_211[4] ),
.A2(_1960_),
.B1(_1967_),
.C1(_1968_),
.X(_0188_)
);
sky130_fd_sc_hd__or4_2 _4549_ (
.A(_1881_),
.B(\spi._T_211[4] ),
.C(_1962_),
.D(_1963_),
.X(_1969_)
);
sky130_fd_sc_hd__o211a_2 _4550_ (
.A1(\spi._T_211[5] ),
.A2(_1960_),
.B1(_1969_),
.C1(_1968_),
.X(_0189_)
);
sky130_fd_sc_hd__or4_2 _4551_ (
.A(_1881_),
.B(\spi._T_211[5] ),
.C(_1847_),
.D(_1882_),
.X(_1970_)
);
sky130_fd_sc_hd__o211a_2 _4552_ (
.A1(\spi._T_211[6] ),
.A2(_1959_),
.B1(_1970_),
.C1(_1968_),
.X(_0190_)
);
sky130_fd_sc_hd__or4_2 _4553_ (
.A(_1881_),
.B(\spi._T_211[6] ),
.C(_1847_),
.D(_1882_),
.X(_1971_)
);
sky130_fd_sc_hd__o211a_2 _4554_ (
.A1(\spi._T_211[7] ),
.A2(_1959_),
.B1(_1971_),
.C1(_1968_),
.X(_0191_)
);
sky130_fd_sc_hd__or4_2 _4555_ (
.A(_1881_),
.B(\spi._T_211[7] ),
.C(_1847_),
.D(_1882_),
.X(_1972_)
);
sky130_fd_sc_hd__o211a_2 _4556_ (
.A1(\spi._T_211[8] ),
.A2(_1959_),
.B1(_1972_),
.C1(_1968_),
.X(_0192_)
);
sky130_fd_sc_hd__nor2_2 _4557_ (
.A(_0351_),
.B(_1192_),
.Y(_1973_)
);
sky130_fd_sc_hd__a21oi_2 _4558_ (
.A1(_1922_),
.A2(_1973_),
.B1(\spi.reg_ssmask ),
.Y(_1974_)
);
sky130_fd_sc_hd__a311oi_2 _4559_ (
.A1(_1195_),
.A2(_1922_),
.A3(_1973_),
.B1(_1974_),
.C1(_1118_),
.Y(_0193_)
);
sky130_fd_sc_hd__and3_2 _4560_ (
.A(\spi.bit_trdy ),
.B(io_dbus_wr_en),
.C(_1886_),
.X(_1975_)
);
sky130_fd_sc_hd__buf_1 _4561_ (
.A(_1975_),
.X(_1976_)
);
sky130_fd_sc_hd__mux2_2 _4562_ (
.A0(_1939_),
.A1(_1195_),
.S(_1976_),
.X(_1977_)
);
sky130_fd_sc_hd__nand2_2 _4563_ (
.A(_0005_),
.B(_1977_),
.Y(_0194_)
);
sky130_fd_sc_hd__buf_1 _4564_ (
.A(_1095_),
.X(_1978_)
);
sky130_fd_sc_hd__mux2_2 _4565_ (
.A0(\spi._T_336[2] ),
.A1(io_dbus_wdata[1]),
.S(_1976_),
.X(_1979_)
);
sky130_fd_sc_hd__and2_2 _4566_ (
.A(_1978_),
.B(_1979_),
.X(_1980_)
);
sky130_fd_sc_hd__buf_1 _4567_ (
.A(_1980_),
.X(_0195_)
);
sky130_fd_sc_hd__mux2_2 _4568_ (
.A0(\spi._T_336[3] ),
.A1(io_dbus_wdata[2]),
.S(_1975_),
.X(_1981_)
);
sky130_fd_sc_hd__or2_2 _4569_ (
.A(_1267_),
.B(_1981_),
.X(_1982_)
);
sky130_fd_sc_hd__buf_1 _4570_ (
.A(_1982_),
.X(_0196_)
);
sky130_fd_sc_hd__mux2_2 _4571_ (
.A0(\spi._T_336[4] ),
.A1(_1203_),
.S(_1976_),
.X(_1983_)
);
sky130_fd_sc_hd__and2_2 _4572_ (
.A(_1978_),
.B(_1983_),
.X(_1984_)
);
sky130_fd_sc_hd__buf_1 _4573_ (
.A(_1984_),
.X(_0197_)
);
sky130_fd_sc_hd__mux2_2 _4574_ (
.A0(\spi._T_336[5] ),
.A1(io_dbus_wdata[4]),
.S(_1975_),
.X(_1985_)
);
sky130_fd_sc_hd__or2_2 _4575_ (
.A(_1267_),
.B(_1985_),
.X(_1986_)
);
sky130_fd_sc_hd__buf_1 _4576_ (
.A(_1986_),
.X(_0198_)
);
sky130_fd_sc_hd__mux2_2 _4577_ (
.A0(\spi._T_336[6] ),
.A1(io_dbus_wdata[5]),
.S(_1975_),
.X(_1987_)
);
sky130_fd_sc_hd__or2_2 _4578_ (
.A(_1267_),
.B(_1987_),
.X(_1988_)
);
sky130_fd_sc_hd__buf_1 _4579_ (
.A(_1988_),
.X(_0199_)
);
sky130_fd_sc_hd__mux2_2 _4580_ (
.A0(\spi._T_336[7] ),
.A1(io_dbus_wdata[6]),
.S(_1976_),
.X(_1989_)
);
sky130_fd_sc_hd__and2_2 _4581_ (
.A(_1978_),
.B(_1989_),
.X(_1990_)
);
sky130_fd_sc_hd__buf_1 _4582_ (
.A(_1990_),
.X(_0200_)
);
sky130_fd_sc_hd__mux2_2 _4583_ (
.A0(\spi._T_329 ),
.A1(io_dbus_wdata[7]),
.S(_1976_),
.X(_1991_)
);
sky130_fd_sc_hd__and2_2 _4584_ (
.A(_1978_),
.B(_1991_),
.X(_1992_)
);
sky130_fd_sc_hd__buf_1 _4585_ (
.A(_1992_),
.X(_0201_)
);
sky130_fd_sc_hd__buf_1 _4586_ (
.A(\spi.rx_latch_flag ),
.X(_1993_)
);
sky130_fd_sc_hd__mux2_2 _4587_ (
.A0(\spi.reg_rxdata[0] ),
.A1(\spi._T_211[1] ),
.S(_1993_),
.X(_1994_)
);
sky130_fd_sc_hd__and2_2 _4588_ (
.A(_1978_),
.B(_1994_),
.X(_1995_)
);
sky130_fd_sc_hd__buf_1 _4589_ (
.A(_1995_),
.X(_0202_)
);
sky130_fd_sc_hd__buf_1 _4590_ (
.A(_1095_),
.X(_1996_)
);
sky130_fd_sc_hd__mux2_2 _4591_ (
.A0(\spi.reg_rxdata[1] ),
.A1(\spi._T_211[2] ),
.S(_1993_),
.X(_1997_)
);
sky130_fd_sc_hd__and2_2 _4592_ (
.A(_1996_),
.B(_1997_),
.X(_1998_)
);
sky130_fd_sc_hd__buf_1 _4593_ (
.A(_1998_),
.X(_0203_)
);
sky130_fd_sc_hd__mux2_2 _4594_ (
.A0(\spi.reg_rxdata[2] ),
.A1(\spi._T_211[3] ),
.S(_1993_),
.X(_1999_)
);
sky130_fd_sc_hd__and2_2 _4595_ (
.A(_1996_),
.B(_1999_),
.X(_2000_)
);
sky130_fd_sc_hd__buf_1 _4596_ (
.A(_2000_),
.X(_0204_)
);
sky130_fd_sc_hd__mux2_2 _4597_ (
.A0(\spi.reg_rxdata[3] ),
.A1(\spi._T_211[4] ),
.S(_1993_),
.X(_2001_)
);
sky130_fd_sc_hd__and2_2 _4598_ (
.A(_1996_),
.B(_2001_),
.X(_2002_)
);
sky130_fd_sc_hd__buf_1 _4599_ (
.A(_2002_),
.X(_0205_)
);
sky130_fd_sc_hd__mux2_2 _4600_ (
.A0(\spi.reg_rxdata[4] ),
.A1(\spi._T_211[5] ),
.S(_1993_),
.X(_2003_)
);
sky130_fd_sc_hd__and2_2 _4601_ (
.A(_1996_),
.B(_2003_),
.X(_2004_)
);
sky130_fd_sc_hd__buf_1 _4602_ (
.A(_2004_),
.X(_0206_)
);
sky130_fd_sc_hd__mux2_2 _4603_ (
.A0(\spi.reg_rxdata[5] ),
.A1(\spi._T_211[6] ),
.S(\spi.rx_latch_flag ),
.X(_2005_)
);
sky130_fd_sc_hd__and2_2 _4604_ (
.A(_1996_),
.B(_2005_),
.X(_2006_)
);
sky130_fd_sc_hd__buf_1 _4605_ (
.A(_2006_),
.X(_0207_)
);
sky130_fd_sc_hd__mux2_2 _4606_ (
.A0(\spi.reg_rxdata[6] ),
.A1(\spi._T_211[7] ),
.S(\spi.rx_latch_flag ),
.X(_2007_)
);
sky130_fd_sc_hd__and2_2 _4607_ (
.A(_0343_),
.B(_2007_),
.X(_2008_)
);
sky130_fd_sc_hd__buf_1 _4608_ (
.A(_2008_),
.X(_0208_)
);
sky130_fd_sc_hd__mux2_2 _4609_ (
.A0(\spi.reg_rxdata[7] ),
.A1(\spi._T_211[8] ),
.S(\spi.rx_latch_flag ),
.X(_2009_)
);
sky130_fd_sc_hd__and2_2 _4610_ (
.A(_0343_),
.B(_2009_),
.X(_2010_)
);
sky130_fd_sc_hd__buf_1 _4611_ (
.A(_2010_),
.X(_0209_)
);
sky130_fd_sc_hd__and2_2 _4612_ (
.A(io_dbus_rd_en),
.B(_0338_),
.X(_2011_)
);
sky130_fd_sc_hd__buf_1 _4613_ (
.A(_2011_),
.X(_2012_)
);
sky130_fd_sc_hd__buf_1 _4614_ (
.A(_2012_),
.X(_2013_)
);
sky130_fd_sc_hd__o21a_2 _4615_ (
.A1(\spi.read_wait_done ),
.A2(_2013_),
.B1(_1938_),
.X(_0210_)
);
sky130_fd_sc_hd__mux2_2 _4616_ (
.A0(\spi._T_329 ),
.A1(\spi._T_349 ),
.S(_1865_),
.X(_2014_)
);
sky130_fd_sc_hd__mux2_2 _4617_ (
.A0(io_spi_mosi),
.A1(_2014_),
.S(_1941_),
.X(_2015_)
);
sky130_fd_sc_hd__and2_2 _4618_ (
.A(_0343_),
.B(_2015_),
.X(_2016_)
);
sky130_fd_sc_hd__buf_1 _4619_ (
.A(_2016_),
.X(_0211_)
);
sky130_fd_sc_hd__a21oi_2 _4620_ (
.A1(_1869_),
.A2(_1860_),
.B1(_1961_),
.Y(_2017_)
);
sky130_fd_sc_hd__nor3_2 _4621_ (
.A(_1141_),
.B(_1870_),
.C(_2017_),
.Y(_0212_)
);
sky130_fd_sc_hd__nand2_2 _4622_ (
.A(_1097_),
.B(_0000_),
.Y(_0213_)
);
sky130_fd_sc_hd__nor2_2 _4623_ (
.A(_0352_),
.B(_1074_),
.Y(_2018_)
);
sky130_fd_sc_hd__nand2_2 _4624_ (
.A(_1939_),
.B(_1887_),
.Y(_2019_)
);
sky130_fd_sc_hd__buf_1 _4625_ (
.A(_1886_),
.X(_2020_)
);
sky130_fd_sc_hd__a221o_2 _4626_ (
.A1(\spi.bit_iroe ),
.A2(_1923_),
.B1(_1973_),
.B2(\spi.reg_ssmask ),
.C1(_2020_),
.X(_2021_)
);
sky130_fd_sc_hd__buf_1 _4627_ (
.A(_0353_),
.X(_2022_)
);
sky130_fd_sc_hd__a221o_2 _4628_ (
.A1(\spi.reg_rxdata[0] ),
.A2(_2018_),
.B1(_2019_),
.B2(_2021_),
.C1(_2022_),
.X(_2023_)
);
sky130_fd_sc_hd__o211a_2 _4629_ (
.A1(\spi.io_wbs_data_o[0] ),
.A2(_2013_),
.B1(_2023_),
.C1(_1082_),
.X(_0214_)
);
sky130_fd_sc_hd__a32o_2 _4630_ (
.A1(\spi.bit_itoe ),
.A2(_1220_),
.A3(_1924_),
.B1(_1887_),
.B2(\spi._T_336[2] ),
.X(_2024_)
);
sky130_fd_sc_hd__buf_1 _4631_ (
.A(_2018_),
.X(_2025_)
);
sky130_fd_sc_hd__a21o_2 _4632_ (
.A1(\spi.reg_rxdata[1] ),
.A2(_2025_),
.B1(_0354_),
.X(_2026_)
);
sky130_fd_sc_hd__buf_1 _4633_ (
.A(_1091_),
.X(_2027_)
);
sky130_fd_sc_hd__o221a_2 _4634_ (
.A1(\spi.io_wbs_data_o[1] ),
.A2(_2013_),
.B1(_2024_),
.B2(_2026_),
.C1(_2027_),
.X(_0215_)
);
sky130_fd_sc_hd__a22o_2 _4635_ (
.A1(\spi._T_336[3] ),
.A2(_2020_),
.B1(_1916_),
.B2(\spi.bit_roe ),
.X(_2028_)
);
sky130_fd_sc_hd__a211o_2 _4636_ (
.A1(\spi.reg_rxdata[2] ),
.A2(_2025_),
.B1(_2028_),
.C1(_0354_),
.X(_2029_)
);
sky130_fd_sc_hd__o211a_2 _4637_ (
.A1(\spi.io_wbs_data_o[2] ),
.A2(_2013_),
.B1(_2029_),
.C1(_1082_),
.X(_0216_)
);
sky130_fd_sc_hd__a21o_2 _4638_ (
.A1(\spi.reg_rxdata[3] ),
.A2(_2025_),
.B1(_0354_),
.X(_2030_)
);
sky130_fd_sc_hd__or2_2 _4639_ (
.A(_0352_),
.B(_1220_),
.X(_2031_)
);
sky130_fd_sc_hd__nor2_2 _4640_ (
.A(_0352_),
.B(_1285_),
.Y(_2032_)
);
sky130_fd_sc_hd__a221o_2 _4641_ (
.A1(\spi.bit_toe ),
.A2(_2032_),
.B1(_1924_),
.B2(\spi.bit_itrdy ),
.C1(_1887_),
.X(_2033_)
);
sky130_fd_sc_hd__o211a_2 _4642_ (
.A1(\spi._T_336[4] ),
.A2(_2031_),
.B1(_1913_),
.C1(_2033_),
.X(_2034_)
);
sky130_fd_sc_hd__o221a_2 _4643_ (
.A1(\spi.io_wbs_data_o[3] ),
.A2(_2013_),
.B1(_2030_),
.B2(_2034_),
.C1(_2027_),
.X(_0217_)
);
sky130_fd_sc_hd__a21o_2 _4644_ (
.A1(\spi.reg_rxdata[4] ),
.A2(_2025_),
.B1(_2022_),
.X(_2035_)
);
sky130_fd_sc_hd__a221o_2 _4645_ (
.A1(\spi.bit_tmt ),
.A2(_2032_),
.B1(_1924_),
.B2(\spi.bit_irrdy ),
.C1(_1887_),
.X(_2036_)
);
sky130_fd_sc_hd__o211a_2 _4646_ (
.A1(\spi._T_336[5] ),
.A2(_2031_),
.B1(_1913_),
.C1(_2036_),
.X(_2037_)
);
sky130_fd_sc_hd__o221a_2 _4647_ (
.A1(\spi.io_wbs_data_o[4] ),
.A2(_2012_),
.B1(_2035_),
.B2(_2037_),
.C1(_2027_),
.X(_0218_)
);
sky130_fd_sc_hd__a21o_2 _4648_ (
.A1(\spi.reg_rxdata[5] ),
.A2(_2025_),
.B1(_2022_),
.X(_2038_)
);
sky130_fd_sc_hd__a221o_2 _4649_ (
.A1(\spi.bit_trdy ),
.A2(_2032_),
.B1(_1923_),
.B2(\spi.bit_ie ),
.C1(_2020_),
.X(_2039_)
);
sky130_fd_sc_hd__o211a_2 _4650_ (
.A1(\spi._T_336[6] ),
.A2(_2031_),
.B1(_1913_),
.C1(_2039_),
.X(_2040_)
);
sky130_fd_sc_hd__o221a_2 _4651_ (
.A1(\spi.io_wbs_data_o[5] ),
.A2(_2012_),
.B1(_2038_),
.B2(_2040_),
.C1(_2027_),
.X(_0219_)
);
sky130_fd_sc_hd__a21o_2 _4652_ (
.A1(\spi.reg_rxdata[6] ),
.A2(_2018_),
.B1(_2022_),
.X(_2041_)
);
sky130_fd_sc_hd__a221o_2 _4653_ (
.A1(\spi.bit_rrdy ),
.A2(_2032_),
.B1(_1923_),
.B2(io_spi_clk_en),
.C1(_2020_),
.X(_2042_)
);
sky130_fd_sc_hd__o211a_2 _4654_ (
.A1(\spi._T_336[7] ),
.A2(_2031_),
.B1(_1913_),
.C1(_2042_),
.X(_2043_)
);
sky130_fd_sc_hd__o221a_2 _4655_ (
.A1(\spi.io_wbs_data_o[6] ),
.A2(_2012_),
.B1(_2041_),
.B2(_2043_),
.C1(_2027_),
.X(_0220_)
);
sky130_fd_sc_hd__a21o_2 _4656_ (
.A1(\spi.reg_rxdata[7] ),
.A2(_2018_),
.B1(_2022_),
.X(_2044_)
);
sky130_fd_sc_hd__a221o_2 _4657_ (
.A1(\spi.bit_e ),
.A2(_2032_),
.B1(_1923_),
.B2(\spi.bit_sso ),
.C1(_2020_),
.X(_2045_)
);
sky130_fd_sc_hd__o211a_2 _4658_ (
.A1(\spi._T_329 ),
.A2(_2031_),
.B1(_1912_),
.C1(_2045_),
.X(_2046_)
);
sky130_fd_sc_hd__o221a_2 _4659_ (
.A1(\spi.io_wbs_data_o[7] ),
.A2(_2012_),
.B1(_2044_),
.B2(_2046_),
.C1(_1038_),
.X(_0221_)
);
sky130_fd_sc_hd__inv_2 _4660_ (
.A(_0966_),
.Y(_2047_)
);
sky130_fd_sc_hd__a21oi_2 _4661_ (
.A1(_2047_),
.A2(_0985_),
.B1(_1042_),
.Y(_2048_)
);
sky130_fd_sc_hd__mux2_2 _4662_ (
.A0(_1042_),
.A1(_2048_),
.S(\uart.rxm.data_count[0] ),
.X(_2049_)
);
sky130_fd_sc_hd__buf_1 _4663_ (
.A(_2049_),
.X(_0222_)
);
sky130_fd_sc_hd__a21o_2 _4664_ (
.A1(\uart.rxm.data_count[0] ),
.A2(_1042_),
.B1(_2048_),
.X(_2050_)
);
sky130_fd_sc_hd__a21bo_2 _4665_ (
.A1(\uart.rxm.data_count[1] ),
.A2(_2050_),
.B1_N(_0965_),
.X(_0223_)
);
sky130_fd_sc_hd__o21ai_2 _4666_ (
.A1(\uart.rxm.data_count[0] ),
.A2(\uart.rxm.data_count[1] ),
.B1(\uart.rxm.data_count[2] ),
.Y(_2051_)
);
sky130_fd_sc_hd__nand2_2 _4667_ (
.A(_1043_),
.B(_2051_),
.Y(_2052_)
);
sky130_fd_sc_hd__a22o_2 _4668_ (
.A1(\uart.rxm.data_count[2] ),
.A2(_2048_),
.B1(_2052_),
.B2(_1042_),
.X(_0224_)
);
sky130_fd_sc_hd__o21ai_2 _4669_ (
.A1(_1043_),
.A2(_2048_),
.B1(\uart.rxm.data_count[3] ),
.Y(_2053_)
);
sky130_fd_sc_hd__a21o_2 _4670_ (
.A1(_0966_),
.A2(_1044_),
.B1(_2048_),
.X(_2054_)
);
sky130_fd_sc_hd__nand2_2 _4671_ (
.A(_2053_),
.B(_2054_),
.Y(_0225_)
);
sky130_fd_sc_hd__inv_2 _4672_ (
.A(\uart.txm.counter[0] ),
.Y(_2055_)
);
sky130_fd_sc_hd__mux2_2 _4673_ (
.A0(_2153_),
.A1(_2157_),
.S(_2055_),
.X(_2056_)
);
sky130_fd_sc_hd__nor2_2 _4674_ (
.A(_0994_),
.B(_2056_),
.Y(_0226_)
);
sky130_fd_sc_hd__inv_2 _4675_ (
.A(\uart.txm.counter[1] ),
.Y(_2057_)
);
sky130_fd_sc_hd__a221o_2 _4676_ (
.A1(\uart.txen ),
.A2(_2147_),
.B1(_2153_),
.B2(_2055_),
.C1(\uart.txm.counter[1] ),
.X(_2058_)
);
sky130_fd_sc_hd__o311a_2 _4677_ (
.A1(_2057_),
.A2(\uart.txm.counter[0] ),
.A3(_2151_),
.B1(_2058_),
.C1(_1038_),
.X(_0227_)
);
sky130_fd_sc_hd__or3_2 _4678_ (
.A(\uart.txm.counter[1] ),
.B(\uart.txm.counter[0] ),
.C(_2151_),
.X(_2059_)
);
sky130_fd_sc_hd__xor2_2 _4679_ (
.A(\uart.txm.counter[2] ),
.B(_2059_),
.X(_2060_)
);
sky130_fd_sc_hd__nor3_2 _4680_ (
.A(_1141_),
.B(_1131_),
.C(_2060_),
.Y(_0228_)
);
sky130_fd_sc_hd__o21ai_2 _4681_ (
.A1(\uart.txm.counter[2] ),
.A2(_2059_),
.B1(\uart.txm.counter[3] ),
.Y(_2061_)
);
sky130_fd_sc_hd__a21oi_2 _4682_ (
.A1(_2155_),
.A2(_2061_),
.B1(_1150_),
.Y(_0229_)
);
sky130_fd_sc_hd__nor2_2 _4683_ (
.A(_0994_),
.B(_0344_),
.Y(_0230_)
);
sky130_fd_sc_hd__dfxtp_2 _4684_ (
.CLK(clock),
.D(_0015_),
.Q(\imem.bmem.bmem_data[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4685_ (
.CLK(clock),
.D(_0016_),
.Q(\imem.bmem.bmem_data[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4686_ (
.CLK(clock),
.D(_0017_),
.Q(\imem.bmem.bmem_data[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4687_ (
.CLK(clock),
.D(_0018_),
.Q(\imem.bmem.bmem_data[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4688_ (
.CLK(clock),
.D(_0019_),
.Q(\imem.bmem.bmem_data[22] )
);
sky130_fd_sc_hd__dfxtp_2 _4689_ (
.CLK(clock),
.D(_0020_),
.Q(\imem.bmem_ibus_sel )
);
sky130_fd_sc_hd__dfxtp_2 _4690_ (
.CLK(clock),
.D(_0021_),
.Q(\dmem.ack )
);
sky130_fd_sc_hd__dfxtp_2 _4691_ (
.CLK(clock),
.D(_0022_),
.Q(\imem.ack )
);
sky130_fd_sc_hd__dfxtp_2 _4692_ (
.CLK(clock),
.D(_0023_),
.Q(\uart.rxm.io_out_valid )
);
sky130_fd_sc_hd__dfxtp_2 _4693_ (
.CLK(clock),
.D(_0024_),
.Q(\uart.rxm.prescaler[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4694_ (
.CLK(clock),
.D(_0025_),
.Q(\uart.rxm.prescaler[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4695_ (
.CLK(clock),
.D(_0026_),
.Q(\uart.rxm.prescaler[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4696_ (
.CLK(clock),
.D(_0027_),
.Q(\uart.rxm.prescaler[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4697_ (
.CLK(clock),
.D(_0028_),
.Q(\uart.rxm.prescaler[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4698_ (
.CLK(clock),
.D(_0029_),
.Q(\uart.rxm.prescaler[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4699_ (
.CLK(clock),
.D(_0030_),
.Q(\uart.rxm.prescaler[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4700_ (
.CLK(clock),
.D(_0031_),
.Q(\uart.rxm.prescaler[7] )
);
sky130_fd_sc_hd__dfxtp_2 _4701_ (
.CLK(clock),
.D(_0032_),
.Q(\uart.rxm.prescaler[8] )
);
sky130_fd_sc_hd__dfxtp_2 _4702_ (
.CLK(clock),
.D(_0033_),
.Q(\uart.rxm.prescaler[9] )
);
sky130_fd_sc_hd__dfxtp_2 _4703_ (
.CLK(clock),
.D(_0034_),
.Q(\uart.rxm.io_out_bits[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4704_ (
.CLK(clock),
.D(_0035_),
.Q(\uart.rxm._T_49[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4705_ (
.CLK(clock),
.D(_0036_),
.Q(\uart.rxm._T_49[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4706_ (
.CLK(clock),
.D(_0037_),
.Q(\uart.rxm._T_49[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4707_ (
.CLK(clock),
.D(_0038_),
.Q(\uart.rxm._T_49[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4708_ (
.CLK(clock),
.D(_0039_),
.Q(\uart.rxm._T_49[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4709_ (
.CLK(clock),
.D(_0040_),
.Q(\uart.rxm._T_49[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4710_ (
.CLK(clock),
.D(_0041_),
.Q(\uart.rxm._T_49[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4711_ (
.CLK(clock),
.D(_0042_),
.Q(\uart.io_wbs_data_o[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4712_ (
.CLK(clock),
.D(_0043_),
.Q(\uart.io_wbs_data_o[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4713_ (
.CLK(clock),
.D(_0044_),
.Q(\uart.io_wbs_data_o[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4714_ (
.CLK(clock),
.D(_0045_),
.Q(\uart.io_wbs_data_o[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4715_ (
.CLK(clock),
.D(_0046_),
.Q(\uart.io_wbs_data_o[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4716_ (
.CLK(clock),
.D(_0047_),
.Q(\uart.io_wbs_data_o[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4717_ (
.CLK(clock),
.D(_0048_),
.Q(\uart.io_wbs_data_o[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4718_ (
.CLK(clock),
.D(_0049_),
.Q(\uart.io_wbs_data_o[7] )
);
sky130_fd_sc_hd__dfxtp_2 _4719_ (
.CLK(clock),
.D(_0050_),
.Q(\uart.rxm._GEN_28[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4720_ (
.CLK(clock),
.D(_0051_),
.Q(\uart.rxm._GEN_28[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4721_ (
.CLK(clock),
.D(_0052_),
.Q(\uart.rxm._GEN_28[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4722_ (
.CLK(clock),
.D(_0053_),
.Q(\uart.rxm._GEN_28[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4723_ (
.CLK(clock),
.D(_0054_),
.Q(\uart.rxm._GEN_28[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4724_ (
.CLK(clock),
.D(_0055_),
.Q(\uart.rxm._GEN_28[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4725_ (
.CLK(clock),
.D(_0056_),
.Q(\uart.rxm._GEN_28[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4726_ (
.CLK(clock),
.D(_0057_),
.Q(\uart.rxm._GEN_28[7] )
);
sky130_fd_sc_hd__dfxtp_2 _4727_ (
.CLK(clock),
.D(_0058_),
.Q(\uart.rxm._GEN_28[8] )
);
sky130_fd_sc_hd__dfxtp_2 _4728_ (
.CLK(clock),
.D(_0059_),
.Q(\uart.txm.prescaler[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4729_ (
.CLK(clock),
.D(_0060_),
.Q(\uart.txm.prescaler[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4730_ (
.CLK(clock),
.D(_0061_),
.Q(\uart.txm.prescaler[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4731_ (
.CLK(clock),
.D(_0062_),
.Q(\uart.txm.prescaler[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4732_ (
.CLK(clock),
.D(_0063_),
.Q(\uart.txm.prescaler[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4733_ (
.CLK(clock),
.D(_0064_),
.Q(\uart.txm.prescaler[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4734_ (
.CLK(clock),
.D(_0065_),
.Q(\uart.txm.prescaler[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4735_ (
.CLK(clock),
.D(_0066_),
.Q(\uart.txm.prescaler[7] )
);
sky130_fd_sc_hd__dfxtp_2 _4736_ (
.CLK(clock),
.D(_0067_),
.Q(\uart.txm.prescaler[8] )
);
sky130_fd_sc_hd__dfxtp_2 _4737_ (
.CLK(clock),
.D(_0068_),
.Q(\uart.txm.prescaler[9] )
);
sky130_fd_sc_hd__dfxtp_2 _4738_ (
.CLK(clock),
.D(_0069_),
.Q(\uart.rxm.state )
);
sky130_fd_sc_hd__dfxtp_2 _4739_ (
.CLK(clock),
.D(_0006_),
.Q(\uart.txm._T_68 )
);
sky130_fd_sc_hd__dfxtp_2 _4740_ (
.CLK(clock),
.D(_0007_),
.Q(\uart.txm._T_66[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4741_ (
.CLK(clock),
.D(_0008_),
.Q(\uart.txm._T_66[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4742_ (
.CLK(clock),
.D(_0009_),
.Q(\uart.txm._T_66[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4743_ (
.CLK(clock),
.D(_0010_),
.Q(\uart.txm._T_66[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4744_ (
.CLK(clock),
.D(_0011_),
.Q(\uart.txm._T_66[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4745_ (
.CLK(clock),
.D(_0012_),
.Q(\uart.txm._T_66[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4746_ (
.CLK(clock),
.D(_0013_),
.Q(\uart.txm._T_66[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4747_ (
.CLK(clock),
.D(_0014_),
.Q(\uart.txm._T_66[7] )
);
sky130_fd_sc_hd__dfxtp_2 _4748_ (
.CLK(clock),
.D(_0070_),
.Q(io_uart_tx)
);
sky130_fd_sc_hd__dfxtp_2 _4749_ (
.CLK(clock),
.D(_0071_),
.Q(\uart.baud_r[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4750_ (
.CLK(clock),
.D(_0072_),
.Q(\uart.baud_r[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4751_ (
.CLK(clock),
.D(_0073_),
.Q(\uart.baud_r[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4752_ (
.CLK(clock),
.D(_0074_),
.Q(\uart.baud_r[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4753_ (
.CLK(clock),
.D(_0075_),
.Q(\uart.baud_r[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4754_ (
.CLK(clock),
.D(_0076_),
.Q(\uart.baud_r[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4755_ (
.CLK(clock),
.D(_0077_),
.Q(\uart.baud_r[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4756_ (
.CLK(clock),
.D(_0078_),
.Q(\uart.baud_r[7] )
);
sky130_fd_sc_hd__dfxtp_2 _4757_ (
.CLK(clock),
.D(_0079_),
.Q(\uart.int_mask_r[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4758_ (
.CLK(clock),
.D(_0080_),
.Q(\uart.int_mask_r[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4759_ (
.CLK(clock),
.D(_0081_),
.Q(\uart.int_mask_r[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4760_ (
.CLK(clock),
.D(_0082_),
.Q(\uart.int_mask_r[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4761_ (
.CLK(clock),
.D(_0083_),
.Q(\uart.int_mask_r[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4762_ (
.CLK(clock),
.D(_0084_),
.Q(\uart.int_mask_r[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4763_ (
.CLK(clock),
.D(_0085_),
.Q(\uart.int_mask_r[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4764_ (
.CLK(clock),
.D(_0086_),
.Q(\uart.int_mask_r[7] )
);
sky130_fd_sc_hd__dfxtp_2 _4765_ (
.CLK(clock),
.D(_0087_),
.Q(\uart._T_97[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4766_ (
.CLK(clock),
.D(_0088_),
.Q(\uart.txen )
);
sky130_fd_sc_hd__dfxtp_2 _4767_ (
.CLK(clock),
.D(_0089_),
.Q(\uart.control_r[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4768_ (
.CLK(clock),
.D(_0090_),
.Q(\uart.control_r[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4769_ (
.CLK(clock),
.D(_0091_),
.Q(\uart.control_r[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4770_ (
.CLK(clock),
.D(_0092_),
.Q(\uart.control_r[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4771_ (
.CLK(clock),
.D(_0093_),
.Q(\uart.control_r[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4772_ (
.CLK(clock),
.D(_0094_),
.Q(\uart.control_r[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4773_ (
.CLK(clock),
.D(_0095_),
.Q(\uart.control_r[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4774_ (
.CLK(clock),
.D(_0096_),
.Q(io_uart_txen)
);
sky130_fd_sc_hd__dfxtp_2 _4775_ (
.CLK(clock),
.D(_0097_),
.Q(\uart.rx_data_r[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4776_ (
.CLK(clock),
.D(_0098_),
.Q(\uart.rx_data_r[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4777_ (
.CLK(clock),
.D(_0099_),
.Q(\uart.rx_data_r[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4778_ (
.CLK(clock),
.D(_0100_),
.Q(\uart.rx_data_r[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4779_ (
.CLK(clock),
.D(_0101_),
.Q(\uart.rx_data_r[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4780_ (
.CLK(clock),
.D(_0102_),
.Q(\uart.rx_data_r[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4781_ (
.CLK(clock),
.D(_0103_),
.Q(\uart.rx_data_r[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4782_ (
.CLK(clock),
.D(_0104_),
.Q(\uart.rx_data_r[7] )
);
sky130_fd_sc_hd__dfxtp_2 _4783_ (
.CLK(clock),
.D(_0105_),
.Q(\uart.tx_data_r[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4784_ (
.CLK(clock),
.D(_0106_),
.Q(\uart.tx_data_r[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4785_ (
.CLK(clock),
.D(_0107_),
.Q(\uart.tx_data_r[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4786_ (
.CLK(clock),
.D(_0108_),
.Q(\uart.tx_data_r[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4787_ (
.CLK(clock),
.D(_0109_),
.Q(\uart.tx_data_r[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4788_ (
.CLK(clock),
.D(_0110_),
.Q(\uart.tx_data_r[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4789_ (
.CLK(clock),
.D(_0111_),
.Q(\uart.tx_data_r[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4790_ (
.CLK(clock),
.D(_0112_),
.Q(\uart.tx_data_r[7] )
);
sky130_fd_sc_hd__dfxtp_2 _4791_ (
.CLK(clock),
.D(_0113_),
.Q(\spi.p_status[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4792_ (
.CLK(clock),
.D(_0114_),
.Q(\spi.p_status[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4793_ (
.CLK(clock),
.D(_0115_),
.Q(\spi.p_status[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4794_ (
.CLK(clock),
.D(_0116_),
.Q(\uart._T_102[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4795_ (
.CLK(clock),
.D(_0117_),
.Q(\uart._T_102[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4796_ (
.CLK(clock),
.D(_0118_),
.Q(\uart._T_102[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4797_ (
.CLK(clock),
.D(_0119_),
.Q(\uart._T_102[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4798_ (
.CLK(clock),
.D(_0120_),
.Q(\uart._T_102[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4799_ (
.CLK(clock),
.D(_0121_),
.Q(\uart._T_102[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4800_ (
.CLK(clock),
.D(_0122_),
.Q(\uart._T_103 )
);
sky130_fd_sc_hd__dfxtp_2 _4801_ (
.CLK(clock),
.D(_0123_),
.Q(\imem.bmem.bmem_data[8] )
);
sky130_fd_sc_hd__dfxtp_2 _4802_ (
.CLK(clock),
.D(_0124_),
.Q(\imem.bmem.bmem_data[13] )
);
sky130_fd_sc_hd__dfxtp_2 _4803_ (
.CLK(clock),
.D(_0125_),
.Q(\imem.bmem.bmem_data[15] )
);
sky130_fd_sc_hd__dfxtp_2 _4804_ (
.CLK(clock),
.D(_0126_),
.Q(\imem.bmem.bmem_data[16] )
);
sky130_fd_sc_hd__dfxtp_2 _4805_ (
.CLK(clock),
.D(_0127_),
.Q(\imem.bmem.bmem_data[17] )
);
sky130_fd_sc_hd__dfxtp_2 _4806_ (
.CLK(clock),
.D(_0128_),
.Q(\imem.bmem.bmem_data[18] )
);
sky130_fd_sc_hd__dfxtp_2 _4807_ (
.CLK(clock),
.D(_0129_),
.Q(\imem.bmem.bmem_data[19] )
);
sky130_fd_sc_hd__dfxtp_2 _4808_ (
.CLK(clock),
.D(_0130_),
.Q(\imem.bmem.bmem_data[20] )
);
sky130_fd_sc_hd__dfxtp_2 _4809_ (
.CLK(clock),
.D(_0131_),
.Q(\imem.bmem.bmem_data[21] )
);
sky130_fd_sc_hd__dfxtp_2 _4810_ (
.CLK(clock),
.D(_0132_),
.Q(\imem.bmem.bmem_data[23] )
);
sky130_fd_sc_hd__dfxtp_2 _4811_ (
.CLK(clock),
.D(_0133_),
.Q(\imem.bmem.bmem_data[24] )
);
sky130_fd_sc_hd__dfxtp_2 _4812_ (
.CLK(clock),
.D(_0134_),
.Q(\imem.bmem.bmem_data[25] )
);
sky130_fd_sc_hd__dfxtp_2 _4813_ (
.CLK(clock),
.D(_0135_),
.Q(\imem.bmem.bmem_data[26] )
);
sky130_fd_sc_hd__dfxtp_2 _4814_ (
.CLK(clock),
.D(_0136_),
.Q(\imem.bmem.bmem_data[27] )
);
sky130_fd_sc_hd__dfxtp_2 _4815_ (
.CLK(clock),
.D(_0137_),
.Q(\imem.bmem.bmem_data[28] )
);
sky130_fd_sc_hd__dfxtp_2 _4816_ (
.CLK(clock),
.D(_0138_),
.Q(\imem.bmem.bmem_data[29] )
);
sky130_fd_sc_hd__dfxtp_2 _4817_ (
.CLK(clock),
.D(_0139_),
.Q(\imem.bmem.bmem_data[30] )
);
sky130_fd_sc_hd__dfxtp_2 _4818_ (
.CLK(clock),
.D(_0140_),
.Q(\imem.bmem.bmem_data[10] )
);
sky130_fd_sc_hd__dfxtp_2 _4819_ (
.CLK(clock),
.D(_0141_),
.Q(\imem.bmem.bmem_data[7] )
);
sky130_fd_sc_hd__dfxtp_2 _4820_ (
.CLK(clock),
.D(_0142_),
.Q(\imem.bmem.bmem_data[9] )
);
sky130_fd_sc_hd__dfxtp_2 _4821_ (
.CLK(clock),
.D(_0143_),
.Q(\imem.bmem.bmem_data[11] )
);
sky130_fd_sc_hd__dfxtp_2 _4822_ (
.CLK(clock),
.D(_0144_),
.Q(\imem.bmem.bmem_data[14] )
);
sky130_fd_sc_hd__dfxtp_2 _4823_ (
.CLK(clock),
.D(_0145_),
.Q(\imem.bmem.bmem_data[12] )
);
sky130_fd_sc_hd__dfxtp_2 _4824_ (
.CLK(clock),
.D(_0146_),
.Q(\imem.bmem.bmem_data[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4825_ (
.CLK(clock),
.D(_0147_),
.Q(\imem.bmem.bmem_data[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4826_ (
.CLK(clock),
.D(_0005_),
.Q(\spi.wait_one_tick_done )
);
sky130_fd_sc_hd__dfxtp_2 _4827_ (
.CLK(clock),
.D(_0148_),
.Q(\spi.clock_cnt[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4828_ (
.CLK(clock),
.D(_0149_),
.Q(\spi.clock_cnt[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4829_ (
.CLK(clock),
.D(_0150_),
.Q(\spi.clock_cnt[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4830_ (
.CLK(clock),
.D(_0151_),
.Q(\spi.clock_cnt[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4831_ (
.CLK(clock),
.D(_0152_),
.Q(\spi.clock_cnt[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4832_ (
.CLK(clock),
.D(_0153_),
.Q(\spi.n_status[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4833_ (
.CLK(clock),
.D(_0154_),
.Q(\spi.n_status[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4834_ (
.CLK(clock),
.D(_0155_),
.Q(\spi.n_status[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4835_ (
.CLK(clock),
.D(_0156_),
.Q(\spi.pending_data )
);
sky130_fd_sc_hd__dfxtp_2 _4836_ (
.CLK(clock),
.D(_0157_),
.Q(\spi.data_cnt[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4837_ (
.CLK(clock),
.D(_0158_),
.Q(\spi.data_cnt[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4838_ (
.CLK(clock),
.D(_0159_),
.Q(\spi.data_cnt[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4839_ (
.CLK(clock),
.D(_0160_),
.Q(\spi.data_cnt[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4840_ (
.CLK(clock),
.D(_0161_),
.Q(\spi.data_cnt[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4841_ (
.CLK(clock),
.D(_0162_),
.Q(\spi.data_cnt[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4842_ (
.CLK(clock),
.D(_0163_),
.Q(\spi.bit_e )
);
sky130_fd_sc_hd__dfxtp_2 _4843_ (
.CLK(clock),
.D(_0164_),
.Q(\spi.bit_tmt )
);
sky130_fd_sc_hd__dfxtp_2 _4844_ (
.CLK(clock),
.D(_0165_),
.Q(\spi.rx_latch_flag )
);
sky130_fd_sc_hd__dfxtp_2 _4845_ (
.CLK(clock),
.D(_0166_),
.Q(\spi.bit_rrdy )
);
sky130_fd_sc_hd__dfxtp_2 _4846_ (
.CLK(clock),
.D(_0167_),
.Q(\spi.bit_trdy )
);
sky130_fd_sc_hd__dfxtp_2 _4847_ (
.CLK(clock),
.D(_0168_),
.Q(\spi.bit_roe )
);
sky130_fd_sc_hd__dfxtp_2 _4848_ (
.CLK(clock),
.D(_0169_),
.Q(\spi.bit_toe )
);
sky130_fd_sc_hd__dfxtp_2 _4849_ (
.CLK(clock),
.D(_0170_),
.Q(io_spi_clk_en)
);
sky130_fd_sc_hd__dfxtp_2 _4850_ (
.CLK(clock),
.D(_0171_),
.Q(\spi.bit_sso )
);
sky130_fd_sc_hd__dfxtp_2 _4851_ (
.CLK(clock),
.D(_0172_),
.Q(\spi.bit_ie )
);
sky130_fd_sc_hd__dfxtp_2 _4852_ (
.CLK(clock),
.D(_0173_),
.Q(\spi.bit_irrdy )
);
sky130_fd_sc_hd__dfxtp_2 _4853_ (
.CLK(clock),
.D(_0174_),
.Q(\spi.bit_itrdy )
);
sky130_fd_sc_hd__dfxtp_2 _4854_ (
.CLK(clock),
.D(_0175_),
.Q(\spi.bit_itoe )
);
sky130_fd_sc_hd__dfxtp_2 _4855_ (
.CLK(clock),
.D(_0176_),
.Q(\spi.bit_iroe )
);
sky130_fd_sc_hd__dfxtp_2 _4856_ (
.CLK(clock),
.D(_0177_),
.Q(\spi.ack_o )
);
sky130_fd_sc_hd__dfxtp_2 _4857_ (
.CLK(clock),
.D(_0178_),
.Q(\spi._GEN_44[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4858_ (
.CLK(clock),
.D(_0179_),
.Q(\spi._GEN_44[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4859_ (
.CLK(clock),
.D(_0180_),
.Q(\spi._GEN_44[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4860_ (
.CLK(clock),
.D(_0181_),
.Q(\spi._GEN_44[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4861_ (
.CLK(clock),
.D(_0182_),
.Q(\spi._GEN_44[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4862_ (
.CLK(clock),
.D(_0183_),
.Q(\spi._GEN_44[7] )
);
sky130_fd_sc_hd__dfxtp_2 _4863_ (
.CLK(clock),
.D(_0184_),
.Q(\spi._T_349 )
);
sky130_fd_sc_hd__dfxtp_2 _4864_ (
.CLK(clock),
.D(_0185_),
.Q(\spi._T_211[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4865_ (
.CLK(clock),
.D(_0186_),
.Q(\spi._T_211[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4866_ (
.CLK(clock),
.D(_0187_),
.Q(\spi._T_211[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4867_ (
.CLK(clock),
.D(_0188_),
.Q(\spi._T_211[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4868_ (
.CLK(clock),
.D(_0189_),
.Q(\spi._T_211[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4869_ (
.CLK(clock),
.D(_0190_),
.Q(\spi._T_211[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4870_ (
.CLK(clock),
.D(_0191_),
.Q(\spi._T_211[7] )
);
sky130_fd_sc_hd__dfxtp_2 _4871_ (
.CLK(clock),
.D(_0192_),
.Q(\spi._T_211[8] )
);
sky130_fd_sc_hd__dfxtp_2 _4872_ (
.CLK(clock),
.D(_0193_),
.Q(\spi.reg_ssmask )
);
sky130_fd_sc_hd__dfxtp_2 _4873_ (
.CLK(clock),
.D(_0194_),
.Q(\spi._T_336[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4874_ (
.CLK(clock),
.D(_0195_),
.Q(\spi._T_336[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4875_ (
.CLK(clock),
.D(_0196_),
.Q(\spi._T_336[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4876_ (
.CLK(clock),
.D(_0197_),
.Q(\spi._T_336[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4877_ (
.CLK(clock),
.D(_0198_),
.Q(\spi._T_336[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4878_ (
.CLK(clock),
.D(_0199_),
.Q(\spi._T_336[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4879_ (
.CLK(clock),
.D(_0200_),
.Q(\spi._T_336[7] )
);
sky130_fd_sc_hd__dfxtp_2 _4880_ (
.CLK(clock),
.D(_0201_),
.Q(\spi._T_329 )
);
sky130_fd_sc_hd__dfxtp_2 _4881_ (
.CLK(clock),
.D(_0202_),
.Q(\spi.reg_rxdata[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4882_ (
.CLK(clock),
.D(_0203_),
.Q(\spi.reg_rxdata[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4883_ (
.CLK(clock),
.D(_0204_),
.Q(\spi.reg_rxdata[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4884_ (
.CLK(clock),
.D(_0205_),
.Q(\spi.reg_rxdata[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4885_ (
.CLK(clock),
.D(_0206_),
.Q(\spi.reg_rxdata[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4886_ (
.CLK(clock),
.D(_0207_),
.Q(\spi.reg_rxdata[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4887_ (
.CLK(clock),
.D(_0208_),
.Q(\spi.reg_rxdata[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4888_ (
.CLK(clock),
.D(_0209_),
.Q(\spi.reg_rxdata[7] )
);
sky130_fd_sc_hd__dfxtp_2 _4889_ (
.CLK(clock),
.D(_0210_),
.Q(\spi.read_wait_done )
);
sky130_fd_sc_hd__dfxtp_2 _4890_ (
.CLK(clock),
.D(_0211_),
.Q(io_spi_mosi)
);
sky130_fd_sc_hd__dfxtp_2 _4891_ (
.CLK(clock),
.D(_0212_),
.Q(io_spi_clk)
);
sky130_fd_sc_hd__dfxtp_2 _4892_ (
.CLK(clock),
.D(_0213_),
.Q(\imem.imem_ibus_valid )
);
sky130_fd_sc_hd__dfxtp_2 _4893_ (
.CLK(clock),
.D(_0214_),
.Q(\spi.io_wbs_data_o[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4894_ (
.CLK(clock),
.D(_0215_),
.Q(\spi.io_wbs_data_o[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4895_ (
.CLK(clock),
.D(_0216_),
.Q(\spi.io_wbs_data_o[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4896_ (
.CLK(clock),
.D(_0217_),
.Q(\spi.io_wbs_data_o[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4897_ (
.CLK(clock),
.D(_0218_),
.Q(\spi.io_wbs_data_o[4] )
);
sky130_fd_sc_hd__dfxtp_2 _4898_ (
.CLK(clock),
.D(_0219_),
.Q(\spi.io_wbs_data_o[5] )
);
sky130_fd_sc_hd__dfxtp_2 _4899_ (
.CLK(clock),
.D(_0220_),
.Q(\spi.io_wbs_data_o[6] )
);
sky130_fd_sc_hd__dfxtp_2 _4900_ (
.CLK(clock),
.D(_0221_),
.Q(\spi.io_wbs_data_o[7] )
);
sky130_fd_sc_hd__dfxtp_2 _4901_ (
.CLK(clock),
.D(_0222_),
.Q(\uart.rxm.data_count[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4902_ (
.CLK(clock),
.D(_0223_),
.Q(\uart.rxm.data_count[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4903_ (
.CLK(clock),
.D(_0224_),
.Q(\uart.rxm.data_count[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4904_ (
.CLK(clock),
.D(_0225_),
.Q(\uart.rxm.data_count[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4905_ (
.CLK(clock),
.D(_0226_),
.Q(\uart.txm.counter[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4906_ (
.CLK(clock),
.D(_0227_),
.Q(\uart.txm.counter[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4907_ (
.CLK(clock),
.D(_0228_),
.Q(\uart.txm.counter[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4908_ (
.CLK(clock),
.D(_0229_),
.Q(\uart.txm.counter[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4909_ (
.CLK(clock),
.D(_0000_),
.Q(imem_sel)
);
sky130_fd_sc_hd__dfxtp_2 _4910_ (
.CLK(clock),
.D(io_dmem_io_cs),
.Q(dmem_sel)
);
sky130_fd_sc_hd__dfxtp_2 _4911_ (
.CLK(clock),
.D(_0003_),
.Q(uart_sel)
);
sky130_fd_sc_hd__dfxtp_2 _4912_ (
.CLK(clock),
.D(_0002_),
.Q(spi_sel)
);
sky130_fd_sc_hd__dfxtp_2 _4913_ (
.CLK(clock),
.D(_0001_),
.Q(motor_sel)
);
sky130_fd_sc_hd__dfxtp_2 _4914_ (
.CLK(clock),
.D(_0004_),
.Q(\dmem.rd_resp )
);
sky130_fd_sc_hd__dfxtp_2 _4915_ (
.CLK(clock),
.D(io_dmem_io_st_type[0]),
.Q(\dmem.wb_select[0] )
);
sky130_fd_sc_hd__dfxtp_2 _4916_ (
.CLK(clock),
.D(io_dmem_io_st_type[1]),
.Q(\dmem.wb_select[1] )
);
sky130_fd_sc_hd__dfxtp_2 _4917_ (
.CLK(clock),
.D(io_dmem_io_st_type[2]),
.Q(\dmem.wb_select[2] )
);
sky130_fd_sc_hd__dfxtp_2 _4918_ (
.CLK(clock),
.D(io_dmem_io_st_type[3]),
.Q(\dmem.wb_select[3] )
);
sky130_fd_sc_hd__dfxtp_2 _4919_ (
.CLK(clock),
.D(_0230_),
.Q(\dmem.ack2 )
);
sky130_fd_sc_hd__buf_2 _4920_ (
.A(io_dbus_addr[2]),
.X(io_dmem_io_addr[0])
);
sky130_fd_sc_hd__buf_2 _4921_ (
.A(io_dbus_addr[3]),
.X(io_dmem_io_addr[1])
);
sky130_fd_sc_hd__buf_2 _4922_ (
.A(io_dbus_addr[4]),
.X(io_dmem_io_addr[2])
);
sky130_fd_sc_hd__buf_2 _4923_ (
.A(io_dbus_addr[5]),
.X(io_dmem_io_addr[3])
);
sky130_fd_sc_hd__buf_2 _4924_ (
.A(io_dbus_addr[6]),
.X(io_dmem_io_addr[4])
);
sky130_fd_sc_hd__buf_2 _4925_ (
.A(io_dbus_addr[7]),
.X(io_dmem_io_addr[5])
);
sky130_fd_sc_hd__buf_2 _4926_ (
.A(io_dbus_addr[8]),
.X(io_dmem_io_addr[6])
);
sky130_fd_sc_hd__buf_2 _4927_ (
.A(io_dbus_addr[9]),
.X(io_dmem_io_addr[7])
);
sky130_fd_sc_hd__buf_2 _4928_ (
.A(io_dbus_wdata[0]),
.X(io_dmem_io_wdata[0])
);
sky130_fd_sc_hd__buf_2 _4929_ (
.A(io_dbus_wdata[1]),
.X(io_dmem_io_wdata[1])
);
sky130_fd_sc_hd__buf_2 _4930_ (
.A(io_dbus_wdata[2]),
.X(io_dmem_io_wdata[2])
);
sky130_fd_sc_hd__buf_2 _4931_ (
.A(io_dbus_wdata[3]),
.X(io_dmem_io_wdata[3])
);
sky130_fd_sc_hd__buf_2 _4932_ (
.A(io_dbus_wdata[4]),
.X(io_dmem_io_wdata[4])
);
sky130_fd_sc_hd__buf_2 _4933_ (
.A(io_dbus_wdata[5]),
.X(io_dmem_io_wdata[5])
);
sky130_fd_sc_hd__buf_2 _4934_ (
.A(io_dbus_wdata[6]),
.X(io_dmem_io_wdata[6])
);
sky130_fd_sc_hd__buf_2 _4935_ (
.A(io_dbus_wdata[7]),
.X(io_dmem_io_wdata[7])
);
sky130_fd_sc_hd__buf_2 _4936_ (
.A(io_dbus_wdata[8]),
.X(io_dmem_io_wdata[8])
);
sky130_fd_sc_hd__buf_2 _4937_ (
.A(io_dbus_wdata[9]),
.X(io_dmem_io_wdata[9])
);
sky130_fd_sc_hd__buf_2 _4938_ (
.A(io_dbus_wdata[10]),
.X(io_dmem_io_wdata[10])
);
sky130_fd_sc_hd__buf_2 _4939_ (
.A(io_dbus_wdata[11]),
.X(io_dmem_io_wdata[11])
);
sky130_fd_sc_hd__buf_2 _4940_ (
.A(io_dbus_wdata[12]),
.X(io_dmem_io_wdata[12])
);
sky130_fd_sc_hd__buf_2 _4941_ (
.A(io_dbus_wdata[13]),
.X(io_dmem_io_wdata[13])
);
sky130_fd_sc_hd__buf_2 _4942_ (
.A(io_dbus_wdata[14]),
.X(io_dmem_io_wdata[14])
);
sky130_fd_sc_hd__buf_2 _4943_ (
.A(io_dbus_wdata[15]),
.X(io_dmem_io_wdata[15])
);
sky130_fd_sc_hd__buf_2 _4944_ (
.A(io_dbus_wdata[16]),
.X(io_dmem_io_wdata[16])
);
sky130_fd_sc_hd__buf_2 _4945_ (
.A(io_dbus_wdata[17]),
.X(io_dmem_io_wdata[17])
);
sky130_fd_sc_hd__buf_2 _4946_ (
.A(io_dbus_wdata[18]),
.X(io_dmem_io_wdata[18])
);
sky130_fd_sc_hd__buf_2 _4947_ (
.A(io_dbus_wdata[19]),
.X(io_dmem_io_wdata[19])
);
sky130_fd_sc_hd__buf_2 _4948_ (
.A(io_dbus_wdata[20]),
.X(io_dmem_io_wdata[20])
);
sky130_fd_sc_hd__buf_2 _4949_ (
.A(io_dbus_wdata[21]),
.X(io_dmem_io_wdata[21])
);
sky130_fd_sc_hd__buf_2 _4950_ (
.A(io_dbus_wdata[22]),
.X(io_dmem_io_wdata[22])
);
sky130_fd_sc_hd__buf_2 _4951_ (
.A(io_dbus_wdata[23]),
.X(io_dmem_io_wdata[23])
);
sky130_fd_sc_hd__buf_2 _4952_ (
.A(io_dbus_wdata[24]),
.X(io_dmem_io_wdata[24])
);
sky130_fd_sc_hd__buf_2 _4953_ (
.A(io_dbus_wdata[25]),
.X(io_dmem_io_wdata[25])
);
sky130_fd_sc_hd__buf_2 _4954_ (
.A(io_dbus_wdata[26]),
.X(io_dmem_io_wdata[26])
);
sky130_fd_sc_hd__buf_2 _4955_ (
.A(io_dbus_wdata[27]),
.X(io_dmem_io_wdata[27])
);
sky130_fd_sc_hd__buf_2 _4956_ (
.A(io_dbus_wdata[28]),
.X(io_dmem_io_wdata[28])
);
sky130_fd_sc_hd__buf_2 _4957_ (
.A(io_dbus_wdata[29]),
.X(io_dmem_io_wdata[29])
);
sky130_fd_sc_hd__buf_2 _4958_ (
.A(io_dbus_wdata[30]),
.X(io_dmem_io_wdata[30])
);
sky130_fd_sc_hd__buf_2 _4959_ (
.A(io_dbus_wdata[31]),
.X(io_dmem_io_wdata[31])
);
sky130_fd_sc_hd__buf_2 _4960_ (
.A(io_dmem_io_st_type[0]),
.X(io_imem_io_st_type[0])
);
sky130_fd_sc_hd__buf_2 _4961_ (
.A(io_dmem_io_st_type[1]),
.X(io_imem_io_st_type[1])
);
sky130_fd_sc_hd__buf_2 _4962_ (
.A(io_dmem_io_st_type[2]),
.X(io_imem_io_st_type[2])
);
sky130_fd_sc_hd__buf_2 _4963_ (
.A(io_dmem_io_st_type[3]),
.X(io_imem_io_st_type[3])
);
sky130_fd_sc_hd__buf_2 _4964_ (
.A(io_dbus_wdata[0]),
.X(io_imem_io_wdata[0])
);
sky130_fd_sc_hd__buf_2 _4965_ (
.A(io_dbus_wdata[1]),
.X(io_imem_io_wdata[1])
);
sky130_fd_sc_hd__buf_2 _4966_ (
.A(io_dbus_wdata[2]),
.X(io_imem_io_wdata[2])
);
sky130_fd_sc_hd__buf_2 _4967_ (
.A(io_dbus_wdata[3]),
.X(io_imem_io_wdata[3])
);
sky130_fd_sc_hd__buf_2 _4968_ (
.A(io_dbus_wdata[4]),
.X(io_imem_io_wdata[4])
);
sky130_fd_sc_hd__buf_2 _4969_ (
.A(io_dbus_wdata[5]),
.X(io_imem_io_wdata[5])
);
sky130_fd_sc_hd__buf_2 _4970_ (
.A(io_dbus_wdata[6]),
.X(io_imem_io_wdata[6])
);
sky130_fd_sc_hd__buf_2 _4971_ (
.A(io_dbus_wdata[7]),
.X(io_imem_io_wdata[7])
);
sky130_fd_sc_hd__buf_2 _4972_ (
.A(io_dbus_wdata[8]),
.X(io_imem_io_wdata[8])
);
sky130_fd_sc_hd__buf_2 _4973_ (
.A(io_dbus_wdata[9]),
.X(io_imem_io_wdata[9])
);
sky130_fd_sc_hd__buf_2 _4974_ (
.A(io_dbus_wdata[10]),
.X(io_imem_io_wdata[10])
);
sky130_fd_sc_hd__buf_2 _4975_ (
.A(io_dbus_wdata[11]),
.X(io_imem_io_wdata[11])
);
sky130_fd_sc_hd__buf_2 _4976_ (
.A(io_dbus_wdata[12]),
.X(io_imem_io_wdata[12])
);
sky130_fd_sc_hd__buf_2 _4977_ (
.A(io_dbus_wdata[13]),
.X(io_imem_io_wdata[13])
);
sky130_fd_sc_hd__buf_2 _4978_ (
.A(io_dbus_wdata[14]),
.X(io_imem_io_wdata[14])
);
sky130_fd_sc_hd__buf_2 _4979_ (
.A(io_dbus_wdata[15]),
.X(io_imem_io_wdata[15])
);
sky130_fd_sc_hd__buf_2 _4980_ (
.A(io_dbus_wdata[16]),
.X(io_imem_io_wdata[16])
);
sky130_fd_sc_hd__buf_2 _4981_ (
.A(io_dbus_wdata[17]),
.X(io_imem_io_wdata[17])
);
sky130_fd_sc_hd__buf_2 _4982_ (
.A(io_dbus_wdata[18]),
.X(io_imem_io_wdata[18])
);
sky130_fd_sc_hd__buf_2 _4983_ (
.A(io_dbus_wdata[19]),
.X(io_imem_io_wdata[19])
);
sky130_fd_sc_hd__buf_2 _4984_ (
.A(io_dbus_wdata[20]),
.X(io_imem_io_wdata[20])
);
sky130_fd_sc_hd__buf_2 _4985_ (
.A(io_dbus_wdata[21]),
.X(io_imem_io_wdata[21])
);
sky130_fd_sc_hd__buf_2 _4986_ (
.A(io_dbus_wdata[22]),
.X(io_imem_io_wdata[22])
);
sky130_fd_sc_hd__buf_2 _4987_ (
.A(io_dbus_wdata[23]),
.X(io_imem_io_wdata[23])
);
sky130_fd_sc_hd__buf_2 _4988_ (
.A(io_dbus_wdata[24]),
.X(io_imem_io_wdata[24])
);
sky130_fd_sc_hd__buf_2 _4989_ (
.A(io_dbus_wdata[25]),
.X(io_imem_io_wdata[25])
);
sky130_fd_sc_hd__buf_2 _4990_ (
.A(io_dbus_wdata[26]),
.X(io_imem_io_wdata[26])
);
sky130_fd_sc_hd__buf_2 _4991_ (
.A(io_dbus_wdata[27]),
.X(io_imem_io_wdata[27])
);
sky130_fd_sc_hd__buf_2 _4992_ (
.A(io_dbus_wdata[28]),
.X(io_imem_io_wdata[28])
);
sky130_fd_sc_hd__buf_2 _4993_ (
.A(io_dbus_wdata[29]),
.X(io_imem_io_wdata[29])
);
sky130_fd_sc_hd__buf_2 _4994_ (
.A(io_dbus_wdata[30]),
.X(io_imem_io_wdata[30])
);
sky130_fd_sc_hd__buf_2 _4995_ (
.A(io_dbus_wdata[31]),
.X(io_imem_io_wdata[31])
);
sky130_fd_sc_hd__buf_2 _4996_ (
.A(io_spi_clk_en),
.X(io_spi_cs_en)
);
sky130_fd_sc_hd__buf_2 _4997_ (
.A(io_spi_clk_en),
.X(io_spi_mosi_en)
);
sky130_fd_sc_hd__buf_2 _4998_ (
.A(io_dbus_addr[0]),
.X(io_wbm_m2s_addr[0])
);
sky130_fd_sc_hd__buf_2 _4999_ (
.A(io_dbus_addr[1]),
.X(io_wbm_m2s_addr[1])
);
sky130_fd_sc_hd__buf_2 _5000_ (
.A(io_dbus_addr[2]),
.X(io_wbm_m2s_addr[2])
);
sky130_fd_sc_hd__buf_2 _5001_ (
.A(io_dbus_addr[3]),
.X(io_wbm_m2s_addr[3])
);
sky130_fd_sc_hd__buf_2 _5002_ (
.A(io_dbus_addr[4]),
.X(io_wbm_m2s_addr[4])
);
sky130_fd_sc_hd__buf_2 _5003_ (
.A(io_dbus_addr[5]),
.X(io_wbm_m2s_addr[5])
);
sky130_fd_sc_hd__buf_2 _5004_ (
.A(io_dbus_addr[6]),
.X(io_wbm_m2s_addr[6])
);
sky130_fd_sc_hd__buf_2 _5005_ (
.A(io_dbus_addr[7]),
.X(io_wbm_m2s_addr[7])
);
sky130_fd_sc_hd__buf_2 _5006_ (
.A(io_dbus_addr[8]),
.X(io_wbm_m2s_addr[8])
);
sky130_fd_sc_hd__buf_2 _5007_ (
.A(io_dbus_addr[9]),
.X(io_wbm_m2s_addr[9])
);
sky130_fd_sc_hd__buf_2 _5008_ (
.A(io_dbus_addr[10]),
.X(io_wbm_m2s_addr[10])
);
sky130_fd_sc_hd__buf_2 _5009_ (
.A(io_dbus_addr[11]),
.X(io_wbm_m2s_addr[11])
);
sky130_fd_sc_hd__buf_2 _5010_ (
.A(io_dbus_addr[12]),
.X(io_wbm_m2s_addr[12])
);
sky130_fd_sc_hd__buf_2 _5011_ (
.A(io_dbus_addr[13]),
.X(io_wbm_m2s_addr[13])
);
sky130_fd_sc_hd__buf_2 _5012_ (
.A(io_dbus_addr[14]),
.X(io_wbm_m2s_addr[14])
);
sky130_fd_sc_hd__buf_2 _5013_ (
.A(io_dbus_addr[15]),
.X(io_wbm_m2s_addr[15])
);
sky130_fd_sc_hd__buf_2 _5014_ (
.A(io_dbus_wdata[0]),
.X(io_wbm_m2s_data[0])
);
sky130_fd_sc_hd__buf_2 _5015_ (
.A(io_dbus_wdata[1]),
.X(io_wbm_m2s_data[1])
);
sky130_fd_sc_hd__buf_2 _5016_ (
.A(io_dbus_wdata[2]),
.X(io_wbm_m2s_data[2])
);
sky130_fd_sc_hd__buf_2 _5017_ (
.A(io_dbus_wdata[3]),
.X(io_wbm_m2s_data[3])
);
sky130_fd_sc_hd__buf_2 _5018_ (
.A(io_dbus_wdata[4]),
.X(io_wbm_m2s_data[4])
);
sky130_fd_sc_hd__buf_2 _5019_ (
.A(io_dbus_wdata[5]),
.X(io_wbm_m2s_data[5])
);
sky130_fd_sc_hd__buf_2 _5020_ (
.A(io_dbus_wdata[6]),
.X(io_wbm_m2s_data[6])
);
sky130_fd_sc_hd__buf_2 _5021_ (
.A(io_dbus_wdata[7]),
.X(io_wbm_m2s_data[7])
);
sky130_fd_sc_hd__buf_2 _5022_ (
.A(io_dbus_wdata[8]),
.X(io_wbm_m2s_data[8])
);
sky130_fd_sc_hd__buf_2 _5023_ (
.A(io_dbus_wdata[9]),
.X(io_wbm_m2s_data[9])
);
sky130_fd_sc_hd__buf_2 _5024_ (
.A(io_dbus_wdata[10]),
.X(io_wbm_m2s_data[10])
);
sky130_fd_sc_hd__buf_2 _5025_ (
.A(io_dbus_wdata[11]),
.X(io_wbm_m2s_data[11])
);
sky130_fd_sc_hd__buf_2 _5026_ (
.A(io_dbus_wdata[12]),
.X(io_wbm_m2s_data[12])
);
sky130_fd_sc_hd__buf_2 _5027_ (
.A(io_dbus_wdata[13]),
.X(io_wbm_m2s_data[13])
);
sky130_fd_sc_hd__buf_2 _5028_ (
.A(io_dbus_wdata[14]),
.X(io_wbm_m2s_data[14])
);
sky130_fd_sc_hd__buf_2 _5029_ (
.A(io_dbus_wdata[15]),
.X(io_wbm_m2s_data[15])
);
sky130_fd_sc_hd__buf_2 _5030_ (
.A(io_dbus_wdata[16]),
.X(io_wbm_m2s_data[16])
);
sky130_fd_sc_hd__buf_2 _5031_ (
.A(io_dbus_wdata[17]),
.X(io_wbm_m2s_data[17])
);
sky130_fd_sc_hd__buf_2 _5032_ (
.A(io_dbus_wdata[18]),
.X(io_wbm_m2s_data[18])
);
sky130_fd_sc_hd__buf_2 _5033_ (
.A(io_dbus_wdata[19]),
.X(io_wbm_m2s_data[19])
);
sky130_fd_sc_hd__buf_2 _5034_ (
.A(io_dbus_wdata[20]),
.X(io_wbm_m2s_data[20])
);
sky130_fd_sc_hd__buf_2 _5035_ (
.A(io_dbus_wdata[21]),
.X(io_wbm_m2s_data[21])
);
sky130_fd_sc_hd__buf_2 _5036_ (
.A(io_dbus_wdata[22]),
.X(io_wbm_m2s_data[22])
);
sky130_fd_sc_hd__buf_2 _5037_ (
.A(io_dbus_wdata[23]),
.X(io_wbm_m2s_data[23])
);
sky130_fd_sc_hd__buf_2 _5038_ (
.A(io_dbus_wdata[24]),
.X(io_wbm_m2s_data[24])
);
sky130_fd_sc_hd__buf_2 _5039_ (
.A(io_dbus_wdata[25]),
.X(io_wbm_m2s_data[25])
);
sky130_fd_sc_hd__buf_2 _5040_ (
.A(io_dbus_wdata[26]),
.X(io_wbm_m2s_data[26])
);
sky130_fd_sc_hd__buf_2 _5041_ (
.A(io_dbus_wdata[27]),
.X(io_wbm_m2s_data[27])
);
sky130_fd_sc_hd__buf_2 _5042_ (
.A(io_dbus_wdata[28]),
.X(io_wbm_m2s_data[28])
);
sky130_fd_sc_hd__buf_2 _5043_ (
.A(io_dbus_wdata[29]),
.X(io_wbm_m2s_data[29])
);
sky130_fd_sc_hd__buf_2 _5044_ (
.A(io_dbus_wdata[30]),
.X(io_wbm_m2s_data[30])
);
sky130_fd_sc_hd__buf_2 _5045_ (
.A(io_dbus_wdata[31]),
.X(io_wbm_m2s_data[31])
);
sky130_fd_sc_hd__buf_2 _5046_ (
.A(io_dmem_io_st_type[0]),
.X(io_wbm_m2s_sel[0])
);
sky130_fd_sc_hd__buf_2 _5047_ (
.A(io_dmem_io_st_type[1]),
.X(io_wbm_m2s_sel[1])
);
sky130_fd_sc_hd__buf_2 _5048_ (
.A(io_dmem_io_st_type[2]),
.X(io_wbm_m2s_sel[2])
);
sky130_fd_sc_hd__buf_2 _5049_ (
.A(io_dmem_io_st_type[3]),
.X(io_wbm_m2s_sel[3])
);
sky130_fd_sc_hd__buf_2 _5050_ (
.A(io_dbus_wr_en),
.X(io_wbm_m2s_we)
);
endmodule