blob: 2b8aefa80eb93b77b136e3b4bf2f98e380a19dfd [file] [log] [blame]
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _10673_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10705_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.23 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.23 0.00 0.61 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 0.82 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_3_0_clock (net)
0.12 0.00 0.82 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.63 0.51 1.33 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.12 clknet_3_6_0_clock (net)
0.63 0.00 1.33 ^ clkbuf_leaf_9_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.26 1.59 ^ clkbuf_leaf_9_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_9_clock (net)
0.06 0.00 1.59 ^ _10673_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.29 1.89 v _10673_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 pwm.reg_duty[11] (net)
0.04 0.00 1.89 v _06225_/A (sky130_fd_sc_hd__inv_2)
0.04 0.05 1.94 ^ _06225_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _01223_ (net)
0.04 0.00 1.94 ^ _07928_/A1 (sky130_fd_sc_hd__o21ai_1)
0.04 0.06 2.00 v _07928_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.00 _02894_ (net)
0.04 0.00 2.00 v _07929_/B2 (sky130_fd_sc_hd__o221a_1)
0.04 0.20 2.19 v _07929_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00087_ (net)
0.04 0.00 2.19 v _10705_/D (sky130_fd_sc_hd__dfxtp_1)
2.19 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 0.67 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.23 0.00 0.68 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.25 0.92 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.15 0.00 0.92 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.78 1.70 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_4_0_clock (net)
0.92 0.01 1.71 ^ clkbuf_leaf_7_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.31 2.02 ^ clkbuf_leaf_7_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_7_clock (net)
0.06 0.00 2.02 ^ _10705_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.27 clock uncertainty
-0.06 2.21 clock reconvergence pessimism
-0.05 2.16 library hold time
2.16 data required time
-----------------------------------------------------------------------------
2.16 data required time
-2.19 data arrival time
-----------------------------------------------------------------------------
0.03 slack (MET)
Startpoint: _10650_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10806_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.23 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.23 0.00 0.61 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 0.82 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_3_0_clock (net)
0.12 0.00 0.82 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.53 0.44 1.26 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.10 clknet_3_7_0_clock (net)
0.53 0.00 1.26 ^ clkbuf_leaf_28_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.25 1.52 ^ clkbuf_leaf_28_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.04 clknet_leaf_28_clock (net)
0.07 0.00 1.52 ^ _10650_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.33 1.84 v _10650_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pwm.proc_offset[31] (net)
0.07 0.00 1.85 v _05568_/A (sky130_fd_sc_hd__inv_2)
0.07 0.09 1.93 ^ _05568_/Y (sky130_fd_sc_hd__inv_2)
3 0.01 _00591_ (net)
0.07 0.00 1.93 ^ _05602_/A (sky130_fd_sc_hd__xnor2_1)
0.12 0.15 2.09 ^ _05602_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _00625_ (net)
0.12 0.00 2.09 ^ _05728_/A2 (sky130_fd_sc_hd__o21ai_2)
0.08 0.10 2.18 v _05728_/Y (sky130_fd_sc_hd__o21ai_2)
1 0.02 _00000_ (net)
0.08 0.00 2.18 v _10806_/D (sky130_fd_sc_hd__dfxtp_1)
2.18 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 0.67 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.23 0.00 0.68 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.25 0.92 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.15 0.00 0.92 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.78 1.70 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_4_0_clock (net)
0.92 0.00 1.71 ^ clkbuf_leaf_14_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.31 2.01 ^ clkbuf_leaf_14_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_14_clock (net)
0.06 0.00 2.01 ^ _10806_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.26 clock uncertainty
-0.06 2.20 clock reconvergence pessimism
-0.06 2.14 library hold time
2.14 data required time
-----------------------------------------------------------------------------
2.14 data required time
-2.18 data arrival time
-----------------------------------------------------------------------------
0.05 slack (MET)
Startpoint: _10813_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10795_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.38 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 0.60 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.60 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 0.81 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_0_0_clock (net)
0.14 0.00 0.81 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.91 0.70 1.51 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.17 clknet_3_0_0_clock (net)
0.91 0.00 1.51 ^ clkbuf_leaf_72_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 1.79 ^ clkbuf_leaf_72_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_72_clock (net)
0.07 0.00 1.80 ^ _10813_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.33 2.13 ^ _10813_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 qei.qei_period_count[5] (net)
0.09 0.00 2.13 ^ _08457_/A2 (sky130_fd_sc_hd__o211a_1)
0.04 0.13 2.26 ^ _08457_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00177_ (net)
0.04 0.00 2.26 ^ _10795_/D (sky130_fd_sc_hd__dfxtp_1)
2.26 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.24 0.66 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.66 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.24 0.89 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_0_0_clock (net)
0.14 0.00 0.90 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.94 0.79 1.68 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.18 clknet_3_1_0_clock (net)
0.94 0.00 1.69 ^ clkbuf_leaf_65_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.32 2.01 ^ clkbuf_leaf_65_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_65_clock (net)
0.07 0.00 2.01 ^ _10795_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.26 clock uncertainty
-0.09 2.17 clock reconvergence pessimism
-0.03 2.15 library hold time
2.15 data required time
-----------------------------------------------------------------------------
2.15 data required time
-2.26 data arrival time
-----------------------------------------------------------------------------
0.12 slack (MET)
Startpoint: _10812_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10794_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.38 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 0.60 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.60 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 0.81 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_0_0_clock (net)
0.14 0.00 0.81 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.91 0.70 1.51 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.17 clknet_3_0_0_clock (net)
0.91 0.01 1.51 ^ clkbuf_leaf_73_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 1.79 ^ clkbuf_leaf_73_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_73_clock (net)
0.07 0.00 1.79 ^ _10812_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.34 2.13 ^ _10812_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 qei.qei_period_count[4] (net)
0.10 0.00 2.13 ^ _08453_/A2 (sky130_fd_sc_hd__o211a_1)
0.04 0.14 2.26 ^ _08453_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00176_ (net)
0.04 0.00 2.26 ^ _10794_/D (sky130_fd_sc_hd__dfxtp_1)
2.26 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.24 0.66 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.66 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.24 0.89 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_0_0_clock (net)
0.14 0.00 0.90 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.94 0.79 1.68 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.18 clknet_3_1_0_clock (net)
0.94 0.00 1.69 ^ clkbuf_leaf_65_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.32 2.01 ^ clkbuf_leaf_65_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_65_clock (net)
0.07 0.00 2.01 ^ _10794_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.26 clock uncertainty
-0.09 2.17 clock reconvergence pessimism
-0.03 2.15 library hold time
2.15 data required time
-----------------------------------------------------------------------------
2.15 data required time
-2.26 data arrival time
-----------------------------------------------------------------------------
0.12 slack (MET)
Startpoint: _10953_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10703_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.38 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 0.60 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.60 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.22 0.82 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.15 0.00 0.82 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.78 0.61 1.43 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.15 clknet_3_3_0_clock (net)
0.78 0.00 1.44 ^ clkbuf_leaf_40_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.27 1.71 ^ clkbuf_leaf_40_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_40_clock (net)
0.07 0.00 1.71 ^ _10953_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.29 2.01 v _10953_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pid.reg_pid_out[9] (net)
0.04 0.00 2.01 v _07911_/A (sky130_fd_sc_hd__nand2_1)
0.06 0.06 2.07 ^ _07911_/Y (sky130_fd_sc_hd__nand2_1)
1 0.01 _02879_ (net)
0.06 0.00 2.07 ^ _07912_/B (sky130_fd_sc_hd__nor2_2)
0.06 0.06 2.13 v _07912_/Y (sky130_fd_sc_hd__nor2_2)
2 0.02 _02880_ (net)
0.06 0.00 2.13 v _07915_/B1 (sky130_fd_sc_hd__o221a_1)
0.03 0.21 2.34 v _07915_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00085_ (net)
0.03 0.00 2.34 v _10703_/D (sky130_fd_sc_hd__dfxtp_1)
2.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 0.67 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.23 0.00 0.68 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.25 0.92 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.15 0.00 0.92 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.78 1.70 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_4_0_clock (net)
0.92 0.01 1.71 ^ clkbuf_leaf_8_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.04 ^ clkbuf_leaf_8_clock/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 clknet_leaf_8_clock (net)
0.08 0.00 2.04 ^ _10703_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.29 clock uncertainty
-0.04 2.25 clock reconvergence pessimism
-0.04 2.21 library hold time
2.21 data required time
-----------------------------------------------------------------------------
2.21 data required time
-2.34 data arrival time
-----------------------------------------------------------------------------
0.13 slack (MET)