blob: d1a1a84832931e81cdadd69b0a7d37f0dd398dd5 [file] [log] [blame]
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _19838_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[31] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.27 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.27 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.06 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.18 0.72 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.14 0.00 0.72 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.22 0.00 1.00 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.24 1.25 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_3_7_0_clock (net)
0.15 0.00 1.25 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.11 0.91 2.16 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.21 clknet_4_14_0_clock (net)
1.11 0.01 2.17 ^ clkbuf_leaf_116_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.32 2.49 ^ clkbuf_leaf_116_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_116_clock (net)
0.07 0.00 2.49 ^ _19838_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.33 2.82 v _19838_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 ctrl._T_135[3] (net)
0.04 0.00 2.82 v _09078_/A (sky130_fd_sc_hd__or4bb_2)
0.14 0.73 3.56 v _09078_/X (sky130_fd_sc_hd__or4bb_2)
2 0.02 _03527_ (net)
0.14 0.00 3.56 v _09079_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.24 3.80 v _09079_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _03528_ (net)
0.10 0.00 3.80 v _09180_/B (sky130_fd_sc_hd__or4_2)
0.14 0.76 4.56 v _09180_/X (sky130_fd_sc_hd__or4_2)
3 0.01 _03623_ (net)
0.14 0.00 4.56 v _09181_/B (sky130_fd_sc_hd__and2b_1)
0.06 0.23 4.79 v _09181_/X (sky130_fd_sc_hd__and2b_1)
3 0.01 _03624_ (net)
0.06 0.00 4.79 v _11505_/B (sky130_fd_sc_hd__and2b_2)
0.09 0.26 5.06 v _11505_/X (sky130_fd_sc_hd__and2b_2)
5 0.03 _05945_ (net)
0.09 0.00 5.06 v _11673_/S (sky130_fd_sc_hd__mux2_1)
0.06 0.34 5.40 v _11673_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _06105_ (net)
0.06 0.00 5.40 v _11674_/A2 (sky130_fd_sc_hd__a21o_2)
0.11 0.31 5.70 v _11674_/X (sky130_fd_sc_hd__a21o_2)
4 0.04 _06106_ (net)
0.11 0.01 5.71 v _11715_/B1 (sky130_fd_sc_hd__o21ai_2)
0.23 0.14 5.84 ^ _11715_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _06145_ (net)
0.23 0.00 5.84 ^ _11716_/C (sky130_fd_sc_hd__and4b_1)
0.11 0.29 6.13 ^ _11716_/X (sky130_fd_sc_hd__and4b_1)
2 0.01 _06146_ (net)
0.11 0.00 6.13 ^ _11717_/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.22 6.35 ^ _11717_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.03 _06147_ (net)
0.15 0.00 6.35 ^ _11842_/A (sky130_fd_sc_hd__nand4_4)
0.18 0.18 6.53 v _11842_/Y (sky130_fd_sc_hd__nand4_4)
10 0.03 _06264_ (net)
0.18 0.00 6.53 v _11950_/A (sky130_fd_sc_hd__or4_2)
0.14 0.80 7.34 v _11950_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06364_ (net)
0.14 0.00 7.34 v _12025_/B (sky130_fd_sc_hd__or4_2)
0.14 0.76 8.10 v _12025_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06433_ (net)
0.14 0.00 8.10 v _12140_/B (sky130_fd_sc_hd__nor4_1)
0.48 0.51 8.61 ^ _12140_/Y (sky130_fd_sc_hd__nor4_1)
2 0.01 _06540_ (net)
0.48 0.00 8.61 ^ _12163_/A2 (sky130_fd_sc_hd__a21oi_2)
0.11 0.17 8.78 v _12163_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _06561_ (net)
0.11 0.00 8.78 v _12212_/A2 (sky130_fd_sc_hd__o31a_1)
0.06 0.32 9.10 v _12212_/X (sky130_fd_sc_hd__o31a_1)
2 0.01 _06606_ (net)
0.06 0.00 9.10 v _12213_/B (sky130_fd_sc_hd__nor2_1)
0.17 0.16 9.26 ^ _12213_/Y (sky130_fd_sc_hd__nor2_1)
3 0.01 _06607_ (net)
0.17 0.00 9.26 ^ _12262_/A1 (sky130_fd_sc_hd__a21o_2)
0.11 0.22 9.48 ^ _12262_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _06652_ (net)
0.11 0.00 9.48 ^ _12282_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.20 0.25 9.73 ^ _12282_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _06670_ (net)
0.20 0.00 9.73 ^ _12306_/B1_N (sky130_fd_sc_hd__a21bo_1)
0.07 0.28 10.01 v _12306_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _06692_ (net)
0.07 0.00 10.01 v _12331_/B1 (sky130_fd_sc_hd__a21oi_2)
0.25 0.24 10.24 ^ _12331_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.02 _06715_ (net)
0.25 0.00 10.24 ^ _12332_/B (sky130_fd_sc_hd__xnor2_4)
0.25 0.20 10.44 ^ _12332_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.03 _06716_ (net)
0.25 0.00 10.44 ^ _12334_/A (sky130_fd_sc_hd__xor2_4)
0.20 0.25 10.69 ^ _12334_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _06718_ (net)
0.20 0.00 10.69 ^ _12347_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.10 10.79 v _12347_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _06729_ (net)
0.06 0.00 10.79 v _12348_/B (sky130_fd_sc_hd__or4bb_1)
0.10 0.53 11.33 v _12348_/X (sky130_fd_sc_hd__or4bb_1)
2 0.01 _06730_ (net)
0.10 0.00 11.33 v _12362_/A1 (sky130_fd_sc_hd__a21o_1)
0.09 0.24 11.57 v _12362_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _06744_ (net)
0.09 0.00 11.57 v _12420_/A2 (sky130_fd_sc_hd__a311o_1)
0.11 0.40 11.97 v _12420_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _06796_ (net)
0.11 0.00 11.97 v _12431_/A2 (sky130_fd_sc_hd__a21o_2)
0.12 0.34 12.31 v _12431_/X (sky130_fd_sc_hd__a21o_2)
6 0.04 _06807_ (net)
0.12 0.01 12.31 v _12433_/A2 (sky130_fd_sc_hd__a21boi_2)
0.30 0.32 12.64 ^ _12433_/Y (sky130_fd_sc_hd__a21boi_2)
2 0.02 _06808_ (net)
0.30 0.00 12.64 ^ _12437_/A2 (sky130_fd_sc_hd__o31a_1)
0.18 0.29 12.93 ^ _12437_/X (sky130_fd_sc_hd__o31a_1)
2 0.02 _06812_ (net)
0.18 0.00 12.93 ^ _12441_/B1 (sky130_fd_sc_hd__o22a_4)
0.15 0.27 13.20 ^ _12441_/X (sky130_fd_sc_hd__o22a_4)
2 0.05 net166 (net)
0.15 0.01 13.20 ^ output166/A (sky130_fd_sc_hd__buf_2)
0.18 0.25 13.45 ^ output166/X (sky130_fd_sc_hd__buf_2)
1 0.03 io_ibus_addr[31] (net)
0.18 0.00 13.46 ^ io_ibus_addr[31] (out)
13.46 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-13.46 data arrival time
-----------------------------------------------------------------------------
2.29 slack (MET)
Startpoint: _19838_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[30] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.27 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.27 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.06 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.18 0.72 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.14 0.00 0.72 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.22 0.00 1.00 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.24 1.25 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_3_7_0_clock (net)
0.15 0.00 1.25 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.11 0.91 2.16 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.21 clknet_4_14_0_clock (net)
1.11 0.01 2.17 ^ clkbuf_leaf_116_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.32 2.49 ^ clkbuf_leaf_116_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_116_clock (net)
0.07 0.00 2.49 ^ _19838_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.33 2.82 v _19838_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 ctrl._T_135[3] (net)
0.04 0.00 2.82 v _09078_/A (sky130_fd_sc_hd__or4bb_2)
0.14 0.73 3.56 v _09078_/X (sky130_fd_sc_hd__or4bb_2)
2 0.02 _03527_ (net)
0.14 0.00 3.56 v _09079_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.24 3.80 v _09079_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _03528_ (net)
0.10 0.00 3.80 v _09180_/B (sky130_fd_sc_hd__or4_2)
0.14 0.76 4.56 v _09180_/X (sky130_fd_sc_hd__or4_2)
3 0.01 _03623_ (net)
0.14 0.00 4.56 v _09181_/B (sky130_fd_sc_hd__and2b_1)
0.06 0.23 4.79 v _09181_/X (sky130_fd_sc_hd__and2b_1)
3 0.01 _03624_ (net)
0.06 0.00 4.79 v _11505_/B (sky130_fd_sc_hd__and2b_2)
0.09 0.26 5.06 v _11505_/X (sky130_fd_sc_hd__and2b_2)
5 0.03 _05945_ (net)
0.09 0.00 5.06 v _11673_/S (sky130_fd_sc_hd__mux2_1)
0.06 0.34 5.40 v _11673_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _06105_ (net)
0.06 0.00 5.40 v _11674_/A2 (sky130_fd_sc_hd__a21o_2)
0.11 0.31 5.70 v _11674_/X (sky130_fd_sc_hd__a21o_2)
4 0.04 _06106_ (net)
0.11 0.01 5.71 v _11715_/B1 (sky130_fd_sc_hd__o21ai_2)
0.23 0.14 5.84 ^ _11715_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _06145_ (net)
0.23 0.00 5.84 ^ _11716_/C (sky130_fd_sc_hd__and4b_1)
0.11 0.29 6.13 ^ _11716_/X (sky130_fd_sc_hd__and4b_1)
2 0.01 _06146_ (net)
0.11 0.00 6.13 ^ _11717_/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.22 6.35 ^ _11717_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.03 _06147_ (net)
0.15 0.00 6.35 ^ _11842_/A (sky130_fd_sc_hd__nand4_4)
0.18 0.18 6.53 v _11842_/Y (sky130_fd_sc_hd__nand4_4)
10 0.03 _06264_ (net)
0.18 0.00 6.53 v _11950_/A (sky130_fd_sc_hd__or4_2)
0.14 0.80 7.34 v _11950_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06364_ (net)
0.14 0.00 7.34 v _12025_/B (sky130_fd_sc_hd__or4_2)
0.14 0.76 8.10 v _12025_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06433_ (net)
0.14 0.00 8.10 v _12140_/B (sky130_fd_sc_hd__nor4_1)
0.48 0.51 8.61 ^ _12140_/Y (sky130_fd_sc_hd__nor4_1)
2 0.01 _06540_ (net)
0.48 0.00 8.61 ^ _12163_/A2 (sky130_fd_sc_hd__a21oi_2)
0.11 0.17 8.78 v _12163_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _06561_ (net)
0.11 0.00 8.78 v _12212_/A2 (sky130_fd_sc_hd__o31a_1)
0.06 0.32 9.10 v _12212_/X (sky130_fd_sc_hd__o31a_1)
2 0.01 _06606_ (net)
0.06 0.00 9.10 v _12213_/B (sky130_fd_sc_hd__nor2_1)
0.17 0.16 9.26 ^ _12213_/Y (sky130_fd_sc_hd__nor2_1)
3 0.01 _06607_ (net)
0.17 0.00 9.26 ^ _12262_/A1 (sky130_fd_sc_hd__a21o_2)
0.11 0.22 9.48 ^ _12262_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _06652_ (net)
0.11 0.00 9.48 ^ _12282_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.20 0.25 9.73 ^ _12282_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _06670_ (net)
0.20 0.00 9.73 ^ _12306_/B1_N (sky130_fd_sc_hd__a21bo_1)
0.07 0.28 10.01 v _12306_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _06692_ (net)
0.07 0.00 10.01 v _12331_/B1 (sky130_fd_sc_hd__a21oi_2)
0.25 0.24 10.24 ^ _12331_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.02 _06715_ (net)
0.25 0.00 10.24 ^ _12332_/B (sky130_fd_sc_hd__xnor2_4)
0.25 0.20 10.44 ^ _12332_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.03 _06716_ (net)
0.25 0.00 10.44 ^ _12334_/A (sky130_fd_sc_hd__xor2_4)
0.20 0.25 10.69 ^ _12334_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _06718_ (net)
0.20 0.00 10.69 ^ _12347_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.10 10.79 v _12347_/Y (sky130_fd_sc_hd__nand2_1)
2 0.01 _06729_ (net)
0.06 0.00 10.79 v _12348_/B (sky130_fd_sc_hd__or4bb_1)
0.10 0.53 11.33 v _12348_/X (sky130_fd_sc_hd__or4bb_1)
2 0.01 _06730_ (net)
0.10 0.00 11.33 v _12362_/A1 (sky130_fd_sc_hd__a21o_1)
0.09 0.24 11.57 v _12362_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _06744_ (net)
0.09 0.00 11.57 v _12407_/A2 (sky130_fd_sc_hd__a31o_1)
0.09 0.29 11.85 v _12407_/X (sky130_fd_sc_hd__a31o_1)
1 0.02 _06785_ (net)
0.09 0.00 11.86 v _12408_/B (sky130_fd_sc_hd__xor2_4)
0.58 0.53 12.39 ^ _12408_/X (sky130_fd_sc_hd__xor2_4)
6 0.08 net94 (net)
0.58 0.01 12.40 ^ _12412_/A2 (sky130_fd_sc_hd__a21bo_1)
0.09 0.23 12.64 ^ _12412_/X (sky130_fd_sc_hd__a21bo_1)
1 0.01 _06789_ (net)
0.09 0.00 12.64 ^ _12413_/B1 (sky130_fd_sc_hd__o211a_1)
0.10 0.21 12.84 ^ _12413_/X (sky130_fd_sc_hd__o211a_1)
2 0.01 _06790_ (net)
0.10 0.00 12.84 ^ _12418_/B1 (sky130_fd_sc_hd__o22a_4)
0.14 0.24 13.08 ^ _12418_/X (sky130_fd_sc_hd__o22a_4)
2 0.04 net165 (net)
0.14 0.00 13.08 ^ output165/A (sky130_fd_sc_hd__buf_2)
0.17 0.24 13.33 ^ output165/X (sky130_fd_sc_hd__buf_2)
1 0.03 io_ibus_addr[30] (net)
0.17 0.00 13.33 ^ io_ibus_addr[30] (out)
13.33 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-13.33 data arrival time
-----------------------------------------------------------------------------
2.42 slack (MET)
Startpoint: _19814_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[22] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.27 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.27 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.06 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.18 0.72 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.14 0.00 0.72 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.22 0.00 1.00 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.24 1.25 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_3_7_0_clock (net)
0.15 0.00 1.25 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.11 0.91 2.16 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.21 clknet_4_14_0_clock (net)
1.11 0.01 2.17 ^ clkbuf_leaf_117_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.50 ^ clkbuf_leaf_117_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_117_clock (net)
0.08 0.00 2.50 ^ _19814_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.27 0.54 3.04 ^ _19814_/Q (sky130_fd_sc_hd__dfxtp_4)
8 0.09 dpath.csr.io_inst[11] (net)
0.27 0.01 3.06 ^ _09219_/A (sky130_fd_sc_hd__inv_4)
0.16 0.20 3.25 v _09219_/Y (sky130_fd_sc_hd__inv_4)
8 0.11 _03662_ (net)
0.16 0.01 3.26 v _09222_/A2 (sky130_fd_sc_hd__a221o_1)
0.09 0.46 3.72 v _09222_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _03665_ (net)
0.09 0.00 3.72 v _09223_/C (sky130_fd_sc_hd__or3_1)
0.08 0.36 4.08 v _09223_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _03666_ (net)
0.08 0.00 4.08 v _09575_/B (sky130_fd_sc_hd__or3b_4)
0.15 0.52 4.60 v _09575_/X (sky130_fd_sc_hd__or3b_4)
8 0.07 _04017_ (net)
0.16 0.02 4.62 v _09576_/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.26 4.88 v _09576_/X (sky130_fd_sc_hd__clkbuf_4)
5 0.04 _04018_ (net)
0.10 0.00 4.88 v _11169_/B1 (sky130_fd_sc_hd__o32ai_4)
0.77 0.47 5.35 ^ _11169_/Y (sky130_fd_sc_hd__o32ai_4)
10 0.07 _05611_ (net)
0.77 0.00 5.36 ^ _11193_/B1 (sky130_fd_sc_hd__o2bb2a_1)
0.11 0.33 5.68 ^ _11193_/X (sky130_fd_sc_hd__o2bb2a_1)
2 0.01 _05635_ (net)
0.11 0.00 5.68 ^ _11287_/A1 (sky130_fd_sc_hd__a21o_1)
0.05 0.14 5.82 ^ _11287_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 _05729_ (net)
0.05 0.00 5.82 ^ _11290_/A2 (sky130_fd_sc_hd__a211o_1)
0.08 0.15 5.97 ^ _11290_/X (sky130_fd_sc_hd__a211o_1)
2 0.01 _05732_ (net)
0.08 0.00 5.97 ^ _11293_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.17 6.14 ^ _11293_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _05735_ (net)
0.10 0.00 6.14 ^ _11294_/C (sky130_fd_sc_hd__nand3_1)
0.12 0.15 6.29 v _11294_/Y (sky130_fd_sc_hd__nand3_1)
3 0.01 _05736_ (net)
0.12 0.00 6.29 v _11296_/A3 (sky130_fd_sc_hd__a311o_1)
0.09 0.44 6.73 v _11296_/X (sky130_fd_sc_hd__a311o_1)
3 0.01 _05738_ (net)
0.09 0.00 6.73 v _11346_/A3 (sky130_fd_sc_hd__a41o_1)
0.08 0.30 7.04 v _11346_/X (sky130_fd_sc_hd__a41o_1)
3 0.01 _05788_ (net)
0.08 0.00 7.04 v _11348_/A2 (sky130_fd_sc_hd__a211o_1)
0.09 0.37 7.41 v _11348_/X (sky130_fd_sc_hd__a211o_1)
4 0.01 _05790_ (net)
0.09 0.00 7.41 v _11350_/A3 (sky130_fd_sc_hd__a311o_1)
0.10 0.44 7.85 v _11350_/X (sky130_fd_sc_hd__a311o_1)
4 0.01 _05792_ (net)
0.10 0.00 7.85 v _11353_/A3 (sky130_fd_sc_hd__a311o_1)
0.10 0.44 8.29 v _11353_/X (sky130_fd_sc_hd__a311o_1)
4 0.01 _05795_ (net)
0.10 0.00 8.29 v _11356_/A3 (sky130_fd_sc_hd__a311o_1)
0.11 0.46 8.75 v _11356_/X (sky130_fd_sc_hd__a311o_1)
4 0.02 _05798_ (net)
0.11 0.00 8.75 v _11359_/A3 (sky130_fd_sc_hd__a311o_1)
0.12 0.47 9.21 v _11359_/X (sky130_fd_sc_hd__a311o_1)
5 0.02 _05801_ (net)
0.12 0.00 9.22 v _11429_/A3 (sky130_fd_sc_hd__a32o_1)
0.04 0.29 9.51 v _11429_/X (sky130_fd_sc_hd__a32o_1)
1 0.00 _05871_ (net)
0.04 0.00 9.51 v _11478_/A2 (sky130_fd_sc_hd__a221o_1)
0.06 0.36 9.87 v _11478_/X (sky130_fd_sc_hd__a221o_1)
1 0.00 _05920_ (net)
0.06 0.00 9.87 v _11480_/C1 (sky130_fd_sc_hd__a2111o_1)
0.06 0.36 10.23 v _11480_/X (sky130_fd_sc_hd__a2111o_1)
1 0.00 _05922_ (net)
0.06 0.00 10.24 v _11481_/C1 (sky130_fd_sc_hd__a221o_2)
0.15 0.45 10.69 v _11481_/X (sky130_fd_sc_hd__a221o_2)
4 0.05 _05923_ (net)
0.15 0.00 10.69 v _11482_/C_N (sky130_fd_sc_hd__or3b_1)
0.03 0.20 10.89 ^ _11482_/X (sky130_fd_sc_hd__or3b_1)
1 0.00 _05924_ (net)
0.03 0.00 10.89 ^ _11495_/A (sky130_fd_sc_hd__and3_2)
0.18 0.28 11.18 ^ _11495_/X (sky130_fd_sc_hd__and3_2)
5 0.03 _05937_ (net)
0.18 0.00 11.18 ^ _11548_/A (sky130_fd_sc_hd__nand2_1)
0.08 0.11 11.29 v _11548_/Y (sky130_fd_sc_hd__nand2_1)
3 0.01 _05985_ (net)
0.08 0.00 11.29 v _11641_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.22 11.51 v _11641_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _06074_ (net)
0.10 0.00 11.51 v _11642_/A (sky130_fd_sc_hd__buf_2)
0.08 0.20 11.72 v _11642_/X (sky130_fd_sc_hd__buf_2)
5 0.03 _06075_ (net)
0.08 0.00 11.72 v _11643_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.17 11.88 v _11643_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _06076_ (net)
0.08 0.00 11.88 v _12229_/A (sky130_fd_sc_hd__or3_1)
0.08 0.41 12.30 v _12229_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _06622_ (net)
0.08 0.00 12.30 v _12232_/A3 (sky130_fd_sc_hd__a31o_1)
0.09 0.29 12.59 v _12232_/X (sky130_fd_sc_hd__a31o_1)
2 0.01 _06625_ (net)
0.09 0.00 12.59 v _12237_/A1_N (sky130_fd_sc_hd__o2bb2a_2)
0.22 0.42 13.01 ^ _12237_/X (sky130_fd_sc_hd__o2bb2a_2)
2 0.04 net156 (net)
0.22 0.00 13.02 ^ output156/A (sky130_fd_sc_hd__buf_2)
0.18 0.27 13.29 ^ output156/X (sky130_fd_sc_hd__buf_2)
1 0.03 io_ibus_addr[22] (net)
0.18 0.00 13.29 ^ io_ibus_addr[22] (out)
13.29 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-13.29 data arrival time
-----------------------------------------------------------------------------
2.46 slack (MET)
Startpoint: _19814_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[29] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.27 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.27 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.06 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.18 0.72 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.14 0.00 0.72 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.22 0.00 1.00 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.24 1.25 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_3_7_0_clock (net)
0.15 0.00 1.25 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.11 0.91 2.16 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.21 clknet_4_14_0_clock (net)
1.11 0.01 2.17 ^ clkbuf_leaf_117_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.50 ^ clkbuf_leaf_117_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_117_clock (net)
0.08 0.00 2.50 ^ _19814_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.27 0.54 3.04 ^ _19814_/Q (sky130_fd_sc_hd__dfxtp_4)
8 0.09 dpath.csr.io_inst[11] (net)
0.27 0.01 3.06 ^ _09219_/A (sky130_fd_sc_hd__inv_4)
0.16 0.20 3.25 v _09219_/Y (sky130_fd_sc_hd__inv_4)
8 0.11 _03662_ (net)
0.16 0.01 3.26 v _09222_/A2 (sky130_fd_sc_hd__a221o_1)
0.09 0.46 3.72 v _09222_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _03665_ (net)
0.09 0.00 3.72 v _09223_/C (sky130_fd_sc_hd__or3_1)
0.08 0.36 4.08 v _09223_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _03666_ (net)
0.08 0.00 4.08 v _09575_/B (sky130_fd_sc_hd__or3b_4)
0.15 0.52 4.60 v _09575_/X (sky130_fd_sc_hd__or3b_4)
8 0.07 _04017_ (net)
0.16 0.02 4.62 v _09576_/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.26 4.88 v _09576_/X (sky130_fd_sc_hd__clkbuf_4)
5 0.04 _04018_ (net)
0.10 0.00 4.88 v _11169_/B1 (sky130_fd_sc_hd__o32ai_4)
0.77 0.47 5.35 ^ _11169_/Y (sky130_fd_sc_hd__o32ai_4)
10 0.07 _05611_ (net)
0.77 0.00 5.36 ^ _11193_/B1 (sky130_fd_sc_hd__o2bb2a_1)
0.11 0.33 5.68 ^ _11193_/X (sky130_fd_sc_hd__o2bb2a_1)
2 0.01 _05635_ (net)
0.11 0.00 5.68 ^ _11287_/A1 (sky130_fd_sc_hd__a21o_1)
0.05 0.14 5.82 ^ _11287_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 _05729_ (net)
0.05 0.00 5.82 ^ _11290_/A2 (sky130_fd_sc_hd__a211o_1)
0.08 0.15 5.97 ^ _11290_/X (sky130_fd_sc_hd__a211o_1)
2 0.01 _05732_ (net)
0.08 0.00 5.97 ^ _11293_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.17 6.14 ^ _11293_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _05735_ (net)
0.10 0.00 6.14 ^ _11294_/C (sky130_fd_sc_hd__nand3_1)
0.12 0.15 6.29 v _11294_/Y (sky130_fd_sc_hd__nand3_1)
3 0.01 _05736_ (net)
0.12 0.00 6.29 v _11296_/A3 (sky130_fd_sc_hd__a311o_1)
0.09 0.44 6.73 v _11296_/X (sky130_fd_sc_hd__a311o_1)
3 0.01 _05738_ (net)
0.09 0.00 6.73 v _11346_/A3 (sky130_fd_sc_hd__a41o_1)
0.08 0.30 7.04 v _11346_/X (sky130_fd_sc_hd__a41o_1)
3 0.01 _05788_ (net)
0.08 0.00 7.04 v _11348_/A2 (sky130_fd_sc_hd__a211o_1)
0.09 0.37 7.41 v _11348_/X (sky130_fd_sc_hd__a211o_1)
4 0.01 _05790_ (net)
0.09 0.00 7.41 v _11350_/A3 (sky130_fd_sc_hd__a311o_1)
0.10 0.44 7.85 v _11350_/X (sky130_fd_sc_hd__a311o_1)
4 0.01 _05792_ (net)
0.10 0.00 7.85 v _11353_/A3 (sky130_fd_sc_hd__a311o_1)
0.10 0.44 8.29 v _11353_/X (sky130_fd_sc_hd__a311o_1)
4 0.01 _05795_ (net)
0.10 0.00 8.29 v _11356_/A3 (sky130_fd_sc_hd__a311o_1)
0.11 0.46 8.75 v _11356_/X (sky130_fd_sc_hd__a311o_1)
4 0.02 _05798_ (net)
0.11 0.00 8.75 v _11359_/A3 (sky130_fd_sc_hd__a311o_1)
0.12 0.47 9.21 v _11359_/X (sky130_fd_sc_hd__a311o_1)
5 0.02 _05801_ (net)
0.12 0.00 9.22 v _11429_/A3 (sky130_fd_sc_hd__a32o_1)
0.04 0.29 9.51 v _11429_/X (sky130_fd_sc_hd__a32o_1)
1 0.00 _05871_ (net)
0.04 0.00 9.51 v _11478_/A2 (sky130_fd_sc_hd__a221o_1)
0.06 0.36 9.87 v _11478_/X (sky130_fd_sc_hd__a221o_1)
1 0.00 _05920_ (net)
0.06 0.00 9.87 v _11480_/C1 (sky130_fd_sc_hd__a2111o_1)
0.06 0.36 10.23 v _11480_/X (sky130_fd_sc_hd__a2111o_1)
1 0.00 _05922_ (net)
0.06 0.00 10.24 v _11481_/C1 (sky130_fd_sc_hd__a221o_2)
0.15 0.45 10.69 v _11481_/X (sky130_fd_sc_hd__a221o_2)
4 0.05 _05923_ (net)
0.15 0.00 10.69 v _11494_/A2 (sky130_fd_sc_hd__o211a_1)
0.03 0.25 10.94 v _11494_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _05936_ (net)
0.03 0.00 10.94 v _11495_/C (sky130_fd_sc_hd__and3_2)
0.10 0.29 11.23 v _11495_/X (sky130_fd_sc_hd__and3_2)
5 0.03 _05937_ (net)
0.11 0.00 11.23 v _11548_/A (sky130_fd_sc_hd__nand2_1)
0.09 0.12 11.35 ^ _11548_/Y (sky130_fd_sc_hd__nand2_1)
3 0.01 _05985_ (net)
0.09 0.00 11.35 ^ _11641_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.21 0.23 11.58 ^ _11641_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _06074_ (net)
0.21 0.00 11.58 ^ _11642_/A (sky130_fd_sc_hd__buf_2)
0.15 0.25 11.83 ^ _11642_/X (sky130_fd_sc_hd__buf_2)
5 0.03 _06075_ (net)
0.15 0.00 11.83 ^ _11643_/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.20 12.03 ^ _11643_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _06076_ (net)
0.11 0.00 12.03 ^ _12392_/S (sky130_fd_sc_hd__mux2_1)
0.08 0.38 12.41 v _12392_/X (sky130_fd_sc_hd__mux2_1)
1 0.01 _06771_ (net)
0.08 0.00 12.41 v _12393_/B2 (sky130_fd_sc_hd__o221a_1)
0.08 0.27 12.68 v _12393_/X (sky130_fd_sc_hd__o221a_1)
2 0.01 _06772_ (net)
0.08 0.00 12.68 v _12397_/B1 (sky130_fd_sc_hd__o22a_4)
0.11 0.31 12.98 v _12397_/X (sky130_fd_sc_hd__o22a_4)
2 0.05 net163 (net)
0.11 0.00 12.99 v output163/A (sky130_fd_sc_hd__buf_2)
0.09 0.22 13.20 v output163/X (sky130_fd_sc_hd__buf_2)
1 0.03 io_ibus_addr[29] (net)
0.09 0.00 13.21 v io_ibus_addr[29] (out)
13.21 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-13.21 data arrival time
-----------------------------------------------------------------------------
2.54 slack (MET)
Startpoint: _19814_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[28] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.27 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.27 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.06 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.18 0.72 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.14 0.00 0.72 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.22 0.00 1.00 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.24 1.25 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_3_7_0_clock (net)
0.15 0.00 1.25 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.11 0.91 2.16 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.21 clknet_4_14_0_clock (net)
1.11 0.01 2.17 ^ clkbuf_leaf_117_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.50 ^ clkbuf_leaf_117_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_117_clock (net)
0.08 0.00 2.50 ^ _19814_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.27 0.54 3.04 ^ _19814_/Q (sky130_fd_sc_hd__dfxtp_4)
8 0.09 dpath.csr.io_inst[11] (net)
0.27 0.01 3.06 ^ _09219_/A (sky130_fd_sc_hd__inv_4)
0.16 0.20 3.25 v _09219_/Y (sky130_fd_sc_hd__inv_4)
8 0.11 _03662_ (net)
0.16 0.01 3.26 v _09222_/A2 (sky130_fd_sc_hd__a221o_1)
0.09 0.46 3.72 v _09222_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _03665_ (net)
0.09 0.00 3.72 v _09223_/C (sky130_fd_sc_hd__or3_1)
0.08 0.36 4.08 v _09223_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _03666_ (net)
0.08 0.00 4.08 v _09575_/B (sky130_fd_sc_hd__or3b_4)
0.15 0.52 4.60 v _09575_/X (sky130_fd_sc_hd__or3b_4)
8 0.07 _04017_ (net)
0.16 0.02 4.62 v _09576_/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.26 4.88 v _09576_/X (sky130_fd_sc_hd__clkbuf_4)
5 0.04 _04018_ (net)
0.10 0.00 4.88 v _11169_/B1 (sky130_fd_sc_hd__o32ai_4)
0.77 0.47 5.35 ^ _11169_/Y (sky130_fd_sc_hd__o32ai_4)
10 0.07 _05611_ (net)
0.77 0.00 5.36 ^ _11193_/B1 (sky130_fd_sc_hd__o2bb2a_1)
0.11 0.33 5.68 ^ _11193_/X (sky130_fd_sc_hd__o2bb2a_1)
2 0.01 _05635_ (net)
0.11 0.00 5.68 ^ _11287_/A1 (sky130_fd_sc_hd__a21o_1)
0.05 0.14 5.82 ^ _11287_/X (sky130_fd_sc_hd__a21o_1)
1 0.00 _05729_ (net)
0.05 0.00 5.82 ^ _11290_/A2 (sky130_fd_sc_hd__a211o_1)
0.08 0.15 5.97 ^ _11290_/X (sky130_fd_sc_hd__a211o_1)
2 0.01 _05732_ (net)
0.08 0.00 5.97 ^ _11293_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.17 6.14 ^ _11293_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _05735_ (net)
0.10 0.00 6.14 ^ _11294_/C (sky130_fd_sc_hd__nand3_1)
0.12 0.15 6.29 v _11294_/Y (sky130_fd_sc_hd__nand3_1)
3 0.01 _05736_ (net)
0.12 0.00 6.29 v _11296_/A3 (sky130_fd_sc_hd__a311o_1)
0.09 0.44 6.73 v _11296_/X (sky130_fd_sc_hd__a311o_1)
3 0.01 _05738_ (net)
0.09 0.00 6.73 v _11346_/A3 (sky130_fd_sc_hd__a41o_1)
0.08 0.30 7.04 v _11346_/X (sky130_fd_sc_hd__a41o_1)
3 0.01 _05788_ (net)
0.08 0.00 7.04 v _11348_/A2 (sky130_fd_sc_hd__a211o_1)
0.09 0.37 7.41 v _11348_/X (sky130_fd_sc_hd__a211o_1)
4 0.01 _05790_ (net)
0.09 0.00 7.41 v _11350_/A3 (sky130_fd_sc_hd__a311o_1)
0.10 0.44 7.85 v _11350_/X (sky130_fd_sc_hd__a311o_1)
4 0.01 _05792_ (net)
0.10 0.00 7.85 v _11353_/A3 (sky130_fd_sc_hd__a311o_1)
0.10 0.44 8.29 v _11353_/X (sky130_fd_sc_hd__a311o_1)
4 0.01 _05795_ (net)
0.10 0.00 8.29 v _11356_/A3 (sky130_fd_sc_hd__a311o_1)
0.11 0.46 8.75 v _11356_/X (sky130_fd_sc_hd__a311o_1)
4 0.02 _05798_ (net)
0.11 0.00 8.75 v _11359_/A3 (sky130_fd_sc_hd__a311o_1)
0.12 0.47 9.21 v _11359_/X (sky130_fd_sc_hd__a311o_1)
5 0.02 _05801_ (net)
0.12 0.00 9.22 v _11429_/A3 (sky130_fd_sc_hd__a32o_1)
0.04 0.29 9.51 v _11429_/X (sky130_fd_sc_hd__a32o_1)
1 0.00 _05871_ (net)
0.04 0.00 9.51 v _11478_/A2 (sky130_fd_sc_hd__a221o_1)
0.06 0.36 9.87 v _11478_/X (sky130_fd_sc_hd__a221o_1)
1 0.00 _05920_ (net)
0.06 0.00 9.87 v _11480_/C1 (sky130_fd_sc_hd__a2111o_1)
0.06 0.36 10.23 v _11480_/X (sky130_fd_sc_hd__a2111o_1)
1 0.00 _05922_ (net)
0.06 0.00 10.24 v _11481_/C1 (sky130_fd_sc_hd__a221o_2)
0.15 0.45 10.69 v _11481_/X (sky130_fd_sc_hd__a221o_2)
4 0.05 _05923_ (net)
0.15 0.00 10.69 v _11482_/C_N (sky130_fd_sc_hd__or3b_1)
0.03 0.20 10.89 ^ _11482_/X (sky130_fd_sc_hd__or3b_1)
1 0.00 _05924_ (net)
0.03 0.00 10.89 ^ _11495_/A (sky130_fd_sc_hd__and3_2)
0.18 0.28 11.18 ^ _11495_/X (sky130_fd_sc_hd__and3_2)
5 0.03 _05937_ (net)
0.18 0.00 11.18 ^ _11548_/A (sky130_fd_sc_hd__nand2_1)
0.08 0.11 11.29 v _11548_/Y (sky130_fd_sc_hd__nand2_1)
3 0.01 _05985_ (net)
0.08 0.00 11.29 v _11641_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.22 11.51 v _11641_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _06074_ (net)
0.10 0.00 11.51 v _11645_/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.18 11.69 v _11645_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _06078_ (net)
0.07 0.00 11.69 v _12372_/A (sky130_fd_sc_hd__or3_1)
0.09 0.42 12.11 v _12372_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _06753_ (net)
0.09 0.00 12.11 v _12374_/A3 (sky130_fd_sc_hd__a31o_1)
0.09 0.30 12.41 v _12374_/X (sky130_fd_sc_hd__a31o_1)
1 0.02 _06755_ (net)
0.09 0.00 12.41 v _12375_/C1 (sky130_fd_sc_hd__o311a_1)
0.10 0.18 12.59 v _12375_/X (sky130_fd_sc_hd__o311a_1)
2 0.01 _06756_ (net)
0.10 0.00 12.59 v _12376_/B1 (sky130_fd_sc_hd__a21oi_4)
0.33 0.32 12.90 ^ _12376_/Y (sky130_fd_sc_hd__a21oi_4)
2 0.04 net162 (net)
0.33 0.00 12.91 ^ output162/A (sky130_fd_sc_hd__buf_2)
0.17 0.29 13.20 ^ output162/X (sky130_fd_sc_hd__buf_2)
1 0.03 io_ibus_addr[28] (net)
0.17 0.00 13.20 ^ io_ibus_addr[28] (out)
13.20 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-13.20 data arrival time
-----------------------------------------------------------------------------
2.55 slack (MET)