blob: 52c762a31fd86345f4016e78ddeb623566bc7aa8 [file] [log] [blame]
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _19838_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[31] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 0.73 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_1_1_clock (net)
0.15 0.00 0.73 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.26 0.99 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.20 0.00 0.99 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.23 1.22 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_3_7_0_clock (net)
0.14 0.00 1.22 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.02 0.85 2.07 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.19 clknet_4_14_0_clock (net)
1.02 0.01 2.08 ^ clkbuf_leaf_116_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.32 2.40 ^ clkbuf_leaf_116_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_116_clock (net)
0.07 0.00 2.40 ^ _19838_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.05 0.34 2.75 v _19838_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 ctrl._T_135[3] (net)
0.05 0.00 2.75 v _09078_/A (sky130_fd_sc_hd__or4bb_2)
0.14 0.73 3.48 v _09078_/X (sky130_fd_sc_hd__or4bb_2)
2 0.02 _03527_ (net)
0.14 0.00 3.48 v _09079_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.24 3.72 v _09079_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _03528_ (net)
0.10 0.00 3.72 v _09180_/B (sky130_fd_sc_hd__or4_2)
0.14 0.76 4.48 v _09180_/X (sky130_fd_sc_hd__or4_2)
3 0.01 _03623_ (net)
0.14 0.00 4.48 v _09181_/B (sky130_fd_sc_hd__and2b_1)
0.06 0.24 4.72 v _09181_/X (sky130_fd_sc_hd__and2b_1)
3 0.01 _03624_ (net)
0.06 0.00 4.72 v _11505_/B (sky130_fd_sc_hd__and2b_2)
0.09 0.27 4.99 v _11505_/X (sky130_fd_sc_hd__and2b_2)
5 0.03 _05945_ (net)
0.09 0.00 4.99 v _11673_/S (sky130_fd_sc_hd__mux2_1)
0.06 0.35 5.34 v _11673_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _06105_ (net)
0.06 0.00 5.34 v _11674_/A2 (sky130_fd_sc_hd__a21o_2)
0.11 0.30 5.64 v _11674_/X (sky130_fd_sc_hd__a21o_2)
4 0.03 _06106_ (net)
0.11 0.00 5.64 v _11715_/B1 (sky130_fd_sc_hd__o21ai_2)
0.22 0.13 5.78 ^ _11715_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _06145_ (net)
0.22 0.00 5.78 ^ _11716_/C (sky130_fd_sc_hd__and4b_1)
0.11 0.28 6.06 ^ _11716_/X (sky130_fd_sc_hd__and4b_1)
2 0.01 _06146_ (net)
0.11 0.00 6.06 ^ _11717_/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.22 6.28 ^ _11717_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.03 _06147_ (net)
0.16 0.00 6.28 ^ _11842_/A (sky130_fd_sc_hd__nand4_4)
0.19 0.19 6.48 v _11842_/Y (sky130_fd_sc_hd__nand4_4)
10 0.04 _06264_ (net)
0.19 0.00 6.48 v _11950_/A (sky130_fd_sc_hd__or4_2)
0.14 0.81 7.29 v _11950_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06364_ (net)
0.14 0.00 7.29 v _12025_/B (sky130_fd_sc_hd__or4_2)
0.14 0.78 8.07 v _12025_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06433_ (net)
0.14 0.00 8.07 v _12140_/B (sky130_fd_sc_hd__nor4_1)
0.52 0.53 8.60 ^ _12140_/Y (sky130_fd_sc_hd__nor4_1)
2 0.01 _06540_ (net)
0.52 0.00 8.60 ^ _12163_/A2 (sky130_fd_sc_hd__a21oi_2)
0.12 0.17 8.77 v _12163_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _06561_ (net)
0.12 0.00 8.77 v _12212_/A2 (sky130_fd_sc_hd__o31a_1)
0.06 0.33 9.10 v _12212_/X (sky130_fd_sc_hd__o31a_1)
2 0.01 _06606_ (net)
0.06 0.00 9.10 v _12213_/B (sky130_fd_sc_hd__nor2_1)
0.22 0.20 9.30 ^ _12213_/Y (sky130_fd_sc_hd__nor2_1)
3 0.01 _06607_ (net)
0.22 0.00 9.30 ^ _12262_/A1 (sky130_fd_sc_hd__a21o_2)
0.11 0.23 9.54 ^ _12262_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _06652_ (net)
0.11 0.00 9.54 ^ _12282_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.25 0.29 9.82 ^ _12282_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _06670_ (net)
0.25 0.00 9.82 ^ _12306_/B1_N (sky130_fd_sc_hd__a21bo_1)
0.07 0.29 10.11 v _12306_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _06692_ (net)
0.07 0.00 10.11 v _12331_/B1 (sky130_fd_sc_hd__a21oi_2)
0.27 0.25 10.36 ^ _12331_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.02 _06715_ (net)
0.27 0.00 10.36 ^ _12332_/B (sky130_fd_sc_hd__xnor2_4)
0.26 0.21 10.57 ^ _12332_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.03 _06716_ (net)
0.26 0.00 10.57 ^ _12334_/A (sky130_fd_sc_hd__xor2_4)
0.21 0.26 10.83 ^ _12334_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _06718_ (net)
0.21 0.00 10.83 ^ _12347_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.10 10.93 v _12347_/Y (sky130_fd_sc_hd__nand2_1)
2 0.00 _06729_ (net)
0.06 0.00 10.93 v _12348_/B (sky130_fd_sc_hd__or4bb_1)
0.11 0.54 11.48 v _12348_/X (sky130_fd_sc_hd__or4bb_1)
2 0.01 _06730_ (net)
0.11 0.00 11.48 v _12362_/A1 (sky130_fd_sc_hd__a21o_1)
0.09 0.24 11.72 v _12362_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _06744_ (net)
0.09 0.00 11.72 v _12420_/A2 (sky130_fd_sc_hd__a311o_1)
0.11 0.41 12.13 v _12420_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _06796_ (net)
0.11 0.00 12.13 v _12431_/A2 (sky130_fd_sc_hd__a21o_2)
0.11 0.33 12.46 v _12431_/X (sky130_fd_sc_hd__a21o_2)
6 0.04 _06807_ (net)
0.11 0.00 12.46 v _12433_/A2 (sky130_fd_sc_hd__a21boi_2)
0.27 0.30 12.77 ^ _12433_/Y (sky130_fd_sc_hd__a21boi_2)
2 0.02 _06808_ (net)
0.27 0.00 12.77 ^ _12437_/A2 (sky130_fd_sc_hd__o31a_1)
0.17 0.28 13.05 ^ _12437_/X (sky130_fd_sc_hd__o31a_1)
2 0.02 _06812_ (net)
0.17 0.00 13.05 ^ _12441_/B1 (sky130_fd_sc_hd__o22a_4)
0.12 0.24 13.29 ^ _12441_/X (sky130_fd_sc_hd__o22a_4)
2 0.04 net166 (net)
0.12 0.00 13.29 ^ output166/A (sky130_fd_sc_hd__buf_2)
0.19 0.25 13.54 ^ output166/X (sky130_fd_sc_hd__buf_2)
1 0.03 io_ibus_addr[31] (net)
0.19 0.00 13.54 ^ io_ibus_addr[31] (out)
13.54 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-13.54 data arrival time
-----------------------------------------------------------------------------
2.21 slack (MET)
Startpoint: _19838_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[30] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 0.73 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_1_1_clock (net)
0.15 0.00 0.73 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.26 0.99 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.20 0.00 0.99 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.23 1.22 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_3_7_0_clock (net)
0.14 0.00 1.22 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.02 0.85 2.07 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.19 clknet_4_14_0_clock (net)
1.02 0.01 2.08 ^ clkbuf_leaf_116_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.32 2.40 ^ clkbuf_leaf_116_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_116_clock (net)
0.07 0.00 2.40 ^ _19838_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.05 0.34 2.75 v _19838_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 ctrl._T_135[3] (net)
0.05 0.00 2.75 v _09078_/A (sky130_fd_sc_hd__or4bb_2)
0.14 0.73 3.48 v _09078_/X (sky130_fd_sc_hd__or4bb_2)
2 0.02 _03527_ (net)
0.14 0.00 3.48 v _09079_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.24 3.72 v _09079_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _03528_ (net)
0.10 0.00 3.72 v _09180_/B (sky130_fd_sc_hd__or4_2)
0.14 0.76 4.48 v _09180_/X (sky130_fd_sc_hd__or4_2)
3 0.01 _03623_ (net)
0.14 0.00 4.48 v _09181_/B (sky130_fd_sc_hd__and2b_1)
0.06 0.24 4.72 v _09181_/X (sky130_fd_sc_hd__and2b_1)
3 0.01 _03624_ (net)
0.06 0.00 4.72 v _11505_/B (sky130_fd_sc_hd__and2b_2)
0.09 0.27 4.99 v _11505_/X (sky130_fd_sc_hd__and2b_2)
5 0.03 _05945_ (net)
0.09 0.00 4.99 v _11673_/S (sky130_fd_sc_hd__mux2_1)
0.06 0.35 5.34 v _11673_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _06105_ (net)
0.06 0.00 5.34 v _11674_/A2 (sky130_fd_sc_hd__a21o_2)
0.11 0.30 5.64 v _11674_/X (sky130_fd_sc_hd__a21o_2)
4 0.03 _06106_ (net)
0.11 0.00 5.64 v _11715_/B1 (sky130_fd_sc_hd__o21ai_2)
0.22 0.13 5.78 ^ _11715_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _06145_ (net)
0.22 0.00 5.78 ^ _11716_/C (sky130_fd_sc_hd__and4b_1)
0.11 0.28 6.06 ^ _11716_/X (sky130_fd_sc_hd__and4b_1)
2 0.01 _06146_ (net)
0.11 0.00 6.06 ^ _11717_/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.22 6.28 ^ _11717_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.03 _06147_ (net)
0.16 0.00 6.28 ^ _11842_/A (sky130_fd_sc_hd__nand4_4)
0.19 0.19 6.48 v _11842_/Y (sky130_fd_sc_hd__nand4_4)
10 0.04 _06264_ (net)
0.19 0.00 6.48 v _11950_/A (sky130_fd_sc_hd__or4_2)
0.14 0.81 7.29 v _11950_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06364_ (net)
0.14 0.00 7.29 v _12025_/B (sky130_fd_sc_hd__or4_2)
0.14 0.78 8.07 v _12025_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06433_ (net)
0.14 0.00 8.07 v _12140_/B (sky130_fd_sc_hd__nor4_1)
0.52 0.53 8.60 ^ _12140_/Y (sky130_fd_sc_hd__nor4_1)
2 0.01 _06540_ (net)
0.52 0.00 8.60 ^ _12163_/A2 (sky130_fd_sc_hd__a21oi_2)
0.12 0.17 8.77 v _12163_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _06561_ (net)
0.12 0.00 8.77 v _12212_/A2 (sky130_fd_sc_hd__o31a_1)
0.06 0.33 9.10 v _12212_/X (sky130_fd_sc_hd__o31a_1)
2 0.01 _06606_ (net)
0.06 0.00 9.10 v _12213_/B (sky130_fd_sc_hd__nor2_1)
0.22 0.20 9.30 ^ _12213_/Y (sky130_fd_sc_hd__nor2_1)
3 0.01 _06607_ (net)
0.22 0.00 9.30 ^ _12262_/A1 (sky130_fd_sc_hd__a21o_2)
0.11 0.23 9.54 ^ _12262_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _06652_ (net)
0.11 0.00 9.54 ^ _12282_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.25 0.29 9.82 ^ _12282_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _06670_ (net)
0.25 0.00 9.82 ^ _12306_/B1_N (sky130_fd_sc_hd__a21bo_1)
0.07 0.29 10.11 v _12306_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _06692_ (net)
0.07 0.00 10.11 v _12331_/B1 (sky130_fd_sc_hd__a21oi_2)
0.27 0.25 10.36 ^ _12331_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.02 _06715_ (net)
0.27 0.00 10.36 ^ _12332_/B (sky130_fd_sc_hd__xnor2_4)
0.26 0.21 10.57 ^ _12332_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.03 _06716_ (net)
0.26 0.00 10.57 ^ _12334_/A (sky130_fd_sc_hd__xor2_4)
0.21 0.26 10.83 ^ _12334_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _06718_ (net)
0.21 0.00 10.83 ^ _12347_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.10 10.93 v _12347_/Y (sky130_fd_sc_hd__nand2_1)
2 0.00 _06729_ (net)
0.06 0.00 10.93 v _12348_/B (sky130_fd_sc_hd__or4bb_1)
0.11 0.54 11.48 v _12348_/X (sky130_fd_sc_hd__or4bb_1)
2 0.01 _06730_ (net)
0.11 0.00 11.48 v _12362_/A1 (sky130_fd_sc_hd__a21o_1)
0.09 0.24 11.72 v _12362_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _06744_ (net)
0.09 0.00 11.72 v _12407_/A2 (sky130_fd_sc_hd__a31o_1)
0.09 0.29 12.01 v _12407_/X (sky130_fd_sc_hd__a31o_1)
1 0.02 _06785_ (net)
0.09 0.00 12.01 v _12408_/B (sky130_fd_sc_hd__xor2_4)
0.48 0.45 12.46 ^ _12408_/X (sky130_fd_sc_hd__xor2_4)
6 0.07 net94 (net)
0.48 0.01 12.47 ^ _12412_/A2 (sky130_fd_sc_hd__a21bo_1)
0.08 0.22 12.69 ^ _12412_/X (sky130_fd_sc_hd__a21bo_1)
1 0.01 _06789_ (net)
0.08 0.00 12.69 ^ _12413_/B1 (sky130_fd_sc_hd__o211a_1)
0.11 0.21 12.90 ^ _12413_/X (sky130_fd_sc_hd__o211a_1)
2 0.01 _06790_ (net)
0.11 0.00 12.90 ^ _12418_/B1 (sky130_fd_sc_hd__o22a_4)
0.12 0.23 13.13 ^ _12418_/X (sky130_fd_sc_hd__o22a_4)
2 0.04 net165 (net)
0.12 0.00 13.13 ^ output165/A (sky130_fd_sc_hd__buf_2)
0.19 0.25 13.38 ^ output165/X (sky130_fd_sc_hd__buf_2)
1 0.03 io_ibus_addr[30] (net)
0.19 0.00 13.38 ^ io_ibus_addr[30] (out)
13.38 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-13.38 data arrival time
-----------------------------------------------------------------------------
2.37 slack (MET)
Startpoint: _19838_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[29] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 0.73 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_1_1_clock (net)
0.15 0.00 0.73 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.26 0.99 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.20 0.00 0.99 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.23 1.22 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_3_7_0_clock (net)
0.14 0.00 1.22 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.02 0.85 2.07 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.19 clknet_4_14_0_clock (net)
1.02 0.01 2.08 ^ clkbuf_leaf_116_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.32 2.40 ^ clkbuf_leaf_116_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_116_clock (net)
0.07 0.00 2.40 ^ _19838_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.05 0.34 2.75 v _19838_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 ctrl._T_135[3] (net)
0.05 0.00 2.75 v _09078_/A (sky130_fd_sc_hd__or4bb_2)
0.14 0.73 3.48 v _09078_/X (sky130_fd_sc_hd__or4bb_2)
2 0.02 _03527_ (net)
0.14 0.00 3.48 v _09079_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.24 3.72 v _09079_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _03528_ (net)
0.10 0.00 3.72 v _09180_/B (sky130_fd_sc_hd__or4_2)
0.14 0.76 4.48 v _09180_/X (sky130_fd_sc_hd__or4_2)
3 0.01 _03623_ (net)
0.14 0.00 4.48 v _09181_/B (sky130_fd_sc_hd__and2b_1)
0.06 0.24 4.72 v _09181_/X (sky130_fd_sc_hd__and2b_1)
3 0.01 _03624_ (net)
0.06 0.00 4.72 v _11505_/B (sky130_fd_sc_hd__and2b_2)
0.09 0.27 4.99 v _11505_/X (sky130_fd_sc_hd__and2b_2)
5 0.03 _05945_ (net)
0.09 0.00 4.99 v _11673_/S (sky130_fd_sc_hd__mux2_1)
0.06 0.35 5.34 v _11673_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _06105_ (net)
0.06 0.00 5.34 v _11674_/A2 (sky130_fd_sc_hd__a21o_2)
0.11 0.30 5.64 v _11674_/X (sky130_fd_sc_hd__a21o_2)
4 0.03 _06106_ (net)
0.11 0.00 5.64 v _11715_/B1 (sky130_fd_sc_hd__o21ai_2)
0.22 0.13 5.78 ^ _11715_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _06145_ (net)
0.22 0.00 5.78 ^ _11716_/C (sky130_fd_sc_hd__and4b_1)
0.11 0.28 6.06 ^ _11716_/X (sky130_fd_sc_hd__and4b_1)
2 0.01 _06146_ (net)
0.11 0.00 6.06 ^ _11717_/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.22 6.28 ^ _11717_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.03 _06147_ (net)
0.16 0.00 6.28 ^ _11842_/A (sky130_fd_sc_hd__nand4_4)
0.19 0.19 6.48 v _11842_/Y (sky130_fd_sc_hd__nand4_4)
10 0.04 _06264_ (net)
0.19 0.00 6.48 v _11950_/A (sky130_fd_sc_hd__or4_2)
0.14 0.81 7.29 v _11950_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06364_ (net)
0.14 0.00 7.29 v _12025_/B (sky130_fd_sc_hd__or4_2)
0.14 0.78 8.07 v _12025_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06433_ (net)
0.14 0.00 8.07 v _12140_/B (sky130_fd_sc_hd__nor4_1)
0.52 0.53 8.60 ^ _12140_/Y (sky130_fd_sc_hd__nor4_1)
2 0.01 _06540_ (net)
0.52 0.00 8.60 ^ _12163_/A2 (sky130_fd_sc_hd__a21oi_2)
0.12 0.17 8.77 v _12163_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _06561_ (net)
0.12 0.00 8.77 v _12212_/A2 (sky130_fd_sc_hd__o31a_1)
0.06 0.33 9.10 v _12212_/X (sky130_fd_sc_hd__o31a_1)
2 0.01 _06606_ (net)
0.06 0.00 9.10 v _12213_/B (sky130_fd_sc_hd__nor2_1)
0.22 0.20 9.30 ^ _12213_/Y (sky130_fd_sc_hd__nor2_1)
3 0.01 _06607_ (net)
0.22 0.00 9.30 ^ _12262_/A1 (sky130_fd_sc_hd__a21o_2)
0.11 0.23 9.54 ^ _12262_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _06652_ (net)
0.11 0.00 9.54 ^ _12282_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.25 0.29 9.82 ^ _12282_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _06670_ (net)
0.25 0.00 9.82 ^ _12306_/B1_N (sky130_fd_sc_hd__a21bo_1)
0.07 0.29 10.11 v _12306_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _06692_ (net)
0.07 0.00 10.11 v _12331_/B1 (sky130_fd_sc_hd__a21oi_2)
0.27 0.25 10.36 ^ _12331_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.02 _06715_ (net)
0.27 0.00 10.36 ^ _12332_/B (sky130_fd_sc_hd__xnor2_4)
0.26 0.21 10.57 ^ _12332_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.03 _06716_ (net)
0.26 0.00 10.57 ^ _12334_/A (sky130_fd_sc_hd__xor2_4)
0.21 0.26 10.83 ^ _12334_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _06718_ (net)
0.21 0.00 10.83 ^ _12347_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.10 10.93 v _12347_/Y (sky130_fd_sc_hd__nand2_1)
2 0.00 _06729_ (net)
0.06 0.00 10.93 v _12348_/B (sky130_fd_sc_hd__or4bb_1)
0.11 0.54 11.48 v _12348_/X (sky130_fd_sc_hd__or4bb_1)
2 0.01 _06730_ (net)
0.11 0.00 11.48 v _12362_/A1 (sky130_fd_sc_hd__a21o_1)
0.09 0.24 11.72 v _12362_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _06744_ (net)
0.09 0.00 11.72 v _12388_/B (sky130_fd_sc_hd__nand2_2)
0.10 0.13 11.85 ^ _12388_/Y (sky130_fd_sc_hd__nand2_2)
1 0.02 _06768_ (net)
0.10 0.00 11.85 ^ _12389_/B (sky130_fd_sc_hd__xnor2_4)
0.17 0.17 12.02 v _12389_/Y (sky130_fd_sc_hd__xnor2_4)
6 0.06 net92 (net)
0.17 0.01 12.03 v _12392_/A1 (sky130_fd_sc_hd__mux2_1)
0.07 0.38 12.41 v _12392_/X (sky130_fd_sc_hd__mux2_1)
1 0.01 _06771_ (net)
0.07 0.00 12.41 v _12393_/B2 (sky130_fd_sc_hd__o221a_1)
0.09 0.28 12.68 v _12393_/X (sky130_fd_sc_hd__o221a_1)
2 0.01 _06772_ (net)
0.09 0.00 12.68 v _12397_/B1 (sky130_fd_sc_hd__o22a_4)
0.09 0.30 12.98 v _12397_/X (sky130_fd_sc_hd__o22a_4)
2 0.04 net163 (net)
0.09 0.00 12.98 v output163/A (sky130_fd_sc_hd__buf_2)
0.09 0.22 13.20 v output163/X (sky130_fd_sc_hd__buf_2)
1 0.03 io_ibus_addr[29] (net)
0.09 0.00 13.20 v io_ibus_addr[29] (out)
13.20 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-13.20 data arrival time
-----------------------------------------------------------------------------
2.55 slack (MET)
Startpoint: _18779_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[22] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 0.73 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_1_1_clock (net)
0.15 0.00 0.73 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.26 0.99 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 0.99 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 1.21 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_3_5_0_clock (net)
0.13 0.00 1.21 ^ clkbuf_4_10_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.55 1.76 ^ clkbuf_4_10_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 clknet_4_10_0_clock (net)
0.61 0.00 1.77 ^ clkbuf_leaf_146_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.29 2.05 ^ clkbuf_leaf_146_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_146_clock (net)
0.06 0.00 2.05 ^ _18779_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.22 0.46 2.51 ^ _18779_/Q (sky130_fd_sc_hd__dfxtp_1)
4 0.02 _00005_ (net)
0.22 0.00 2.51 ^ _09408_/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.24 2.75 ^ _09408_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.03 _03850_ (net)
0.14 0.00 2.75 ^ _09409_/A (sky130_fd_sc_hd__buf_2)
0.21 0.28 3.03 ^ _09409_/X (sky130_fd_sc_hd__buf_2)
10 0.04 _03851_ (net)
0.21 0.00 3.03 ^ _09410_/A (sky130_fd_sc_hd__clkbuf_4)
0.17 0.30 3.33 ^ _09410_/X (sky130_fd_sc_hd__clkbuf_4)
10 0.05 _03852_ (net)
0.17 0.00 3.33 ^ _09411_/A (sky130_fd_sc_hd__clkbuf_4)
0.16 0.28 3.61 ^ _09411_/X (sky130_fd_sc_hd__clkbuf_4)
10 0.05 _03853_ (net)
0.16 0.00 3.61 ^ _09412_/A (sky130_fd_sc_hd__clkbuf_4)
0.14 0.26 3.87 ^ _09412_/X (sky130_fd_sc_hd__clkbuf_4)
10 0.04 _03854_ (net)
0.14 0.00 3.87 ^ _09587_/A (sky130_fd_sc_hd__buf_4)
0.17 0.25 4.12 ^ _09587_/X (sky130_fd_sc_hd__buf_4)
10 0.06 _04029_ (net)
0.17 0.00 4.13 ^ _10542_/A (sky130_fd_sc_hd__buf_4)
0.17 0.26 4.38 ^ _10542_/X (sky130_fd_sc_hd__buf_4)
10 0.06 _04984_ (net)
0.17 0.00 4.38 ^ _10615_/S0 (sky130_fd_sc_hd__mux4_1)
0.12 0.66 5.04 v _10615_/X (sky130_fd_sc_hd__mux4_1)
1 0.01 _05057_ (net)
0.12 0.00 5.04 v _10618_/A2 (sky130_fd_sc_hd__o211a_1)
0.07 0.28 5.32 v _10618_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _05060_ (net)
0.07 0.00 5.32 v _10619_/B1 (sky130_fd_sc_hd__a311o_4)
0.10 0.46 5.78 v _10619_/X (sky130_fd_sc_hd__a311o_4)
2 0.04 _05061_ (net)
0.10 0.00 5.79 v _10620_/A3 (sky130_fd_sc_hd__a32o_2)
0.11 0.38 6.17 v _10620_/X (sky130_fd_sc_hd__a32o_2)
8 0.03 _05062_ (net)
0.11 0.00 6.17 v _10648_/A (sky130_fd_sc_hd__or2_1)
0.05 0.26 6.43 v _10648_/X (sky130_fd_sc_hd__or2_1)
1 0.00 _05090_ (net)
0.05 0.00 6.43 v _10649_/B (sky130_fd_sc_hd__and2_1)
0.07 0.20 6.63 v _10649_/X (sky130_fd_sc_hd__and2_1)
3 0.01 _05091_ (net)
0.07 0.00 6.63 v _11346_/A1 (sky130_fd_sc_hd__a41o_1)
0.09 0.25 6.87 v _11346_/X (sky130_fd_sc_hd__a41o_1)
3 0.01 _05788_ (net)
0.09 0.00 6.87 v _11348_/A2 (sky130_fd_sc_hd__a211o_1)
0.10 0.38 7.25 v _11348_/X (sky130_fd_sc_hd__a211o_1)
4 0.01 _05790_ (net)
0.10 0.00 7.25 v _11350_/A3 (sky130_fd_sc_hd__a311o_1)
0.10 0.44 7.70 v _11350_/X (sky130_fd_sc_hd__a311o_1)
4 0.01 _05792_ (net)
0.10 0.00 7.70 v _11353_/A3 (sky130_fd_sc_hd__a311o_1)
0.11 0.46 8.15 v _11353_/X (sky130_fd_sc_hd__a311o_1)
4 0.02 _05795_ (net)
0.11 0.00 8.16 v _11356_/A3 (sky130_fd_sc_hd__a311o_1)
0.11 0.46 8.62 v _11356_/X (sky130_fd_sc_hd__a311o_1)
4 0.02 _05798_ (net)
0.11 0.00 8.62 v _11359_/A3 (sky130_fd_sc_hd__a311o_1)
0.12 0.47 9.08 v _11359_/X (sky130_fd_sc_hd__a311o_1)
5 0.02 _05801_ (net)
0.12 0.00 9.08 v _11429_/A3 (sky130_fd_sc_hd__a32o_1)
0.04 0.30 9.38 v _11429_/X (sky130_fd_sc_hd__a32o_1)
1 0.00 _05871_ (net)
0.04 0.00 9.38 v _11478_/A2 (sky130_fd_sc_hd__a221o_1)
0.06 0.37 9.75 v _11478_/X (sky130_fd_sc_hd__a221o_1)
1 0.01 _05920_ (net)
0.06 0.00 9.75 v _11480_/C1 (sky130_fd_sc_hd__a2111o_1)
0.07 0.38 10.13 v _11480_/X (sky130_fd_sc_hd__a2111o_1)
1 0.01 _05922_ (net)
0.07 0.00 10.13 v _11481_/C1 (sky130_fd_sc_hd__a221o_2)
0.13 0.42 10.54 v _11481_/X (sky130_fd_sc_hd__a221o_2)
4 0.03 _05923_ (net)
0.13 0.00 10.55 v _11482_/C_N (sky130_fd_sc_hd__or3b_1)
0.04 0.20 10.75 ^ _11482_/X (sky130_fd_sc_hd__or3b_1)
1 0.00 _05924_ (net)
0.04 0.00 10.75 ^ _11495_/A (sky130_fd_sc_hd__and3_2)
0.15 0.27 11.01 ^ _11495_/X (sky130_fd_sc_hd__and3_2)
5 0.03 _05937_ (net)
0.15 0.00 11.02 ^ _11548_/A (sky130_fd_sc_hd__nand2_1)
0.09 0.12 11.14 v _11548_/Y (sky130_fd_sc_hd__nand2_1)
3 0.01 _05985_ (net)
0.09 0.00 11.14 v _11641_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.11 0.23 11.37 v _11641_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _06074_ (net)
0.11 0.00 11.37 v _11642_/A (sky130_fd_sc_hd__buf_2)
0.07 0.21 11.58 v _11642_/X (sky130_fd_sc_hd__buf_2)
5 0.03 _06075_ (net)
0.07 0.00 11.58 v _11643_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.17 11.74 v _11643_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _06076_ (net)
0.08 0.00 11.74 v _12229_/A (sky130_fd_sc_hd__or3_1)
0.09 0.42 12.17 v _12229_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _06622_ (net)
0.09 0.00 12.17 v _12232_/A3 (sky130_fd_sc_hd__a31o_1)
0.08 0.29 12.46 v _12232_/X (sky130_fd_sc_hd__a31o_1)
2 0.01 _06625_ (net)
0.08 0.00 12.46 v _12237_/A1_N (sky130_fd_sc_hd__o2bb2a_2)
0.19 0.40 12.86 ^ _12237_/X (sky130_fd_sc_hd__o2bb2a_2)
2 0.03 net156 (net)
0.19 0.00 12.86 ^ output156/A (sky130_fd_sc_hd__buf_2)
0.20 0.28 13.14 ^ output156/X (sky130_fd_sc_hd__buf_2)
1 0.03 io_ibus_addr[22] (net)
0.20 0.00 13.14 ^ io_ibus_addr[22] (out)
13.14 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-13.14 data arrival time
-----------------------------------------------------------------------------
2.61 slack (MET)
Startpoint: _19838_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[28] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 0.73 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_1_1_clock (net)
0.15 0.00 0.73 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.26 0.99 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.20 0.00 0.99 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.23 1.22 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_3_7_0_clock (net)
0.14 0.00 1.22 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.02 0.85 2.07 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.19 clknet_4_14_0_clock (net)
1.02 0.01 2.08 ^ clkbuf_leaf_116_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.32 2.40 ^ clkbuf_leaf_116_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_116_clock (net)
0.07 0.00 2.40 ^ _19838_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.05 0.34 2.75 v _19838_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 ctrl._T_135[3] (net)
0.05 0.00 2.75 v _09078_/A (sky130_fd_sc_hd__or4bb_2)
0.14 0.73 3.48 v _09078_/X (sky130_fd_sc_hd__or4bb_2)
2 0.02 _03527_ (net)
0.14 0.00 3.48 v _09079_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.24 3.72 v _09079_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _03528_ (net)
0.10 0.00 3.72 v _09180_/B (sky130_fd_sc_hd__or4_2)
0.14 0.76 4.48 v _09180_/X (sky130_fd_sc_hd__or4_2)
3 0.01 _03623_ (net)
0.14 0.00 4.48 v _09181_/B (sky130_fd_sc_hd__and2b_1)
0.06 0.24 4.72 v _09181_/X (sky130_fd_sc_hd__and2b_1)
3 0.01 _03624_ (net)
0.06 0.00 4.72 v _11505_/B (sky130_fd_sc_hd__and2b_2)
0.09 0.27 4.99 v _11505_/X (sky130_fd_sc_hd__and2b_2)
5 0.03 _05945_ (net)
0.09 0.00 4.99 v _11673_/S (sky130_fd_sc_hd__mux2_1)
0.06 0.35 5.34 v _11673_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _06105_ (net)
0.06 0.00 5.34 v _11674_/A2 (sky130_fd_sc_hd__a21o_2)
0.11 0.30 5.64 v _11674_/X (sky130_fd_sc_hd__a21o_2)
4 0.03 _06106_ (net)
0.11 0.00 5.64 v _11715_/B1 (sky130_fd_sc_hd__o21ai_2)
0.22 0.13 5.78 ^ _11715_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _06145_ (net)
0.22 0.00 5.78 ^ _11716_/C (sky130_fd_sc_hd__and4b_1)
0.11 0.28 6.06 ^ _11716_/X (sky130_fd_sc_hd__and4b_1)
2 0.01 _06146_ (net)
0.11 0.00 6.06 ^ _11717_/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.22 6.28 ^ _11717_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.03 _06147_ (net)
0.16 0.00 6.28 ^ _11842_/A (sky130_fd_sc_hd__nand4_4)
0.19 0.19 6.48 v _11842_/Y (sky130_fd_sc_hd__nand4_4)
10 0.04 _06264_ (net)
0.19 0.00 6.48 v _11950_/A (sky130_fd_sc_hd__or4_2)
0.14 0.81 7.29 v _11950_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06364_ (net)
0.14 0.00 7.29 v _12025_/B (sky130_fd_sc_hd__or4_2)
0.14 0.78 8.07 v _12025_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06433_ (net)
0.14 0.00 8.07 v _12140_/B (sky130_fd_sc_hd__nor4_1)
0.52 0.53 8.60 ^ _12140_/Y (sky130_fd_sc_hd__nor4_1)
2 0.01 _06540_ (net)
0.52 0.00 8.60 ^ _12163_/A2 (sky130_fd_sc_hd__a21oi_2)
0.12 0.17 8.77 v _12163_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _06561_ (net)
0.12 0.00 8.77 v _12212_/A2 (sky130_fd_sc_hd__o31a_1)
0.06 0.33 9.10 v _12212_/X (sky130_fd_sc_hd__o31a_1)
2 0.01 _06606_ (net)
0.06 0.00 9.10 v _12213_/B (sky130_fd_sc_hd__nor2_1)
0.22 0.20 9.30 ^ _12213_/Y (sky130_fd_sc_hd__nor2_1)
3 0.01 _06607_ (net)
0.22 0.00 9.30 ^ _12262_/A1 (sky130_fd_sc_hd__a21o_2)
0.11 0.23 9.54 ^ _12262_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _06652_ (net)
0.11 0.00 9.54 ^ _12282_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.25 0.29 9.82 ^ _12282_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _06670_ (net)
0.25 0.00 9.82 ^ _12306_/B1_N (sky130_fd_sc_hd__a21bo_1)
0.07 0.29 10.11 v _12306_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _06692_ (net)
0.07 0.00 10.11 v _12331_/B1 (sky130_fd_sc_hd__a21oi_2)
0.27 0.25 10.36 ^ _12331_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.02 _06715_ (net)
0.27 0.00 10.36 ^ _12332_/B (sky130_fd_sc_hd__xnor2_4)
0.26 0.21 10.57 ^ _12332_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.03 _06716_ (net)
0.26 0.00 10.57 ^ _12334_/A (sky130_fd_sc_hd__xor2_4)
0.21 0.26 10.83 ^ _12334_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _06718_ (net)
0.21 0.00 10.83 ^ _12347_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.10 10.93 v _12347_/Y (sky130_fd_sc_hd__nand2_1)
2 0.00 _06729_ (net)
0.06 0.00 10.93 v _12348_/B (sky130_fd_sc_hd__or4bb_1)
0.11 0.54 11.48 v _12348_/X (sky130_fd_sc_hd__or4bb_1)
2 0.01 _06730_ (net)
0.11 0.00 11.48 v _12363_/A (sky130_fd_sc_hd__nand3_1)
0.06 0.10 11.57 ^ _12363_/Y (sky130_fd_sc_hd__nand3_1)
1 0.00 _06745_ (net)
0.06 0.00 11.57 ^ _12364_/B (sky130_fd_sc_hd__and2_1)
0.07 0.14 11.72 ^ _12364_/X (sky130_fd_sc_hd__and2_1)
1 0.00 _06746_ (net)
0.07 0.00 11.72 ^ _12365_/A (sky130_fd_sc_hd__buf_4)
0.16 0.21 11.93 ^ _12365_/X (sky130_fd_sc_hd__buf_4)
6 0.06 net91 (net)
0.16 0.00 11.93 ^ _12369_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.09 12.02 v _12369_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _06750_ (net)
0.06 0.00 12.02 v _12374_/A2 (sky130_fd_sc_hd__a31o_1)
0.07 0.26 12.28 v _12374_/X (sky130_fd_sc_hd__a31o_1)
1 0.01 _06755_ (net)
0.07 0.00 12.28 v _12375_/C1 (sky130_fd_sc_hd__o311a_1)
0.10 0.17 12.45 v _12375_/X (sky130_fd_sc_hd__o311a_1)
2 0.01 _06756_ (net)
0.10 0.00 12.45 v _12376_/B1 (sky130_fd_sc_hd__a21oi_4)
0.30 0.30 12.75 ^ _12376_/Y (sky130_fd_sc_hd__a21oi_4)
2 0.04 net162 (net)
0.30 0.00 12.76 ^ output162/A (sky130_fd_sc_hd__buf_2)
0.19 0.30 13.06 ^ output162/X (sky130_fd_sc_hd__buf_2)
1 0.03 io_ibus_addr[28] (net)
0.19 0.00 13.06 ^ io_ibus_addr[28] (out)
13.06 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-13.06 data arrival time
-----------------------------------------------------------------------------
2.69 slack (MET)