blob: 07eb7ead649c9e5e15d2969cbef36579bf1d3ad8 [file] [log] [blame]
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/ali112000/mpw5/UETRV-ECORE/openlane/Core/runs/Core/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 441 library cells
[INFO ODB-0226] Finished LEF file: /home/ali112000/mpw5/UETRV-ECORE/openlane/Core/runs/Core/tmp/merged_unpadded.lef
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__or4bb_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__buf_1 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__or3b_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__or2_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__nor2_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__nor3_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__or3_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__and2_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__or4_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__inv_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__and4bb_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__and3_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__nand2_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o21ba_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__and3b_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__or2b_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a21oi_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__nor3b_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o211a_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o32a_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__or4b_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__and4_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a21bo_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o22a_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__and2b_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__nand3b_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__nand4b_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o2111a_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o221a_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__xnor2_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o21a_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o21ai_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__xor2_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a221o_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__and4b_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__nor4b_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a31o_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a2bb2o_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a21o_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a22o_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o31a_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a2111o_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o211ai_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__mux2_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__mux4_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a311o_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a32o_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o311a_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a21boi_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o22ai_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a211o_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a22oi_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o21bai_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o32ai_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a32oi_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o2bb2a_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o221ai_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__nand3_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a41o_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__nand4_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__nor4_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__o31ai_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a31oi_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__nand2b_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a221oi_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__a211oi_2 has no liberty cell.
[WARNING ORD-1011] LEF master sky130_fd_sc_hd__dfxtp_2 has no liberty cell.
if {[info exists ::env(CLOCK_PORT)] && $::env(CLOCK_PORT) != ""} {
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD)
} else {
create_clock -name __VIRTUAL_CLK__ -period $::env(CLOCK_PERIOD)
set ::env(CLOCK_PORT) __VIRTUAL_CLK__
}
set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
puts "\[INFO\]: Setting output delay to: $output_delay_value"
[INFO]: Setting output delay to: 4.0
puts "\[INFO\]: Setting input delay to: $input_delay_value"
[INFO]: Setting input delay to: 4.0
set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design]
set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]]
#set rst_indx [lsearch [all_inputs] [get_port resetn]]
set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx]
#set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx]
set all_inputs_wo_clk_rst $all_inputs_wo_clk
# correct resetn
set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst
#set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn}
set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs]
# TODO set this as parameter
set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
puts "\[INFO\]: Setting load to: $cap_load"
[INFO]: Setting load to: 0.033442
set_load $cap_load [all_outputs]
puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)"
[INFO]: Setting clock uncertainity to: 0.25
set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks $::env(CLOCK_PORT)]
puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)"
[INFO]: Setting clock transition to: 0.15
set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks $::env(CLOCK_PORT)]
puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %"
[INFO]: Setting timing derate to: 0.5 %
set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _19137_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19136_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _19137_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.02 0.32 0.32 v _19137_/Q (sky130_fd_sc_hd__dfxtp_2)
1 0.00 dpath.csr.br_taken (net)
0.02 0.00 0.32 v _19136_/D (sky130_fd_sc_hd__dfxtp_2)
0.32 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _19136_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.32 data arrival time
-----------------------------------------------------------------------------
0.09 slack (MET)
Startpoint: _19723_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19723_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _19723_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.34 0.34 v _19723_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.01 dpath._T_249[16] (net)
0.04 0.01 0.34 v _10491_/A (sky130_fd_sc_hd__inv_2)
0.04 0.05 0.39 ^ _10491_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _04933_ (net)
0.04 0.01 0.40 ^ _17763_/A1 (sky130_fd_sc_hd__a21oi_2)
0.02 0.04 0.44 v _17763_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _01480_ (net)
0.02 0.00 0.44 v _19723_/D (sky130_fd_sc_hd__dfxtp_2)
0.44 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _19723_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.44 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: _19716_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19716_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _19716_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.34 0.34 v _19716_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.01 dpath._T_249[9] (net)
0.04 0.01 0.35 v _10795_/A (sky130_fd_sc_hd__inv_2)
0.04 0.05 0.40 ^ _10795_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _05237_ (net)
0.04 0.01 0.40 ^ _17651_/A1 (sky130_fd_sc_hd__a21oi_2)
0.02 0.04 0.44 v _17651_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _01473_ (net)
0.02 0.00 0.44 v _19716_/D (sky130_fd_sc_hd__dfxtp_2)
0.44 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _19716_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.44 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: _19720_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19720_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _19720_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.34 0.34 v _19720_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.01 dpath._T_249[13] (net)
0.04 0.01 0.35 v _11339_/A (sky130_fd_sc_hd__inv_2)
0.04 0.05 0.40 ^ _11339_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _05781_ (net)
0.04 0.01 0.40 ^ _17720_/A1 (sky130_fd_sc_hd__a21oi_2)
0.02 0.04 0.44 v _17720_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _01477_ (net)
0.02 0.00 0.44 v _19720_/D (sky130_fd_sc_hd__dfxtp_2)
0.44 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _19720_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.44 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: _19722_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19722_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _19722_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.34 0.34 v _19722_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.01 dpath._T_249[15] (net)
0.04 0.01 0.35 v _10539_/A (sky130_fd_sc_hd__inv_2)
0.04 0.05 0.40 ^ _10539_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _04981_ (net)
0.04 0.01 0.40 ^ _17751_/A1 (sky130_fd_sc_hd__a21oi_2)
0.02 0.04 0.44 v _17751_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _01479_ (net)
0.02 0.00 0.44 v _19722_/D (sky130_fd_sc_hd__dfxtp_2)
0.44 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _19722_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.44 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _18779_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[29] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _18779_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.06 0.39 0.39 ^ _18779_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.01 _00005_ (net)
0.06 0.00 0.39 ^ _09408_/A (sky130_fd_sc_hd__buf_1)
0.23 0.22 0.61 ^ _09408_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03850_ (net)
0.23 0.00 0.62 ^ _09409_/A (sky130_fd_sc_hd__buf_1)
0.31 0.32 0.93 ^ _09409_/X (sky130_fd_sc_hd__buf_1)
5 0.03 _03851_ (net)
0.31 0.00 0.93 ^ _09410_/A (sky130_fd_sc_hd__buf_1)
0.29 0.31 1.24 ^ _09410_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03852_ (net)
0.29 0.00 1.24 ^ _09411_/A (sky130_fd_sc_hd__buf_1)
0.26 0.28 1.53 ^ _09411_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03853_ (net)
0.26 0.00 1.53 ^ _09412_/A (sky130_fd_sc_hd__buf_1)
0.23 0.26 1.79 ^ _09412_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03854_ (net)
0.23 0.00 1.80 ^ _09587_/A (sky130_fd_sc_hd__buf_1)
0.29 0.30 2.09 ^ _09587_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _04029_ (net)
0.29 0.00 2.09 ^ _10542_/A (sky130_fd_sc_hd__buf_1)
0.33 0.33 2.43 ^ _10542_/X (sky130_fd_sc_hd__buf_1)
5 0.03 _04984_ (net)
0.33 0.00 2.43 ^ _10763_/S (sky130_fd_sc_hd__mux2_2)
0.05 0.39 2.82 v _10763_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _05205_ (net)
0.05 0.00 2.83 v _10766_/A2 (sky130_fd_sc_hd__a21o_2)
0.03 0.21 3.04 v _10766_/X (sky130_fd_sc_hd__a21o_2)
1 0.00 _05208_ (net)
0.03 0.00 3.04 v _10771_/A3 (sky130_fd_sc_hd__a311o_2)
0.05 0.38 3.43 v _10771_/X (sky130_fd_sc_hd__a311o_2)
1 0.00 _05213_ (net)
0.05 0.00 3.43 v _10772_/A3 (sky130_fd_sc_hd__a32o_2)
0.06 0.30 3.73 v _10772_/X (sky130_fd_sc_hd__a32o_2)
4 0.01 _05214_ (net)
0.06 0.00 3.73 v _10797_/A (sky130_fd_sc_hd__or2_2)
0.09 0.36 4.09 v _10797_/X (sky130_fd_sc_hd__or2_2)
4 0.01 _05239_ (net)
0.09 0.00 4.09 v _11296_/A2 (sky130_fd_sc_hd__a311o_2)
0.07 0.42 4.51 v _11296_/X (sky130_fd_sc_hd__a311o_2)
3 0.01 _05738_ (net)
0.07 0.00 4.51 v _11346_/A3 (sky130_fd_sc_hd__a41o_2)
0.06 0.32 4.83 v _11346_/X (sky130_fd_sc_hd__a41o_2)
3 0.01 _05788_ (net)
0.06 0.00 4.83 v _11348_/A2 (sky130_fd_sc_hd__a211o_2)
0.07 0.38 5.22 v _11348_/X (sky130_fd_sc_hd__a211o_2)
4 0.01 _05790_ (net)
0.07 0.00 5.22 v _11350_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 5.67 v _11350_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05792_ (net)
0.08 0.00 5.67 v _11353_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 6.12 v _11353_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05795_ (net)
0.08 0.00 6.12 v _11356_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 6.57 v _11356_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05798_ (net)
0.08 0.00 6.58 v _11359_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.46 7.03 v _11359_/X (sky130_fd_sc_hd__a311o_2)
5 0.01 _05801_ (net)
0.08 0.00 7.03 v _11429_/A3 (sky130_fd_sc_hd__a32o_2)
0.04 0.28 7.32 v _11429_/X (sky130_fd_sc_hd__a32o_2)
1 0.00 _05871_ (net)
0.04 0.00 7.32 v _11478_/A2 (sky130_fd_sc_hd__a221o_2)
0.05 0.39 7.71 v _11478_/X (sky130_fd_sc_hd__a221o_2)
1 0.00 _05920_ (net)
0.05 0.00 7.71 v _11480_/C1 (sky130_fd_sc_hd__a2111o_2)
0.06 0.41 8.12 v _11480_/X (sky130_fd_sc_hd__a2111o_2)
1 0.00 _05922_ (net)
0.06 0.00 8.13 v _11481_/C1 (sky130_fd_sc_hd__a221o_2)
0.06 0.32 8.44 v _11481_/X (sky130_fd_sc_hd__a221o_2)
2 0.00 _05923_ (net)
0.06 0.00 8.45 v _11494_/A2 (sky130_fd_sc_hd__o211a_2)
0.04 0.24 8.69 v _11494_/X (sky130_fd_sc_hd__o211a_2)
1 0.00 _05936_ (net)
0.04 0.00 8.69 v _11495_/C (sky130_fd_sc_hd__and3_2)
0.07 0.25 8.94 v _11495_/X (sky130_fd_sc_hd__and3_2)
5 0.02 _05937_ (net)
0.07 0.01 8.95 v _11548_/A (sky130_fd_sc_hd__nand2_2)
0.06 0.08 9.02 ^ _11548_/Y (sky130_fd_sc_hd__nand2_2)
3 0.01 _05985_ (net)
0.06 0.00 9.02 ^ _11641_/A (sky130_fd_sc_hd__buf_1)
0.18 0.18 9.21 ^ _11641_/X (sky130_fd_sc_hd__buf_1)
5 0.01 _06074_ (net)
0.18 0.00 9.21 ^ _11642_/A (sky130_fd_sc_hd__buf_1)
0.21 0.23 9.44 ^ _11642_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _06075_ (net)
0.21 0.00 9.45 ^ _11643_/A (sky130_fd_sc_hd__buf_1)
0.24 0.26 9.71 ^ _11643_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _06076_ (net)
0.24 0.00 9.71 ^ _12392_/S (sky130_fd_sc_hd__mux2_2)
0.05 0.38 10.09 v _12392_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _06771_ (net)
0.05 0.00 10.09 v _12393_/B2 (sky130_fd_sc_hd__o221a_2)
0.05 0.24 10.34 v _12393_/X (sky130_fd_sc_hd__o221a_2)
2 0.01 _06772_ (net)
0.05 0.00 10.34 v _12397_/B1 (sky130_fd_sc_hd__o22a_2)
0.15 0.29 10.63 v _12397_/X (sky130_fd_sc_hd__o22a_2)
1 0.03 io_ibus_addr[29] (net)
0.15 0.00 10.63 v io_ibus_addr[29] (out)
10.63 data arrival time
0.15 20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (ideal)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-10.63 data arrival time
-----------------------------------------------------------------------------
5.12 slack (MET)
Startpoint: _18779_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[22] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _18779_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.06 0.39 0.39 ^ _18779_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.01 _00005_ (net)
0.06 0.00 0.39 ^ _09408_/A (sky130_fd_sc_hd__buf_1)
0.23 0.22 0.61 ^ _09408_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03850_ (net)
0.23 0.00 0.62 ^ _09409_/A (sky130_fd_sc_hd__buf_1)
0.31 0.32 0.93 ^ _09409_/X (sky130_fd_sc_hd__buf_1)
5 0.03 _03851_ (net)
0.31 0.00 0.93 ^ _09410_/A (sky130_fd_sc_hd__buf_1)
0.29 0.31 1.24 ^ _09410_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03852_ (net)
0.29 0.00 1.24 ^ _09411_/A (sky130_fd_sc_hd__buf_1)
0.26 0.28 1.53 ^ _09411_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03853_ (net)
0.26 0.00 1.53 ^ _09412_/A (sky130_fd_sc_hd__buf_1)
0.23 0.26 1.79 ^ _09412_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03854_ (net)
0.23 0.00 1.80 ^ _09587_/A (sky130_fd_sc_hd__buf_1)
0.29 0.30 2.09 ^ _09587_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _04029_ (net)
0.29 0.00 2.09 ^ _10542_/A (sky130_fd_sc_hd__buf_1)
0.33 0.33 2.43 ^ _10542_/X (sky130_fd_sc_hd__buf_1)
5 0.03 _04984_ (net)
0.33 0.00 2.43 ^ _10763_/S (sky130_fd_sc_hd__mux2_2)
0.05 0.39 2.82 v _10763_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _05205_ (net)
0.05 0.00 2.83 v _10766_/A2 (sky130_fd_sc_hd__a21o_2)
0.03 0.21 3.04 v _10766_/X (sky130_fd_sc_hd__a21o_2)
1 0.00 _05208_ (net)
0.03 0.00 3.04 v _10771_/A3 (sky130_fd_sc_hd__a311o_2)
0.05 0.38 3.43 v _10771_/X (sky130_fd_sc_hd__a311o_2)
1 0.00 _05213_ (net)
0.05 0.00 3.43 v _10772_/A3 (sky130_fd_sc_hd__a32o_2)
0.06 0.30 3.73 v _10772_/X (sky130_fd_sc_hd__a32o_2)
4 0.01 _05214_ (net)
0.06 0.00 3.73 v _10797_/A (sky130_fd_sc_hd__or2_2)
0.09 0.36 4.09 v _10797_/X (sky130_fd_sc_hd__or2_2)
4 0.01 _05239_ (net)
0.09 0.00 4.09 v _11296_/A2 (sky130_fd_sc_hd__a311o_2)
0.07 0.42 4.51 v _11296_/X (sky130_fd_sc_hd__a311o_2)
3 0.01 _05738_ (net)
0.07 0.00 4.51 v _11346_/A3 (sky130_fd_sc_hd__a41o_2)
0.06 0.32 4.83 v _11346_/X (sky130_fd_sc_hd__a41o_2)
3 0.01 _05788_ (net)
0.06 0.00 4.83 v _11348_/A2 (sky130_fd_sc_hd__a211o_2)
0.07 0.38 5.22 v _11348_/X (sky130_fd_sc_hd__a211o_2)
4 0.01 _05790_ (net)
0.07 0.00 5.22 v _11350_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 5.67 v _11350_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05792_ (net)
0.08 0.00 5.67 v _11353_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 6.12 v _11353_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05795_ (net)
0.08 0.00 6.12 v _11356_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 6.57 v _11356_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05798_ (net)
0.08 0.00 6.58 v _11359_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.46 7.03 v _11359_/X (sky130_fd_sc_hd__a311o_2)
5 0.01 _05801_ (net)
0.08 0.00 7.03 v _11429_/A3 (sky130_fd_sc_hd__a32o_2)
0.04 0.28 7.32 v _11429_/X (sky130_fd_sc_hd__a32o_2)
1 0.00 _05871_ (net)
0.04 0.00 7.32 v _11478_/A2 (sky130_fd_sc_hd__a221o_2)
0.05 0.39 7.71 v _11478_/X (sky130_fd_sc_hd__a221o_2)
1 0.00 _05920_ (net)
0.05 0.00 7.71 v _11480_/C1 (sky130_fd_sc_hd__a2111o_2)
0.06 0.41 8.12 v _11480_/X (sky130_fd_sc_hd__a2111o_2)
1 0.00 _05922_ (net)
0.06 0.00 8.13 v _11481_/C1 (sky130_fd_sc_hd__a221o_2)
0.06 0.32 8.44 v _11481_/X (sky130_fd_sc_hd__a221o_2)
2 0.00 _05923_ (net)
0.06 0.00 8.45 v _11482_/C_N (sky130_fd_sc_hd__or3b_2)
0.03 0.19 8.64 ^ _11482_/X (sky130_fd_sc_hd__or3b_2)
1 0.00 _05924_ (net)
0.03 0.00 8.64 ^ _11495_/A (sky130_fd_sc_hd__and3_2)
0.10 0.21 8.85 ^ _11495_/X (sky130_fd_sc_hd__and3_2)
5 0.02 _05937_ (net)
0.10 0.01 8.86 ^ _11548_/A (sky130_fd_sc_hd__nand2_2)
0.05 0.07 8.93 v _11548_/Y (sky130_fd_sc_hd__nand2_2)
3 0.01 _05985_ (net)
0.05 0.00 8.93 v _11641_/A (sky130_fd_sc_hd__buf_1)
0.08 0.14 9.07 v _11641_/X (sky130_fd_sc_hd__buf_1)
5 0.01 _06074_ (net)
0.08 0.00 9.07 v _11642_/A (sky130_fd_sc_hd__buf_1)
0.10 0.17 9.24 v _11642_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _06075_ (net)
0.10 0.00 9.24 v _11643_/A (sky130_fd_sc_hd__buf_1)
0.11 0.19 9.43 v _11643_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _06076_ (net)
0.11 0.00 9.43 v _12229_/A (sky130_fd_sc_hd__or3_2)
0.08 0.51 9.94 v _12229_/X (sky130_fd_sc_hd__or3_2)
1 0.00 _06622_ (net)
0.08 0.00 9.94 v _12232_/A3 (sky130_fd_sc_hd__a31o_2)
0.05 0.27 10.22 v _12232_/X (sky130_fd_sc_hd__a31o_2)
2 0.01 _06625_ (net)
0.05 0.00 10.22 v _12237_/A1_N (sky130_fd_sc_hd__o2bb2a_2)
0.22 0.36 10.58 ^ _12237_/X (sky130_fd_sc_hd__o2bb2a_2)
1 0.03 io_ibus_addr[22] (net)
0.22 0.00 10.58 ^ io_ibus_addr[22] (out)
10.58 data arrival time
0.15 20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (ideal)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-10.58 data arrival time
-----------------------------------------------------------------------------
5.17 slack (MET)
Startpoint: _18779_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[28] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _18779_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.06 0.39 0.39 ^ _18779_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.01 _00005_ (net)
0.06 0.00 0.39 ^ _09408_/A (sky130_fd_sc_hd__buf_1)
0.23 0.22 0.61 ^ _09408_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03850_ (net)
0.23 0.00 0.62 ^ _09409_/A (sky130_fd_sc_hd__buf_1)
0.31 0.32 0.93 ^ _09409_/X (sky130_fd_sc_hd__buf_1)
5 0.03 _03851_ (net)
0.31 0.00 0.93 ^ _09410_/A (sky130_fd_sc_hd__buf_1)
0.29 0.31 1.24 ^ _09410_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03852_ (net)
0.29 0.00 1.24 ^ _09411_/A (sky130_fd_sc_hd__buf_1)
0.26 0.28 1.53 ^ _09411_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03853_ (net)
0.26 0.00 1.53 ^ _09412_/A (sky130_fd_sc_hd__buf_1)
0.23 0.26 1.79 ^ _09412_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03854_ (net)
0.23 0.00 1.80 ^ _09587_/A (sky130_fd_sc_hd__buf_1)
0.29 0.30 2.09 ^ _09587_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _04029_ (net)
0.29 0.00 2.09 ^ _10542_/A (sky130_fd_sc_hd__buf_1)
0.33 0.33 2.43 ^ _10542_/X (sky130_fd_sc_hd__buf_1)
5 0.03 _04984_ (net)
0.33 0.00 2.43 ^ _10763_/S (sky130_fd_sc_hd__mux2_2)
0.05 0.39 2.82 v _10763_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _05205_ (net)
0.05 0.00 2.83 v _10766_/A2 (sky130_fd_sc_hd__a21o_2)
0.03 0.21 3.04 v _10766_/X (sky130_fd_sc_hd__a21o_2)
1 0.00 _05208_ (net)
0.03 0.00 3.04 v _10771_/A3 (sky130_fd_sc_hd__a311o_2)
0.05 0.38 3.43 v _10771_/X (sky130_fd_sc_hd__a311o_2)
1 0.00 _05213_ (net)
0.05 0.00 3.43 v _10772_/A3 (sky130_fd_sc_hd__a32o_2)
0.06 0.30 3.73 v _10772_/X (sky130_fd_sc_hd__a32o_2)
4 0.01 _05214_ (net)
0.06 0.00 3.73 v _10797_/A (sky130_fd_sc_hd__or2_2)
0.09 0.36 4.09 v _10797_/X (sky130_fd_sc_hd__or2_2)
4 0.01 _05239_ (net)
0.09 0.00 4.09 v _11296_/A2 (sky130_fd_sc_hd__a311o_2)
0.07 0.42 4.51 v _11296_/X (sky130_fd_sc_hd__a311o_2)
3 0.01 _05738_ (net)
0.07 0.00 4.51 v _11346_/A3 (sky130_fd_sc_hd__a41o_2)
0.06 0.32 4.83 v _11346_/X (sky130_fd_sc_hd__a41o_2)
3 0.01 _05788_ (net)
0.06 0.00 4.83 v _11348_/A2 (sky130_fd_sc_hd__a211o_2)
0.07 0.38 5.22 v _11348_/X (sky130_fd_sc_hd__a211o_2)
4 0.01 _05790_ (net)
0.07 0.00 5.22 v _11350_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 5.67 v _11350_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05792_ (net)
0.08 0.00 5.67 v _11353_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 6.12 v _11353_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05795_ (net)
0.08 0.00 6.12 v _11356_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 6.57 v _11356_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05798_ (net)
0.08 0.00 6.58 v _11359_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.46 7.03 v _11359_/X (sky130_fd_sc_hd__a311o_2)
5 0.01 _05801_ (net)
0.08 0.00 7.03 v _11429_/A3 (sky130_fd_sc_hd__a32o_2)
0.04 0.28 7.32 v _11429_/X (sky130_fd_sc_hd__a32o_2)
1 0.00 _05871_ (net)
0.04 0.00 7.32 v _11478_/A2 (sky130_fd_sc_hd__a221o_2)
0.05 0.39 7.71 v _11478_/X (sky130_fd_sc_hd__a221o_2)
1 0.00 _05920_ (net)
0.05 0.00 7.71 v _11480_/C1 (sky130_fd_sc_hd__a2111o_2)
0.06 0.41 8.12 v _11480_/X (sky130_fd_sc_hd__a2111o_2)
1 0.00 _05922_ (net)
0.06 0.00 8.13 v _11481_/C1 (sky130_fd_sc_hd__a221o_2)
0.06 0.32 8.44 v _11481_/X (sky130_fd_sc_hd__a221o_2)
2 0.00 _05923_ (net)
0.06 0.00 8.45 v _11482_/C_N (sky130_fd_sc_hd__or3b_2)
0.03 0.19 8.64 ^ _11482_/X (sky130_fd_sc_hd__or3b_2)
1 0.00 _05924_ (net)
0.03 0.00 8.64 ^ _11495_/A (sky130_fd_sc_hd__and3_2)
0.10 0.21 8.85 ^ _11495_/X (sky130_fd_sc_hd__and3_2)
5 0.02 _05937_ (net)
0.10 0.01 8.86 ^ _11548_/A (sky130_fd_sc_hd__nand2_2)
0.05 0.07 8.93 v _11548_/Y (sky130_fd_sc_hd__nand2_2)
3 0.01 _05985_ (net)
0.05 0.00 8.93 v _11641_/A (sky130_fd_sc_hd__buf_1)
0.08 0.14 9.07 v _11641_/X (sky130_fd_sc_hd__buf_1)
5 0.01 _06074_ (net)
0.08 0.00 9.07 v _11645_/A (sky130_fd_sc_hd__buf_1)
0.07 0.15 9.22 v _11645_/X (sky130_fd_sc_hd__buf_1)
5 0.01 _06078_ (net)
0.07 0.00 9.22 v _12372_/A (sky130_fd_sc_hd__or3_2)
0.08 0.49 9.71 v _12372_/X (sky130_fd_sc_hd__or3_2)
1 0.00 _06753_ (net)
0.08 0.00 9.72 v _12374_/A3 (sky130_fd_sc_hd__a31o_2)
0.04 0.26 9.98 v _12374_/X (sky130_fd_sc_hd__a31o_2)
1 0.00 _06755_ (net)
0.04 0.00 9.98 v _12375_/C1 (sky130_fd_sc_hd__o311a_2)
0.07 0.13 10.11 v _12375_/X (sky130_fd_sc_hd__o311a_2)
2 0.01 _06756_ (net)
0.07 0.01 10.12 v _12376_/B1 (sky130_fd_sc_hd__a21oi_2)
0.46 0.35 10.46 ^ _12376_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.03 io_ibus_addr[28] (net)
0.46 0.00 10.46 ^ io_ibus_addr[28] (out)
10.46 data arrival time
0.15 20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (ideal)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-10.46 data arrival time
-----------------------------------------------------------------------------
5.29 slack (MET)
Startpoint: _18779_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[12] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _18779_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.06 0.39 0.39 ^ _18779_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.01 _00005_ (net)
0.06 0.00 0.39 ^ _09408_/A (sky130_fd_sc_hd__buf_1)
0.23 0.22 0.61 ^ _09408_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03850_ (net)
0.23 0.00 0.62 ^ _09409_/A (sky130_fd_sc_hd__buf_1)
0.31 0.32 0.93 ^ _09409_/X (sky130_fd_sc_hd__buf_1)
5 0.03 _03851_ (net)
0.31 0.00 0.93 ^ _09410_/A (sky130_fd_sc_hd__buf_1)
0.29 0.31 1.24 ^ _09410_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03852_ (net)
0.29 0.00 1.24 ^ _09411_/A (sky130_fd_sc_hd__buf_1)
0.26 0.28 1.53 ^ _09411_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03853_ (net)
0.26 0.00 1.53 ^ _09412_/A (sky130_fd_sc_hd__buf_1)
0.23 0.26 1.79 ^ _09412_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03854_ (net)
0.23 0.00 1.80 ^ _09587_/A (sky130_fd_sc_hd__buf_1)
0.29 0.30 2.09 ^ _09587_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _04029_ (net)
0.29 0.00 2.09 ^ _10542_/A (sky130_fd_sc_hd__buf_1)
0.33 0.33 2.43 ^ _10542_/X (sky130_fd_sc_hd__buf_1)
5 0.03 _04984_ (net)
0.33 0.00 2.43 ^ _10763_/S (sky130_fd_sc_hd__mux2_2)
0.05 0.39 2.82 v _10763_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _05205_ (net)
0.05 0.00 2.83 v _10766_/A2 (sky130_fd_sc_hd__a21o_2)
0.03 0.21 3.04 v _10766_/X (sky130_fd_sc_hd__a21o_2)
1 0.00 _05208_ (net)
0.03 0.00 3.04 v _10771_/A3 (sky130_fd_sc_hd__a311o_2)
0.05 0.38 3.43 v _10771_/X (sky130_fd_sc_hd__a311o_2)
1 0.00 _05213_ (net)
0.05 0.00 3.43 v _10772_/A3 (sky130_fd_sc_hd__a32o_2)
0.06 0.30 3.73 v _10772_/X (sky130_fd_sc_hd__a32o_2)
4 0.01 _05214_ (net)
0.06 0.00 3.73 v _10797_/A (sky130_fd_sc_hd__or2_2)
0.09 0.36 4.09 v _10797_/X (sky130_fd_sc_hd__or2_2)
4 0.01 _05239_ (net)
0.09 0.00 4.09 v _11296_/A2 (sky130_fd_sc_hd__a311o_2)
0.07 0.42 4.51 v _11296_/X (sky130_fd_sc_hd__a311o_2)
3 0.01 _05738_ (net)
0.07 0.00 4.51 v _11346_/A3 (sky130_fd_sc_hd__a41o_2)
0.06 0.32 4.83 v _11346_/X (sky130_fd_sc_hd__a41o_2)
3 0.01 _05788_ (net)
0.06 0.00 4.83 v _11348_/A2 (sky130_fd_sc_hd__a211o_2)
0.07 0.38 5.22 v _11348_/X (sky130_fd_sc_hd__a211o_2)
4 0.01 _05790_ (net)
0.07 0.00 5.22 v _11350_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 5.67 v _11350_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05792_ (net)
0.08 0.00 5.67 v _11353_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 6.12 v _11353_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05795_ (net)
0.08 0.00 6.12 v _11356_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 6.57 v _11356_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05798_ (net)
0.08 0.00 6.58 v _11359_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.46 7.03 v _11359_/X (sky130_fd_sc_hd__a311o_2)
5 0.01 _05801_ (net)
0.08 0.00 7.03 v _11429_/A3 (sky130_fd_sc_hd__a32o_2)
0.04 0.28 7.32 v _11429_/X (sky130_fd_sc_hd__a32o_2)
1 0.00 _05871_ (net)
0.04 0.00 7.32 v _11478_/A2 (sky130_fd_sc_hd__a221o_2)
0.05 0.39 7.71 v _11478_/X (sky130_fd_sc_hd__a221o_2)
1 0.00 _05920_ (net)
0.05 0.00 7.71 v _11480_/C1 (sky130_fd_sc_hd__a2111o_2)
0.06 0.41 8.12 v _11480_/X (sky130_fd_sc_hd__a2111o_2)
1 0.00 _05922_ (net)
0.06 0.00 8.13 v _11481_/C1 (sky130_fd_sc_hd__a221o_2)
0.06 0.32 8.44 v _11481_/X (sky130_fd_sc_hd__a221o_2)
2 0.00 _05923_ (net)
0.06 0.00 8.45 v _11494_/A2 (sky130_fd_sc_hd__o211a_2)
0.04 0.24 8.69 v _11494_/X (sky130_fd_sc_hd__o211a_2)
1 0.00 _05936_ (net)
0.04 0.00 8.69 v _11495_/C (sky130_fd_sc_hd__and3_2)
0.07 0.25 8.94 v _11495_/X (sky130_fd_sc_hd__and3_2)
5 0.02 _05937_ (net)
0.07 0.01 8.95 v _11548_/A (sky130_fd_sc_hd__nand2_2)
0.06 0.08 9.02 ^ _11548_/Y (sky130_fd_sc_hd__nand2_2)
3 0.01 _05985_ (net)
0.06 0.00 9.02 ^ _11641_/A (sky130_fd_sc_hd__buf_1)
0.18 0.18 9.21 ^ _11641_/X (sky130_fd_sc_hd__buf_1)
5 0.01 _06074_ (net)
0.18 0.00 9.21 ^ _11642_/A (sky130_fd_sc_hd__buf_1)
0.21 0.23 9.44 ^ _11642_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _06075_ (net)
0.21 0.00 9.45 ^ _11643_/A (sky130_fd_sc_hd__buf_1)
0.24 0.26 9.71 ^ _11643_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _06076_ (net)
0.24 0.01 9.71 ^ _11968_/A (sky130_fd_sc_hd__nand2_2)
0.05 0.06 9.78 v _11968_/Y (sky130_fd_sc_hd__nand2_2)
1 0.00 _06381_ (net)
0.05 0.00 9.78 v _11973_/A2 (sky130_fd_sc_hd__a31o_2)
0.03 0.23 10.01 v _11973_/X (sky130_fd_sc_hd__a31o_2)
1 0.00 _06386_ (net)
0.03 0.00 10.02 v _11974_/C_N (sky130_fd_sc_hd__or3b_2)
0.05 0.20 10.21 ^ _11974_/X (sky130_fd_sc_hd__or3b_2)
2 0.01 _06387_ (net)
0.05 0.00 10.22 ^ _11975_/B1 (sky130_fd_sc_hd__o21a_2)
0.21 0.23 10.44 ^ _11975_/X (sky130_fd_sc_hd__o21a_2)
1 0.03 io_ibus_addr[12] (net)
0.21 0.00 10.45 ^ io_ibus_addr[12] (out)
10.45 data arrival time
0.15 20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (ideal)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-10.45 data arrival time
-----------------------------------------------------------------------------
5.30 slack (MET)
Startpoint: _18779_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[16] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _18779_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.06 0.39 0.39 ^ _18779_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.01 _00005_ (net)
0.06 0.00 0.39 ^ _09408_/A (sky130_fd_sc_hd__buf_1)
0.23 0.22 0.61 ^ _09408_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03850_ (net)
0.23 0.00 0.62 ^ _09409_/A (sky130_fd_sc_hd__buf_1)
0.31 0.32 0.93 ^ _09409_/X (sky130_fd_sc_hd__buf_1)
5 0.03 _03851_ (net)
0.31 0.00 0.93 ^ _09410_/A (sky130_fd_sc_hd__buf_1)
0.29 0.31 1.24 ^ _09410_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03852_ (net)
0.29 0.00 1.24 ^ _09411_/A (sky130_fd_sc_hd__buf_1)
0.26 0.28 1.53 ^ _09411_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03853_ (net)
0.26 0.00 1.53 ^ _09412_/A (sky130_fd_sc_hd__buf_1)
0.23 0.26 1.79 ^ _09412_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03854_ (net)
0.23 0.00 1.80 ^ _09587_/A (sky130_fd_sc_hd__buf_1)
0.29 0.30 2.09 ^ _09587_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _04029_ (net)
0.29 0.00 2.09 ^ _10542_/A (sky130_fd_sc_hd__buf_1)
0.33 0.33 2.43 ^ _10542_/X (sky130_fd_sc_hd__buf_1)
5 0.03 _04984_ (net)
0.33 0.00 2.43 ^ _10763_/S (sky130_fd_sc_hd__mux2_2)
0.05 0.39 2.82 v _10763_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _05205_ (net)
0.05 0.00 2.83 v _10766_/A2 (sky130_fd_sc_hd__a21o_2)
0.03 0.21 3.04 v _10766_/X (sky130_fd_sc_hd__a21o_2)
1 0.00 _05208_ (net)
0.03 0.00 3.04 v _10771_/A3 (sky130_fd_sc_hd__a311o_2)
0.05 0.38 3.43 v _10771_/X (sky130_fd_sc_hd__a311o_2)
1 0.00 _05213_ (net)
0.05 0.00 3.43 v _10772_/A3 (sky130_fd_sc_hd__a32o_2)
0.06 0.30 3.73 v _10772_/X (sky130_fd_sc_hd__a32o_2)
4 0.01 _05214_ (net)
0.06 0.00 3.73 v _10797_/A (sky130_fd_sc_hd__or2_2)
0.09 0.36 4.09 v _10797_/X (sky130_fd_sc_hd__or2_2)
4 0.01 _05239_ (net)
0.09 0.00 4.09 v _11296_/A2 (sky130_fd_sc_hd__a311o_2)
0.07 0.42 4.51 v _11296_/X (sky130_fd_sc_hd__a311o_2)
3 0.01 _05738_ (net)
0.07 0.00 4.51 v _11346_/A3 (sky130_fd_sc_hd__a41o_2)
0.06 0.32 4.83 v _11346_/X (sky130_fd_sc_hd__a41o_2)
3 0.01 _05788_ (net)
0.06 0.00 4.83 v _11348_/A2 (sky130_fd_sc_hd__a211o_2)
0.07 0.38 5.22 v _11348_/X (sky130_fd_sc_hd__a211o_2)
4 0.01 _05790_ (net)
0.07 0.00 5.22 v _11350_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 5.67 v _11350_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05792_ (net)
0.08 0.00 5.67 v _11353_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 6.12 v _11353_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05795_ (net)
0.08 0.00 6.12 v _11356_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 6.57 v _11356_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05798_ (net)
0.08 0.00 6.58 v _11359_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.46 7.03 v _11359_/X (sky130_fd_sc_hd__a311o_2)
5 0.01 _05801_ (net)
0.08 0.00 7.03 v _11429_/A3 (sky130_fd_sc_hd__a32o_2)
0.04 0.28 7.32 v _11429_/X (sky130_fd_sc_hd__a32o_2)
1 0.00 _05871_ (net)
0.04 0.00 7.32 v _11478_/A2 (sky130_fd_sc_hd__a221o_2)
0.05 0.39 7.71 v _11478_/X (sky130_fd_sc_hd__a221o_2)
1 0.00 _05920_ (net)
0.05 0.00 7.71 v _11480_/C1 (sky130_fd_sc_hd__a2111o_2)
0.06 0.41 8.12 v _11480_/X (sky130_fd_sc_hd__a2111o_2)
1 0.00 _05922_ (net)
0.06 0.00 8.13 v _11481_/C1 (sky130_fd_sc_hd__a221o_2)
0.06 0.32 8.44 v _11481_/X (sky130_fd_sc_hd__a221o_2)
2 0.00 _05923_ (net)
0.06 0.00 8.45 v _11482_/C_N (sky130_fd_sc_hd__or3b_2)
0.03 0.19 8.64 ^ _11482_/X (sky130_fd_sc_hd__or3b_2)
1 0.00 _05924_ (net)
0.03 0.00 8.64 ^ _11495_/A (sky130_fd_sc_hd__and3_2)
0.10 0.21 8.85 ^ _11495_/X (sky130_fd_sc_hd__and3_2)
5 0.02 _05937_ (net)
0.10 0.01 8.86 ^ _11548_/A (sky130_fd_sc_hd__nand2_2)
0.05 0.07 8.93 v _11548_/Y (sky130_fd_sc_hd__nand2_2)
3 0.01 _05985_ (net)
0.05 0.00 8.93 v _11641_/A (sky130_fd_sc_hd__buf_1)
0.08 0.14 9.07 v _11641_/X (sky130_fd_sc_hd__buf_1)
5 0.01 _06074_ (net)
0.08 0.00 9.07 v _11645_/A (sky130_fd_sc_hd__buf_1)
0.07 0.15 9.22 v _11645_/X (sky130_fd_sc_hd__buf_1)
5 0.01 _06078_ (net)
0.07 0.00 9.22 v _12064_/A (sky130_fd_sc_hd__buf_1)
0.08 0.15 9.37 v _12064_/X (sky130_fd_sc_hd__buf_1)
5 0.01 _06469_ (net)
0.08 0.00 9.37 v _12067_/A (sky130_fd_sc_hd__or3_2)
0.08 0.51 9.88 v _12067_/X (sky130_fd_sc_hd__or3_2)
1 0.00 _06472_ (net)
0.09 0.01 9.89 v _12073_/A3 (sky130_fd_sc_hd__a31oi_2)
0.15 0.21 10.11 ^ _12073_/Y (sky130_fd_sc_hd__a31oi_2)
2 0.01 _06478_ (net)
0.15 0.00 10.11 ^ _12080_/B1 (sky130_fd_sc_hd__o22a_2)
0.21 0.27 10.38 ^ _12080_/X (sky130_fd_sc_hd__o22a_2)
1 0.03 io_ibus_addr[16] (net)
0.21 0.00 10.38 ^ io_ibus_addr[16] (out)
10.38 data arrival time
0.15 20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (ideal)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-10.38 data arrival time
-----------------------------------------------------------------------------
5.37 slack (MET)
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _18779_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[29] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _18779_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.06 0.39 0.39 ^ _18779_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.01 _00005_ (net)
0.06 0.00 0.39 ^ _09408_/A (sky130_fd_sc_hd__buf_1)
0.23 0.22 0.61 ^ _09408_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03850_ (net)
0.23 0.00 0.62 ^ _09409_/A (sky130_fd_sc_hd__buf_1)
0.31 0.32 0.93 ^ _09409_/X (sky130_fd_sc_hd__buf_1)
5 0.03 _03851_ (net)
0.31 0.00 0.93 ^ _09410_/A (sky130_fd_sc_hd__buf_1)
0.29 0.31 1.24 ^ _09410_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03852_ (net)
0.29 0.00 1.24 ^ _09411_/A (sky130_fd_sc_hd__buf_1)
0.26 0.28 1.53 ^ _09411_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03853_ (net)
0.26 0.00 1.53 ^ _09412_/A (sky130_fd_sc_hd__buf_1)
0.23 0.26 1.79 ^ _09412_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _03854_ (net)
0.23 0.00 1.80 ^ _09587_/A (sky130_fd_sc_hd__buf_1)
0.29 0.30 2.09 ^ _09587_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _04029_ (net)
0.29 0.00 2.09 ^ _10542_/A (sky130_fd_sc_hd__buf_1)
0.33 0.33 2.43 ^ _10542_/X (sky130_fd_sc_hd__buf_1)
5 0.03 _04984_ (net)
0.33 0.00 2.43 ^ _10763_/S (sky130_fd_sc_hd__mux2_2)
0.05 0.39 2.82 v _10763_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _05205_ (net)
0.05 0.00 2.83 v _10766_/A2 (sky130_fd_sc_hd__a21o_2)
0.03 0.21 3.04 v _10766_/X (sky130_fd_sc_hd__a21o_2)
1 0.00 _05208_ (net)
0.03 0.00 3.04 v _10771_/A3 (sky130_fd_sc_hd__a311o_2)
0.05 0.38 3.43 v _10771_/X (sky130_fd_sc_hd__a311o_2)
1 0.00 _05213_ (net)
0.05 0.00 3.43 v _10772_/A3 (sky130_fd_sc_hd__a32o_2)
0.06 0.30 3.73 v _10772_/X (sky130_fd_sc_hd__a32o_2)
4 0.01 _05214_ (net)
0.06 0.00 3.73 v _10797_/A (sky130_fd_sc_hd__or2_2)
0.09 0.36 4.09 v _10797_/X (sky130_fd_sc_hd__or2_2)
4 0.01 _05239_ (net)
0.09 0.00 4.09 v _11296_/A2 (sky130_fd_sc_hd__a311o_2)
0.07 0.42 4.51 v _11296_/X (sky130_fd_sc_hd__a311o_2)
3 0.01 _05738_ (net)
0.07 0.00 4.51 v _11346_/A3 (sky130_fd_sc_hd__a41o_2)
0.06 0.32 4.83 v _11346_/X (sky130_fd_sc_hd__a41o_2)
3 0.01 _05788_ (net)
0.06 0.00 4.83 v _11348_/A2 (sky130_fd_sc_hd__a211o_2)
0.07 0.38 5.22 v _11348_/X (sky130_fd_sc_hd__a211o_2)
4 0.01 _05790_ (net)
0.07 0.00 5.22 v _11350_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 5.67 v _11350_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05792_ (net)
0.08 0.00 5.67 v _11353_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 6.12 v _11353_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05795_ (net)
0.08 0.00 6.12 v _11356_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.45 6.57 v _11356_/X (sky130_fd_sc_hd__a311o_2)
4 0.01 _05798_ (net)
0.08 0.00 6.58 v _11359_/A3 (sky130_fd_sc_hd__a311o_2)
0.08 0.46 7.03 v _11359_/X (sky130_fd_sc_hd__a311o_2)
5 0.01 _05801_ (net)
0.08 0.00 7.03 v _11429_/A3 (sky130_fd_sc_hd__a32o_2)
0.04 0.28 7.32 v _11429_/X (sky130_fd_sc_hd__a32o_2)
1 0.00 _05871_ (net)
0.04 0.00 7.32 v _11478_/A2 (sky130_fd_sc_hd__a221o_2)
0.05 0.39 7.71 v _11478_/X (sky130_fd_sc_hd__a221o_2)
1 0.00 _05920_ (net)
0.05 0.00 7.71 v _11480_/C1 (sky130_fd_sc_hd__a2111o_2)
0.06 0.41 8.12 v _11480_/X (sky130_fd_sc_hd__a2111o_2)
1 0.00 _05922_ (net)
0.06 0.00 8.13 v _11481_/C1 (sky130_fd_sc_hd__a221o_2)
0.06 0.32 8.44 v _11481_/X (sky130_fd_sc_hd__a221o_2)
2 0.00 _05923_ (net)
0.06 0.00 8.45 v _11494_/A2 (sky130_fd_sc_hd__o211a_2)
0.04 0.24 8.69 v _11494_/X (sky130_fd_sc_hd__o211a_2)
1 0.00 _05936_ (net)
0.04 0.00 8.69 v _11495_/C (sky130_fd_sc_hd__and3_2)
0.07 0.25 8.94 v _11495_/X (sky130_fd_sc_hd__and3_2)
5 0.02 _05937_ (net)
0.07 0.01 8.95 v _11548_/A (sky130_fd_sc_hd__nand2_2)
0.06 0.08 9.02 ^ _11548_/Y (sky130_fd_sc_hd__nand2_2)
3 0.01 _05985_ (net)
0.06 0.00 9.02 ^ _11641_/A (sky130_fd_sc_hd__buf_1)
0.18 0.18 9.21 ^ _11641_/X (sky130_fd_sc_hd__buf_1)
5 0.01 _06074_ (net)
0.18 0.00 9.21 ^ _11642_/A (sky130_fd_sc_hd__buf_1)
0.21 0.23 9.44 ^ _11642_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _06075_ (net)
0.21 0.00 9.45 ^ _11643_/A (sky130_fd_sc_hd__buf_1)
0.24 0.26 9.71 ^ _11643_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _06076_ (net)
0.24 0.00 9.71 ^ _12392_/S (sky130_fd_sc_hd__mux2_2)
0.05 0.38 10.09 v _12392_/X (sky130_fd_sc_hd__mux2_2)
1 0.00 _06771_ (net)
0.05 0.00 10.09 v _12393_/B2 (sky130_fd_sc_hd__o221a_2)
0.05 0.24 10.34 v _12393_/X (sky130_fd_sc_hd__o221a_2)
2 0.01 _06772_ (net)
0.05 0.00 10.34 v _12397_/B1 (sky130_fd_sc_hd__o22a_2)
0.15 0.29 10.63 v _12397_/X (sky130_fd_sc_hd__o22a_2)
1 0.03 io_ibus_addr[29] (net)
0.15 0.00 10.63 v io_ibus_addr[29] (out)
10.63 data arrival time
0.15 20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (ideal)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-10.63 data arrival time
-----------------------------------------------------------------------------
5.12 slack (MET)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
===========================================================================
max slew violation count 0
max fanout violation count 0
max cap violation count 0
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack 5.12
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack 0.09
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock clock
Latency CRPR Skew
_18250_/CLK ^
10.34
_18641_/CLK ^
9.35 0.00 0.98
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 3.56e-03 1.45e-04 1.37e-08 3.71e-03 60.0%
Combinational 1.41e-03 1.06e-03 2.52e-08 2.47e-03 40.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 4.97e-03 1.21e-03 3.88e-08 6.18e-03 100.0%
80.4% 19.6% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 108311 u^2 100% utilization.
area_report_end