Update
diff --git a/verilog/dv/BrqRV_EB1/BrqRV_EB1_tb.v b/verilog/dv/BrqRV_EB1/BrqRV_EB1_tb.v
index 0935fd8..a057aa2 100644
--- a/verilog/dv/BrqRV_EB1/BrqRV_EB1_tb.v
+++ b/verilog/dv/BrqRV_EB1/BrqRV_EB1_tb.v
@@ -63,7 +63,7 @@
 	initial begin
 	    wait(mprj_ready == 1'b1)
 	    // Observe Output pins [35:8] for factorial
-	    /*wait(mprj_io_0 == 28'h0000001);
+	    wait(mprj_io_0 == 28'h0000001);
 	    wait(mprj_io_0 == 28'h0000002);
 	    wait(mprj_io_0 == 28'h0000006);
     	    wait(mprj_io_0 == 28'h0000018);
@@ -73,7 +73,7 @@
             wait(mprj_io_0 == 28'h0009D80);
 	    wait(mprj_io_0 == 28'h0058980);
             wait(mprj_io_0 == 28'h0375F00);
-            */
+            
             // Observe Output pins [35:8] for prime_num
 	    /*wait(mprj_io_0 == 28'd1);
 	    wait(mprj_io_0 == 28'd3);
@@ -108,7 +108,7 @@
             //wait(mprj_io_0 == 28'd5);
             
             // Observe Output pins [35:8] for counter / ascending / reverse
-            wait(mprj_io_0 == 28'd0);
+            /*wait(mprj_io_0 == 28'd0);
             wait(mprj_io_0 == 28'd1);
             wait(mprj_io_0 == 28'd2);
             wait(mprj_io_0 == 28'd3);
@@ -120,7 +120,7 @@
             wait(mprj_io_0 == 28'd9);
             wait(mprj_io_0 == 28'd10);
             wait(mprj_io_0 == 28'd11);
-            
+            */
             //wait(mprj_io_0 == 28'd3);
             //wait(mprj_io_0 == 28'd2);
             //wait(mprj_io_0 == 28'd1);
diff --git a/verilog/dv/hex/uart.hex b/verilog/dv/hex/uart.hex
index 982100e..6a24372 100755
--- a/verilog/dv/hex/uart.hex
+++ b/verilog/dv/hex/uart.hex
@@ -1,6 +1,9 @@
 @00000000

-B0 20 10 73 B8 20 10 73 10 73 42 11 00 01 7F 92

-42 81 44 2D F0 04 03 37 D0 58 03 B7 00 53 20 23

-00 53 A0 23 03 11 03 91 00 82 84 63 B7 FD 02 85

-D0 58 01 B7 0F F0 02 93 00 51 80 23 FE 00 0A E3

-00 01 00 01 00 00 0F FF 

+B0 20 10 73 B8 20 10 73 90 73 40 91 04 37 7F 90

+01 B7 F0 04 46 85 D0 58 00 A0 04 93 00 00 03 13

+00 10 05 93 00 10 06 13 02 B3 00 01 20 23 00 60

+03 05 00 54 9A E3 04 11 04 37 FE 64 A8 29 F0 04

+04 11 C0 0C 00 B1 A0 23 8D 63 01 91 06 85 00 96

+00 10 05 93 00 10 06 13 02 C5 85 B3 FE D6 02 E3

+BF DD 06 05 D0 58 01 B7 0F F0 02 93 00 51 80 23

+FE 00 0A E3 00 01 00 01 00 00 0F FF 

diff --git a/verilog/gl/user_proj_example.v b/verilog/gl/user_proj_example.v
index 688d13e..4f16ed8 100644
--- a/verilog/gl/user_proj_example.v
+++ b/verilog/gl/user_proj_example.v
@@ -2,9 +2,9 @@
 ###############################################################
 #  Generated by:      Cadence Innovus 20.10-p004_1
 #  OS:                Linux x86_64(Host ID merl-HP-Z840)
-#  Generated on:      Thu Jun 17 14:19:47 2021
+#  Generated on:      Fri Jun 18 18:57:11 2021
 #  Design:            user_proj_example
-#  Command:           saveNetlist -excludeLeafCell -includePowerGround -flat -exportTopPGNets user_proj_example.v
+#  Command:           saveNetlist -excludeLeafCell -includePowerGround -flat -exportTopPGNets powered_netlist.v
 ###############################################################
 */
 // Generated by Cadence Genus(TM) Synthesis Solution 20.11-s111_1
@@ -30,8 +30,8 @@
 	analog_io, 
 	user_clock2, 
 	user_irq, 
-	vccd1, 
-	vssd1);
+	vssd1, 
+	vccd1);
    input wb_clk_i;
    input wb_rst_i;
    input wbs_stb_i;
@@ -51,10 +51,41 @@
    inout [28:0] analog_io;
    input user_clock2;
    output [2:0] user_irq;
-   inout vccd1;
    inout vssd1;
+   inout vccd1;
 
    // Internal wires
+   wire CTS_23;
+   wire CTS_22;
+   wire CTS_21;
+   wire CTS_20;
+   wire CTS_19;
+   wire CTS_18;
+   wire CTS_17;
+   wire CTS_16;
+   wire CTS_15;
+   wire CTS_14;
+   wire CTS_13;
+   wire CTS_12;
+   wire CTS_11;
+   wire CTS_10;
+   wire CTS_9;
+   wire CTS_8;
+   wire CTS_7;
+   wire CTS_6;
+   wire CTS_5;
+   wire CTS_4;
+   wire CTS_3;
+   wire CTS_2;
+   wire CTS_1;
+   wire FE_DBTN7_brqrv_top_brqrv_trigger_pkt_any_117;
+   wire FE_DBTN6_brqrv_top_brqrv_trigger_pkt_any_79;
+   wire FE_DBTN5_brqrv_top_brqrv_trigger_pkt_any_41;
+   wire FE_DBTN4_brqrv_top_brqrv_trigger_pkt_any_3;
+   wire FE_DBTN3_n_30261;
+   wire FE_DBTN2_n_30258;
+   wire FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en;
+   wire FE_DBTN0_brqrv_top_core_rst;
    wire [155:0] \brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank ;
    wire [35:4] \brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank ;
    wire [155:0] \brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout ;
@@ -717,7 +748,6 @@
    wire brqrv_top_brqrv_dbg_dmcontrol_dmactive_ff_n_2;
    wire brqrv_top_brqrv_dbg_dmcontrol_wren;
    wire brqrv_top_brqrv_dbg_dmcontrol_wren_Q;
-   wire brqrv_top_brqrv_dbg_dmcontrolff_n_0;
    wire brqrv_top_brqrv_dbg_dmcontrolff_n_4;
    wire brqrv_top_brqrv_dbg_dmcontrolff_n_5;
    wire brqrv_top_brqrv_dbg_dmcontrolff_n_6;
@@ -826,7 +856,6 @@
    wire brqrv_top_brqrv_dec_dec_tlu_i0_valid_r;
    wire brqrv_top_brqrv_dec_dec_tlu_pipelining_disable;
    wire brqrv_top_brqrv_dec_dec_tlu_wr_pause_r;
-   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_0 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_1 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_2 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_3 ;
@@ -931,7 +960,6 @@
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_102 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_103 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_104 ;
-   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_0 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_1 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_2 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_3 ;
@@ -1036,7 +1064,6 @@
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_102 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_103 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_104 ;
-   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_0 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_1 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_2 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_3 ;
@@ -1141,7 +1168,6 @@
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_102 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_103 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_104 ;
-   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_0 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_1 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_2 ;
    wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_3 ;
@@ -1332,7 +1358,6 @@
    wire brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_5;
    wire brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk;
    wire brqrv_top_brqrv_dec_decode_i0_wb_ctl_en;
-   wire brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_0;
    wire brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_3;
    wire brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_4;
    wire brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_5;
@@ -1590,7 +1615,6 @@
    wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_62 ;
    wire brqrv_top_brqrv_dec_tlu_halt_ff_en;
    wire brqrv_top_brqrv_dec_tlu_halt_ff_l1clk;
-   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_0;
    wire brqrv_top_brqrv_dec_tlu_halt_ff_n_1;
    wire brqrv_top_brqrv_dec_tlu_halt_ff_n_2;
    wire brqrv_top_brqrv_dec_tlu_halt_ff_n_3;
@@ -1866,7 +1890,6 @@
    wire brqrv_top_brqrv_dec_tlu_n_397;
    wire brqrv_top_brqrv_dec_tlu_n_398;
    wire brqrv_top_brqrv_dec_tlu_n_444;
-   wire brqrv_top_brqrv_dec_tlu_n_2928;
    wire brqrv_top_brqrv_dec_tlu_n_3270;
    wire brqrv_top_brqrv_dec_tlu_n_3271;
    wire brqrv_top_brqrv_dec_tlu_n_3272;
@@ -2507,7 +2530,6 @@
    wire brqrv_top_brqrv_dma_ctrl_wrbuf_data_vld;
    wire brqrv_top_brqrv_dma_ctrl_wrbuf_vld;
    wire brqrv_top_brqrv_dma_dbg_cmd_done;
-   wire brqrv_top_brqrv_dma_dccm_req;
    wire brqrv_top_brqrv_dma_iccm_req;
    wire brqrv_top_brqrv_dma_iccm_stall_any;
    wire brqrv_top_brqrv_dma_mem_write;
@@ -6894,7 +6916,6 @@
    wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk;
    wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_0;
    wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_1;
-   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_2;
    wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_3;
    wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_4;
    wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_5;
@@ -7126,7 +7147,6 @@
    wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7543;
    wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7547;
    wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk;
-   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_0 ;
    wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_3 ;
    wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_4 ;
    wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_5 ;
@@ -7309,7 +7329,6 @@
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_1 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_2 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_3 ;
-   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_4 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_5 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_6 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_7 ;
@@ -7422,7 +7441,6 @@
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_1 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_2 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_3 ;
-   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_4 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_5 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_6 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_7 ;
@@ -7535,7 +7553,6 @@
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_1 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_2 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_3 ;
-   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_4 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_5 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_6 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_7 ;
@@ -7648,7 +7665,6 @@
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_1 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_2 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_3 ;
-   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_4 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_5 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_6 ;
    wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_7 ;
@@ -9553,51 +9569,7 @@
    wire n_55;
    wire n_56;
    wire n_57;
-   wire n_58;
-   wire n_59;
-   wire n_60;
-   wire n_61;
-   wire n_62;
-   wire n_63;
-   wire n_64;
-   wire n_65;
-   wire n_66;
-   wire n_67;
-   wire n_68;
-   wire n_69;
-   wire n_70;
-   wire n_71;
-   wire n_72;
-   wire n_73;
-   wire n_74;
-   wire n_75;
-   wire n_76;
-   wire n_77;
-   wire n_78;
-   wire n_79;
-   wire n_80;
-   wire n_81;
-   wire n_82;
-   wire n_83;
-   wire n_84;
-   wire n_85;
-   wire n_86;
-   wire n_89;
-   wire n_90;
-   wire n_91;
-   wire n_92;
-   wire n_93;
-   wire n_94;
-   wire n_95;
-   wire n_96;
-   wire n_97;
-   wire n_98;
-   wire n_99;
-   wire n_100;
-   wire n_101;
-   wire n_102;
    wire n_109;
-   wire n_132;
    wire n_133;
    wire n_134;
    wire n_135;
@@ -9606,7 +9578,6 @@
    wire n_138;
    wire n_139;
    wire n_140;
-   wire n_141;
    wire n_142;
    wire n_143;
    wire n_144;
@@ -9652,10 +9623,7 @@
    wire n_187;
    wire n_188;
    wire n_189;
-   wire n_190;
-   wire n_191;
    wire n_192;
-   wire n_193;
    wire n_194;
    wire n_195;
    wire n_196;
@@ -9664,7 +9632,6 @@
    wire n_199;
    wire n_200;
    wire n_201;
-   wire n_202;
    wire n_203;
    wire n_204;
    wire n_205;
@@ -9679,7 +9646,6 @@
    wire n_214;
    wire n_215;
    wire n_216;
-   wire n_217;
    wire n_218;
    wire n_219;
    wire n_220;
@@ -9701,7 +9667,6 @@
    wire n_236;
    wire n_237;
    wire n_238;
-   wire n_239;
    wire n_240;
    wire n_241;
    wire n_242;
@@ -9715,7 +9680,6 @@
    wire n_250;
    wire n_251;
    wire n_252;
-   wire n_253;
    wire n_254;
    wire n_255;
    wire n_256;
@@ -9919,12 +9883,9 @@
    wire n_461;
    wire n_464;
    wire n_465;
-   wire n_466;
-   wire n_469;
    wire n_470;
    wire n_471;
    wire n_472;
-   wire n_475;
    wire n_477;
    wire n_478;
    wire n_479;
@@ -15802,7 +15763,6 @@
    wire n_6431;
    wire n_6432;
    wire n_6433;
-   wire n_6434;
    wire n_6435;
    wire n_6436;
    wire n_6437;
@@ -16101,7 +16061,6 @@
    wire n_6730;
    wire n_6731;
    wire n_6732;
-   wire n_6733;
    wire n_6734;
    wire n_6736;
    wire n_6737;
@@ -16412,7 +16371,6 @@
    wire n_7076;
    wire n_7077;
    wire n_7078;
-   wire n_7079;
    wire n_7080;
    wire n_7081;
    wire n_7082;
@@ -30199,7 +30157,6 @@
    wire n_21323;
    wire n_21326;
    wire n_21327;
-   wire n_21328;
    wire n_21330;
    wire n_21331;
    wire n_21332;
@@ -32790,10 +32747,6 @@
    wire n_24250;
    wire n_24251;
    wire n_24252;
-   wire n_24253;
-   wire n_24254;
-   wire n_24255;
-   wire n_24256;
    wire n_24257;
    wire n_24258;
    wire n_24259;
@@ -32898,7 +32851,6 @@
    wire n_24382;
    wire n_24383;
    wire n_24384;
-   wire n_24385;
    wire n_24386;
    wire n_24387;
    wire n_24388;
@@ -32991,7 +32943,6 @@
    wire n_24506;
    wire n_24507;
    wire n_24508;
-   wire n_24509;
    wire n_24510;
    wire n_24511;
    wire n_24512;
@@ -34646,7 +34597,6 @@
    wire n_26259;
    wire n_26260;
    wire n_26261;
-   wire n_26262;
    wire n_26265;
    wire n_26267;
    wire n_26269;
@@ -38241,13 +38191,11 @@
    wire n_30264;
    wire n_30267;
    wire n_30268;
-   wire n_30269;
    wire n_30270;
    wire n_30271;
    wire n_30272;
    wire n_30273;
    wire n_30274;
-   wire n_30275;
    wire n_30276;
    wire n_30277;
    wire n_30278;
@@ -38853,10 +38801,8 @@
    wire n_30886;
    wire n_30888;
    wire n_30892;
-   wire n_30893;
    wire n_30894;
    wire n_30897;
-   wire n_30901;
    wire n_30907;
    wire n_30908;
    wire n_30909;
@@ -39748,7 +39694,6 @@
    wire n_31902;
    wire n_31903;
    wire n_31904;
-   wire n_31905;
    wire n_31906;
    wire n_31907;
    wire n_31908;
@@ -39881,7 +39826,6 @@
    wire n_32042;
    wire n_32043;
    wire n_32044;
-   wire n_32046;
    wire n_32047;
    wire n_32048;
    wire n_32050;
@@ -41434,7 +41378,6 @@
    wire n_33760;
    wire n_33761;
    wire n_33762;
-   wire n_33763;
    wire n_33764;
    wire n_33765;
    wire n_33766;
@@ -42857,9 +42800,7 @@
    wire n_35282;
    wire n_35283;
    wire n_35344;
-   wire n_36398;
    wire n_37573_BAR;
-   wire n_37916;
    wire n_38816;
    wire n_38817;
    wire n_38818;
@@ -42942,76 +42883,6 @@
    wire n_38895;
    wire n_38897;
    wire n_38899;
-   wire n_38903;
-   wire n_38904;
-   wire n_38909;
-   wire n_38910;
-   wire n_38915;
-   wire n_38916;
-   wire n_38921;
-   wire n_38922;
-   wire n_38927;
-   wire n_38928;
-   wire n_38933;
-   wire n_38934;
-   wire n_38939;
-   wire n_38940;
-   wire n_38945;
-   wire n_38946;
-   wire n_39130;
-   wire n_39132;
-   wire n_39136;
-   wire n_39138;
-   wire n_39142;
-   wire n_39144;
-   wire n_39148;
-   wire n_39150;
-   wire n_39154;
-   wire n_39156;
-   wire n_39160;
-   wire n_39162;
-   wire n_39220;
-   wire n_39224;
-   wire n_39228;
-   wire n_39232;
-   wire n_39236;
-   wire n_39240;
-   wire n_39244;
-   wire n_39248;
-   wire n_39252;
-   wire n_39256;
-   wire n_39260;
-   wire n_39264;
-   wire n_39268;
-   wire n_39272;
-   wire n_39276;
-   wire n_39280;
-   wire n_39284;
-   wire n_39288;
-   wire n_39292;
-   wire n_39296;
-   wire n_39300;
-   wire n_39304;
-   wire n_39312;
-   wire n_39378;
-   wire n_39382;
-   wire n_39386;
-   wire n_39390;
-   wire n_39394;
-   wire n_39398;
-   wire n_39402;
-   wire n_39406;
-   wire n_39410;
-   wire n_39414;
-   wire n_39418;
-   wire n_39422;
-   wire n_39426;
-   wire n_39430;
-   wire n_39434;
-   wire n_39438;
-   wire n_39442;
-   wire n_39450;
-   wire n_39454;
    wire n_39475;
    wire n_39476;
    wire n_39477;
@@ -43642,7 +43513,280 @@
    wire rst;
    wire rx_i;
 
+   assign la_data_out[27] = io_out[35];
+   assign la_data_out[26] = io_out[34];
+   assign la_data_out[25] = io_out[33];
+   assign la_data_out[24] = io_out[32];
+   assign la_data_out[23] = io_out[31];
+   assign la_data_out[22] = io_out[30];
+   assign la_data_out[21] = io_out[29];
+   assign la_data_out[20] = io_out[28];
+   assign la_data_out[19] = io_out[27];
+   assign la_data_out[18] = io_out[26];
+   assign la_data_out[17] = io_out[25];
+   assign la_data_out[16] = io_out[24];
+   assign la_data_out[15] = io_out[23];
+   assign la_data_out[14] = io_out[22];
+   assign la_data_out[13] = io_out[21];
+   assign la_data_out[12] = io_out[20];
+   assign la_data_out[11] = io_out[19];
+   assign la_data_out[10] = io_out[18];
+   assign la_data_out[9] = io_out[17];
+   assign la_data_out[8] = io_out[16];
+   assign la_data_out[7] = io_out[15];
+   assign la_data_out[6] = io_out[14];
+   assign la_data_out[5] = io_out[13];
+   assign la_data_out[4] = io_out[12];
+   assign la_data_out[3] = io_out[11];
+   assign la_data_out[2] = io_out[10];
+   assign la_data_out[1] = io_out[9];
+   assign la_data_out[0] = io_out[8];
+   assign io_oeb[35] = io_oeb[10];
+   assign io_oeb[34] = io_oeb[10];
+   assign io_oeb[33] = io_oeb[10];
+   assign io_oeb[32] = io_oeb[10];
+   assign io_oeb[31] = io_oeb[10];
+   assign io_oeb[30] = io_oeb[10];
+   assign io_oeb[29] = io_oeb[10];
+   assign io_oeb[28] = io_oeb[10];
+   assign io_oeb[27] = io_oeb[10];
+   assign io_oeb[26] = io_oeb[10];
+   assign io_oeb[25] = io_oeb[10];
+   assign io_oeb[24] = io_oeb[10];
+   assign io_oeb[23] = io_oeb[10];
+   assign io_oeb[22] = io_oeb[10];
+   assign io_oeb[21] = io_oeb[10];
+   assign io_oeb[20] = io_oeb[10];
+   assign io_oeb[19] = io_oeb[10];
+   assign io_oeb[18] = io_oeb[10];
+   assign io_oeb[17] = io_oeb[10];
+   assign io_oeb[16] = io_oeb[10];
+   assign io_oeb[15] = io_oeb[10];
+   assign io_oeb[14] = io_oeb[10];
+   assign io_oeb[13] = io_oeb[10];
+   assign io_oeb[12] = io_oeb[10];
+   assign io_oeb[11] = io_oeb[10];
+   assign io_oeb[9] = io_oeb[10];
+   assign io_oeb[8] = io_oeb[10];
+
    // Module instantiations
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_15 (
+	.A(CTS_6),
+	.X(CTS_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_11 (
+	.A(CTS_6),
+	.X(CTS_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_14 (
+	.A(CTS_6),
+	.X(CTS_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_10 (
+	.A(CTS_6),
+	.X(CTS_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00019 (
+	.A(CTS_7),
+	.X(CTS_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_7 (
+	.A(CTS_5),
+	.X(CTS_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_3 (
+	.A(CTS_5),
+	.X(CTS_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_6 (
+	.A(CTS_5),
+	.X(CTS_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_2 (
+	.A(CTS_5),
+	.X(CTS_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00014 (
+	.A(CTS_7),
+	.X(CTS_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00013 (
+	.A(CTS_8),
+	.X(CTS_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_13 (
+	.A(CTS_3),
+	.X(CTS_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_9 (
+	.A(CTS_3),
+	.X(CTS_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_12 (
+	.A(CTS_3),
+	.X(CTS_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_8 (
+	.A(CTS_3),
+	.X(CTS_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00008 (
+	.A(CTS_4),
+	.X(CTS_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_5 (
+	.A(CTS_2),
+	.X(CTS_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_1 (
+	.A(CTS_2),
+	.X(CTS_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_4 (
+	.A(CTS_2),
+	.X(CTS_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_0 (
+	.A(CTS_2),
+	.X(CTS_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00003 (
+	.A(CTS_4),
+	.X(CTS_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00002 (
+	.A(CTS_8),
+	.X(CTS_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00001 (
+	.A(wb_clk_i),
+	.X(CTS_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC7_brqrv_top_brqrv_trigger_pkt_any_117 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.Y(FE_DBTN7_brqrv_top_brqrv_trigger_pkt_any_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC6_brqrv_top_brqrv_trigger_pkt_any_79 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.Y(FE_DBTN6_brqrv_top_brqrv_trigger_pkt_any_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC5_brqrv_top_brqrv_trigger_pkt_any_41 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.Y(FE_DBTN5_brqrv_top_brqrv_trigger_pkt_any_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC4_brqrv_top_brqrv_trigger_pkt_any_3 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.Y(FE_DBTN4_brqrv_top_brqrv_trigger_pkt_any_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC3_n_30261 (
+	.A(n_30261),
+	.Y(FE_DBTN3_n_30261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC2_n_30258 (
+	.A(n_30258),
+	.Y(FE_DBTN2_n_30258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC0_brqrv_top_core_rst (
+	.A(brqrv_top_core_rst),
+	.Y(FE_DBTN0_brqrv_top_core_rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_Gen_dccm_enable.dccm_mem_bank[0].dccm.sram  (
 	.wmask0({ n_39980,
 		n_39981,
@@ -43817,14 +43961,14 @@
 		logic_0_14_net,
 		logic_0_15_net,
 		logic_0_16_net }),
-	.addr0({ n_39276,
-		n_39248,
-		n_39252,
-		n_39256,
-		n_39260,
-		n_39268,
-		n_39272,
-		n_39264 }), 
+	.addr0({ \brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [19],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [18],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [17],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [16],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [15],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [14],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [13],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [12] }), 
 	.vssd1(vssd1), 
 	.vccd1(vccd1));
    sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_Gen_dccm_enable.dccm_mem_bank[2].dccm.sram  (
@@ -44001,14 +44145,14 @@
 		logic_0_30_net,
 		logic_0_31_net,
 		logic_0_32_net }),
-	.addr0({ n_39284,
-		n_39292,
-		n_39312,
-		n_39300,
-		n_39296,
-		n_39288,
-		n_39280,
-		n_39304 }), 
+	.addr0({ \brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [35],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [34],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [33],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [32],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [31],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [30],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [29],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [28] }), 
 	.vssd1(vssd1), 
 	.vccd1(vccd1));
    sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_iccm.iccm_mem_bank[0].iccm.sram  (
@@ -44093,14 +44237,14 @@
 		logic_0_38_net,
 		logic_0_39_net,
 		logic_0_40_net }),
-	.addr0({ n_39442,
-		n_39244,
-		n_39220,
-		n_39228,
-		n_39224,
-		n_39232,
-		n_39236,
-		n_39240 }), 
+	.addr0({ \brqrv_top_mem_iccm.iccm_addr_bank [11],
+		\brqrv_top_mem_iccm.iccm_addr_bank [10],
+		\brqrv_top_mem_iccm.iccm_addr_bank [9],
+		\brqrv_top_mem_iccm.iccm_addr_bank [8],
+		\brqrv_top_mem_iccm.iccm_addr_bank [7],
+		\brqrv_top_mem_iccm.iccm_addr_bank [6],
+		\brqrv_top_mem_iccm.iccm_addr_bank [5],
+		\brqrv_top_mem_iccm.iccm_addr_bank [4] }), 
 	.vssd1(vssd1), 
 	.vccd1(vccd1));
    sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_iccm.iccm_mem_bank[1].iccm.sram  (
@@ -44185,14 +44329,14 @@
 		logic_0_46_net,
 		logic_0_47_net,
 		logic_0_48_net }),
-	.addr0({ n_39406,
-		n_39410,
-		n_39426,
-		n_39402,
-		n_39386,
-		n_39434,
-		n_39382,
-		n_39394 }), 
+	.addr0({ \brqrv_top_mem_iccm.iccm_addr_bank [19],
+		\brqrv_top_mem_iccm.iccm_addr_bank [18],
+		\brqrv_top_mem_iccm.iccm_addr_bank [17],
+		\brqrv_top_mem_iccm.iccm_addr_bank [16],
+		\brqrv_top_mem_iccm.iccm_addr_bank [15],
+		\brqrv_top_mem_iccm.iccm_addr_bank [14],
+		\brqrv_top_mem_iccm.iccm_addr_bank [13],
+		\brqrv_top_mem_iccm.iccm_addr_bank [12] }), 
 	.vssd1(vssd1), 
 	.vccd1(vccd1));
    sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_iccm.iccm_mem_bank[2].iccm.sram  (
@@ -44277,14 +44421,14 @@
 		logic_0_54_net,
 		logic_0_55_net,
 		logic_0_56_net }),
-	.addr0({ n_39450,
-		n_39454,
-		n_39154,
-		n_39160,
-		n_39130,
-		n_39148,
-		n_39142,
-		n_39136 }), 
+	.addr0({ \brqrv_top_mem_iccm.iccm_addr_bank [19],
+		\brqrv_top_mem_iccm.iccm_addr_bank [18],
+		\brqrv_top_mem_iccm.iccm_addr_bank [17],
+		\brqrv_top_mem_iccm.iccm_addr_bank [16],
+		\brqrv_top_mem_iccm.iccm_addr_bank [15],
+		\brqrv_top_mem_iccm.iccm_addr_bank [14],
+		\brqrv_top_mem_iccm.iccm_addr_bank [13],
+		\brqrv_top_mem_iccm.iccm_addr_bank [12] }), 
 	.vssd1(vssd1), 
 	.vccd1(vccd1));
    sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_iccm.iccm_mem_bank[3].iccm.sram  (
@@ -44369,14 +44513,14 @@
 		logic_0_62_net,
 		logic_0_63_net,
 		logic_0_64_net }),
-	.addr0({ n_39418,
-		n_39438,
-		n_39414,
-		n_39430,
-		n_39390,
-		n_39378,
-		n_39422,
-		n_39398 }), 
+	.addr0({ \brqrv_top_mem_iccm.iccm_addr_bank [19],
+		\brqrv_top_mem_iccm.iccm_addr_bank [18],
+		\brqrv_top_mem_iccm.iccm_addr_bank [17],
+		\brqrv_top_mem_iccm.iccm_addr_bank [16],
+		\brqrv_top_mem_iccm.iccm_addr_bank [15],
+		\brqrv_top_mem_iccm.iccm_addr_bank [14],
+		\brqrv_top_mem_iccm.iccm_addr_bank [13],
+		\brqrv_top_mem_iccm.iccm_addr_bank [12] }), 
 	.vssd1(vssd1), 
 	.vccd1(vccd1));
    sky130_fd_sc_hd__inv_1 g604281 (
@@ -44444,7 +44588,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g604454 (
 	.A(lsu_axi_wvalid),
-	.Y(io_oeb[35]), 
+	.Y(io_oeb[10]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -44589,13 +44733,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g604504 (
-	.A(n_32046),
-	.Y(n_30275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g604487 (
 	.A(n_33778),
 	.Y(n_30274), 
@@ -44631,13 +44768,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g604478 (
-	.A(n_33763),
-	.Y(n_30269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g604479 (
 	.A(n_33777),
 	.Y(n_30268), 
@@ -45328,7 +45458,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g604258 (
 	.A(la_oenb[65]),
-	.B(wb_clk_i),
+	.B(CTS_1),
 	.Y(n_30204), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -49816,7 +49946,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3b_1 g607514 (
-	.A_N(n_31905),
+	.A_N(FE_DBTN0_brqrv_top_core_rst),
 	.B(n_31921),
 	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[1]),
 	.Y(n_29932), 
@@ -88519,7 +88649,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g605253 (
 	.A1(brqrv_top_brqrv_ifu_aln_q0pc[12]),
-	.A2(n_217),
+	.A2(n_26284),
 	.B1(n_497),
 	.B2(brqrv_top_brqrv_ifu_aln_q2pc[12]),
 	.C1(n_220),
@@ -88654,7 +88784,7 @@
 	.A2(n_220),
 	.B1(n_497),
 	.B2(brqrv_top_brqrv_ifu_aln_q2pc[26]),
-	.C1(n_217),
+	.C1(n_26284),
 	.C2(brqrv_top_brqrv_ifu_aln_q0pc[26]),
 	.Y(n_26659), 
 	.VPWR(vccd1), 
@@ -88666,7 +88796,7 @@
 	.A2(n_497),
 	.B1(n_220),
 	.B2(brqrv_top_brqrv_ifu_aln_q1pc[22]),
-	.C1(n_217),
+	.C1(n_26284),
 	.C2(brqrv_top_brqrv_ifu_aln_q0pc[22]),
 	.Y(n_26658), 
 	.VPWR(vccd1), 
@@ -88675,7 +88805,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g605271 (
 	.A1(brqrv_top_brqrv_ifu_aln_q0pc[14]),
-	.A2(n_217),
+	.A2(n_26284),
 	.B1(n_220),
 	.B2(brqrv_top_brqrv_ifu_aln_q1pc[14]),
 	.C1(n_497),
@@ -88690,7 +88820,7 @@
 	.A2(n_220),
 	.B1(n_497),
 	.B2(brqrv_top_brqrv_ifu_aln_q2pc[28]),
-	.C1(n_217),
+	.C1(n_26284),
 	.C2(brqrv_top_brqrv_ifu_aln_q0pc[28]),
 	.Y(n_26656), 
 	.VPWR(vccd1), 
@@ -88700,7 +88830,7 @@
    sky130_fd_sc_hd__a222oi_1 g605273 (
 	.A1(brqrv_top_brqrv_ifu_aln_q1pc[13]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_q0pc[13]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_q2pc[13]),
@@ -88714,7 +88844,7 @@
 	.A2(n_497),
 	.B1(n_220),
 	.B2(brqrv_top_brqrv_ifu_aln_q1pc[21]),
-	.C1(n_217),
+	.C1(n_26284),
 	.C2(brqrv_top_brqrv_ifu_aln_q0pc[21]),
 	.Y(n_26654), 
 	.VPWR(vccd1), 
@@ -88895,7 +89025,7 @@
 	.A2(n_220),
 	.B1(n_497),
 	.B2(brqrv_top_brqrv_ifu_aln_q2pc[15]),
-	.C1(n_217),
+	.C1(n_26284),
 	.C2(brqrv_top_brqrv_ifu_aln_q0pc[15]),
 	.Y(n_26653), 
 	.VPWR(vccd1), 
@@ -88907,7 +89037,7 @@
 	.A2(n_220),
 	.B1(n_497),
 	.B2(brqrv_top_brqrv_ifu_aln_q2pc[25]),
-	.C1(n_217),
+	.C1(n_26284),
 	.C2(brqrv_top_brqrv_ifu_aln_q0pc[25]),
 	.Y(n_26652), 
 	.VPWR(vccd1), 
@@ -88917,7 +89047,7 @@
    sky130_fd_sc_hd__a222oi_1 g605301 (
 	.A1(brqrv_top_brqrv_ifu_aln_q1pc[20]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_q0pc[20]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_q2pc[20]),
@@ -88928,7 +89058,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g605302 (
 	.A1(brqrv_top_brqrv_ifu_aln_q0pc[10]),
-	.A2(n_217),
+	.A2(n_26284),
 	.B1(n_497),
 	.B2(brqrv_top_brqrv_ifu_aln_q2pc[10]),
 	.C1(n_220),
@@ -88940,7 +89070,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g605303 (
 	.A1(brqrv_top_brqrv_ifu_aln_q0pc[9]),
-	.A2(n_217),
+	.A2(n_26284),
 	.B1(n_497),
 	.B2(brqrv_top_brqrv_ifu_aln_q2pc[9]),
 	.C1(n_220),
@@ -88953,7 +89083,7 @@
    sky130_fd_sc_hd__a222oi_1 g605304 (
 	.A1(brqrv_top_brqrv_ifu_aln_q1pc[19]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_q0pc[19]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_q2pc[19]),
@@ -88967,7 +89097,7 @@
 	.A2(n_497),
 	.B1(n_220),
 	.B2(brqrv_top_brqrv_ifu_aln_q1pc[8]),
-	.C1(n_217),
+	.C1(n_26284),
 	.C2(brqrv_top_brqrv_ifu_aln_q0pc[8]),
 	.Y(n_26647), 
 	.VPWR(vccd1), 
@@ -88977,7 +89107,7 @@
    sky130_fd_sc_hd__a222oi_1 g605306 (
 	.A1(brqrv_top_brqrv_ifu_aln_q1pc[7]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_q0pc[7]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_q2pc[7]),
@@ -88991,7 +89121,7 @@
 	.A2(n_220),
 	.B1(n_497),
 	.B2(brqrv_top_brqrv_ifu_aln_q2pc[30]),
-	.C1(n_217),
+	.C1(n_26284),
 	.C2(brqrv_top_brqrv_ifu_aln_q0pc[30]),
 	.Y(n_26645), 
 	.VPWR(vccd1), 
@@ -89003,7 +89133,7 @@
 	.A2(n_497),
 	.B1(n_220),
 	.B2(brqrv_top_brqrv_ifu_aln_q1pc[24]),
-	.C1(n_217),
+	.C1(n_26284),
 	.C2(brqrv_top_brqrv_ifu_aln_q0pc[24]),
 	.Y(n_26644), 
 	.VPWR(vccd1), 
@@ -89013,7 +89143,7 @@
    sky130_fd_sc_hd__a222oi_1 g605309 (
 	.A1(brqrv_top_brqrv_ifu_aln_q1pc[18]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_q0pc[18]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_q2pc[18]),
@@ -89024,7 +89154,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g605310 (
 	.A1(brqrv_top_brqrv_ifu_aln_q0pc[6]),
-	.A2(n_217),
+	.A2(n_26284),
 	.B1(n_497),
 	.B2(brqrv_top_brqrv_ifu_aln_q2pc[6]),
 	.C1(n_220),
@@ -89036,7 +89166,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g605311 (
 	.A1(brqrv_top_brqrv_ifu_aln_q0pc[5]),
-	.A2(n_217),
+	.A2(n_26284),
 	.B1(n_497),
 	.B2(brqrv_top_brqrv_ifu_aln_q2pc[5]),
 	.C1(n_220),
@@ -89049,7 +89179,7 @@
    sky130_fd_sc_hd__a222oi_1 g605312 (
 	.A1(brqrv_top_brqrv_ifu_aln_q1pc[17]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_q0pc[17]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_q2pc[17]),
@@ -89061,7 +89191,7 @@
    sky130_fd_sc_hd__a222oi_1 g605313 (
 	.A1(brqrv_top_brqrv_ifu_aln_q1pc[4]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_q0pc[4]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_q2pc[4]),
@@ -89072,7 +89202,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g605314 (
 	.A1(brqrv_top_brqrv_ifu_aln_q0pc[3]),
-	.A2(n_217),
+	.A2(n_26284),
 	.B1(n_497),
 	.B2(brqrv_top_brqrv_ifu_aln_q2pc[3]),
 	.C1(n_220),
@@ -89085,7 +89215,7 @@
    sky130_fd_sc_hd__a222oi_1 g605315 (
 	.A1(brqrv_top_brqrv_ifu_aln_q1pc[29]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_q0pc[29]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_q2pc[29]),
@@ -89097,7 +89227,7 @@
    sky130_fd_sc_hd__a222oi_1 g605316 (
 	.A1(brqrv_top_brqrv_ifu_aln_q1pc[16]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_q0pc[16]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_q2pc[16]),
@@ -89111,7 +89241,7 @@
 	.A2(n_497),
 	.B1(n_220),
 	.B2(brqrv_top_brqrv_ifu_aln_q1pc[2]),
-	.C1(n_217),
+	.C1(n_26284),
 	.C2(brqrv_top_brqrv_ifu_aln_q0pc[2]),
 	.Y(n_26635), 
 	.VPWR(vccd1), 
@@ -89120,7 +89250,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g605318 (
 	.A1(brqrv_top_brqrv_ifu_aln_q0pc[1]),
-	.A2(n_217),
+	.A2(n_26284),
 	.B1(n_497),
 	.B2(brqrv_top_brqrv_ifu_aln_q2pc[1]),
 	.C1(n_220),
@@ -89135,7 +89265,7 @@
 	.A2(n_220),
 	.B1(n_497),
 	.B2(brqrv_top_brqrv_ifu_aln_q2pc[27]),
-	.C1(n_217),
+	.C1(n_26284),
 	.C2(brqrv_top_brqrv_ifu_aln_q0pc[27]),
 	.Y(n_26633), 
 	.VPWR(vccd1), 
@@ -89147,7 +89277,7 @@
 	.A2(n_497),
 	.B1(n_220),
 	.B2(brqrv_top_brqrv_ifu_aln_q1pc[23]),
-	.C1(n_217),
+	.C1(n_26284),
 	.C2(brqrv_top_brqrv_ifu_aln_q0pc[23]),
 	.Y(n_26632), 
 	.VPWR(vccd1), 
@@ -89156,7 +89286,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g605321 (
 	.A1(brqrv_top_brqrv_ifu_aln_q0pc[11]),
-	.A2(n_217),
+	.A2(n_26284),
 	.B1(n_220),
 	.B2(brqrv_top_brqrv_ifu_aln_q1pc[11]),
 	.C1(n_497),
@@ -89402,13 +89532,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g605357 (
-	.A(brqrv_top_brqrv_ifu_aln_n_2310),
-	.Y(n_217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g672301 (
 	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
 	.B_N(n_26627),
@@ -94984,7 +95107,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g672547 (
 	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[58]),
-	.A2(n_26262),
+	.A2(n_28557),
 	.B1(n_24354),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[122]),
 	.C1(n_26212),
@@ -95027,7 +95150,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g672551 (
 	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[59]),
-	.A2(n_26262),
+	.A2(n_28557),
 	.B1(n_26257),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[27]),
 	.C1(n_24354),
@@ -95450,13 +95573,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g672623 (
-	.A(n_32964),
-	.Y(n_26262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g672624 (
 	.A(n_31231),
 	.Y(n_26261), 
@@ -99420,7 +99536,7 @@
    sky130_fd_sc_hd__a221o_1 g653403 (
 	.A1(n_25576),
 	.A2(n_24218),
-	.B1(n_24253),
+	.B1(n_485),
 	.B2(brqrv_top_brqrv_iccm_dma_rdata[41]),
 	.C1(n_26057),
 	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1641 ), 
@@ -99654,7 +99770,7 @@
    sky130_fd_sc_hd__a221o_1 g653426 (
 	.A1(n_25572),
 	.A2(n_24218),
-	.B1(n_24253),
+	.B1(n_485),
 	.B2(brqrv_top_brqrv_iccm_dma_rdata[43]),
 	.C1(n_26061),
 	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1643 ), 
@@ -102945,7 +103061,7 @@
    sky130_fd_sc_hd__a222oi_1 g653790 (
 	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[144]),
 	.A2(n_484),
-	.B1(n_24253),
+	.B1(n_485),
 	.B2(brqrv_top_brqrv_iccm_dma_rdata[16]),
 	.C1(n_33601),
 	.C2(n_24218),
@@ -102969,7 +103085,7 @@
    sky130_fd_sc_hd__a222oi_1 g653792 (
 	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[140]),
 	.A2(n_484),
-	.B1(n_24253),
+	.B1(n_485),
 	.B2(brqrv_top_brqrv_iccm_dma_rdata[12]),
 	.C1(n_33598),
 	.C2(n_24218),
@@ -103004,7 +103120,7 @@
    sky130_fd_sc_hd__a222oi_1 g653795 (
 	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[136]),
 	.A2(n_484),
-	.B1(n_24253),
+	.B1(n_485),
 	.B2(brqrv_top_brqrv_iccm_dma_rdata[8]),
 	.C1(n_33594),
 	.C2(n_24218),
@@ -103030,7 +103146,7 @@
 	.A2(n_484),
 	.B1(n_33592),
 	.B2(n_24218),
-	.C1(n_24253),
+	.C1(n_485),
 	.C2(brqrv_top_brqrv_iccm_dma_rdata[5]),
 	.Y(n_25989), 
 	.VPWR(vccd1), 
@@ -103441,7 +103557,7 @@
 	.A2(n_484),
 	.B1(n_25366),
 	.B2(n_24218),
-	.C1(n_24253),
+	.C1(n_485),
 	.C2(brqrv_top_brqrv_iccm_dma_rdata[6]),
 	.Y(n_25963), 
 	.VPWR(vccd1), 
@@ -103476,7 +103592,7 @@
 	.A2(n_484),
 	.B1(n_33604),
 	.B2(n_24218),
-	.C1(n_24253),
+	.C1(n_485),
 	.C2(brqrv_top_brqrv_iccm_dma_rdata[19]),
 	.Y(n_25961), 
 	.VPWR(vccd1), 
@@ -103558,7 +103674,7 @@
 	.A2(n_484),
 	.B1(n_33597),
 	.B2(n_24218),
-	.C1(n_24253),
+	.C1(n_485),
 	.C2(brqrv_top_brqrv_iccm_dma_rdata[11]),
 	.Y(n_25956), 
 	.VPWR(vccd1), 
@@ -103606,7 +103722,7 @@
 	.A2(n_484),
 	.B1(n_33599),
 	.B2(n_24218),
-	.C1(n_24253),
+	.C1(n_485),
 	.C2(brqrv_top_brqrv_iccm_dma_rdata[13]),
 	.Y(n_25952), 
 	.VPWR(vccd1), 
@@ -104325,7 +104441,7 @@
    sky130_fd_sc_hd__a22oi_1 g653916 (
 	.A1(n_25890),
 	.A2(n_24218),
-	.B1(n_24253),
+	.B1(n_485),
 	.B2(brqrv_top_brqrv_iccm_dma_rdata[35]),
 	.Y(n_25903), 
 	.VPWR(vccd1), 
@@ -104633,7 +104749,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653953 (
-	.A1(n_24255),
+	.A1(n_25794),
 	.A2(n_31721),
 	.B1(n_24387),
 	.B2(n_31719),
@@ -104644,7 +104760,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653954 (
-	.A1(n_24256),
+	.A1(n_25773),
 	.A2(n_31737),
 	.B1(n_25356),
 	.B2(n_31735),
@@ -104675,7 +104791,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653957 (
-	.A1(n_24256),
+	.A1(n_25773),
 	.A2(n_31725),
 	.B1(n_25356),
 	.B2(n_32818),
@@ -104697,7 +104813,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653959 (
-	.A1(n_24256),
+	.A1(n_25773),
 	.A2(n_31697),
 	.B1(n_25356),
 	.B2(n_31695),
@@ -104719,7 +104835,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653961 (
-	.A1(n_24256),
+	.A1(n_25773),
 	.A2(n_31688),
 	.B1(n_25356),
 	.B2(n_32808),
@@ -104741,7 +104857,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653963 (
-	.A1(n_24256),
+	.A1(n_25773),
 	.A2(n_31706),
 	.B1(n_25356),
 	.B2(n_31704),
@@ -104763,7 +104879,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653965 (
-	.A1(n_24256),
+	.A1(n_25773),
 	.A2(n_32799),
 	.B1(n_25356),
 	.B2(n_31682),
@@ -104796,7 +104912,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653968 (
-	.A1(n_24256),
+	.A1(n_25773),
 	.A2(n_31721),
 	.B1(n_25356),
 	.B2(n_31719),
@@ -104829,7 +104945,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653971 (
-	.A1(n_24255),
+	.A1(n_25794),
 	.A2(n_31697),
 	.B1(n_24387),
 	.B2(n_31695),
@@ -104840,7 +104956,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653972 (
-	.A1(n_24256),
+	.A1(n_25773),
 	.A2(n_31685),
 	.B1(n_25356),
 	.B2(n_32802),
@@ -104862,7 +104978,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653974 (
-	.A1(n_24256),
+	.A1(n_25773),
 	.A2(n_31703),
 	.B1(n_25356),
 	.B2(n_31701),
@@ -104873,7 +104989,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653975 (
-	.A1(n_24256),
+	.A1(n_25773),
 	.A2(n_31718),
 	.B1(n_25356),
 	.B2(n_31716),
@@ -104986,7 +105102,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653987 (
-	.A1(n_24255),
+	.A1(n_25794),
 	.A2(n_31725),
 	.B1(n_24387),
 	.B2(n_32818),
@@ -105074,7 +105190,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653995 (
-	.A1(n_24255),
+	.A1(n_25794),
 	.A2(n_31715),
 	.B1(n_24387),
 	.B2(n_31713),
@@ -105085,7 +105201,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653996 (
-	.A1(n_24255),
+	.A1(n_25794),
 	.A2(n_31712),
 	.B1(n_24387),
 	.B2(n_31710),
@@ -105107,7 +105223,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653998 (
-	.A1(n_24255),
+	.A1(n_25794),
 	.A2(n_31688),
 	.B1(n_24387),
 	.B2(n_32808),
@@ -105118,7 +105234,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g653999 (
-	.A1(n_24255),
+	.A1(n_25794),
 	.A2(n_31728),
 	.B1(n_24387),
 	.B2(n_31726),
@@ -105151,7 +105267,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g654002 (
-	.A1(n_24255),
+	.A1(n_25794),
 	.A2(n_32795),
 	.B1(n_24387),
 	.B2(n_32793),
@@ -105184,7 +105300,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g654005 (
-	.A1(n_24255),
+	.A1(n_25794),
 	.A2(n_31731),
 	.B1(n_24387),
 	.B2(n_31729),
@@ -105206,7 +105322,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g654007 (
-	.A1(n_24255),
+	.A1(n_25794),
 	.A2(n_32805),
 	.B1(n_24387),
 	.B2(n_32803),
@@ -105228,7 +105344,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g654009 (
-	.A1(n_24255),
+	.A1(n_25794),
 	.A2(n_32815),
 	.B1(n_24387),
 	.B2(n_32814),
@@ -105250,7 +105366,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g654011 (
-	.A1(n_24255),
+	.A1(n_25794),
 	.A2(n_31703),
 	.B1(n_24387),
 	.B2(n_31701),
@@ -105261,7 +105377,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g654012 (
-	.A1(n_24255),
+	.A1(n_25794),
 	.A2(n_31718),
 	.B1(n_24387),
 	.B2(n_31716),
@@ -105272,7 +105388,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g654013 (
-	.A1(n_24255),
+	.A1(n_25794),
 	.A2(n_31677),
 	.B1(n_24387),
 	.B2(n_31675),
@@ -105296,7 +105412,7 @@
    sky130_fd_sc_hd__o221ai_1 g654015 (
 	.A1(n_25717),
 	.A2(n_31681),
-	.B1(n_24255),
+	.B1(n_25794),
 	.B2(n_32797),
 	.C1(n_25395),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[864]), 
@@ -106856,7 +106972,7 @@
    sky130_fd_sc_hd__a22oi_1 g654266 (
 	.A1(n_32657),
 	.A2(n_24218),
-	.B1(n_24253),
+	.B1(n_485),
 	.B2(brqrv_top_brqrv_iccm_dma_rdata[63]),
 	.Y(n_25763), 
 	.VPWR(vccd1), 
@@ -106886,7 +107002,7 @@
    sky130_fd_sc_hd__a22oi_1 g654269 (
 	.A1(n_32656),
 	.A2(n_24218),
-	.B1(n_24253),
+	.B1(n_485),
 	.B2(brqrv_top_brqrv_iccm_dma_rdata[61]),
 	.Y(n_25760), 
 	.VPWR(vccd1), 
@@ -106916,7 +107032,7 @@
    sky130_fd_sc_hd__a22oi_1 g654272 (
 	.A1(n_32655),
 	.A2(n_24218),
-	.B1(n_24253),
+	.B1(n_485),
 	.B2(brqrv_top_brqrv_iccm_dma_rdata[56]),
 	.Y(n_25757), 
 	.VPWR(vccd1), 
@@ -108161,7 +108277,7 @@
    sky130_fd_sc_hd__a22oi_1 g654417 (
 	.A1(n_25573),
 	.A2(n_24218),
-	.B1(n_24253),
+	.B1(n_485),
 	.B2(brqrv_top_brqrv_iccm_dma_rdata[50]),
 	.Y(n_25661), 
 	.VPWR(vccd1), 
@@ -108269,7 +108385,7 @@
    sky130_fd_sc_hd__a22oi_1 g654428 (
 	.A1(n_25570),
 	.A2(n_24218),
-	.B1(n_24253),
+	.B1(n_485),
 	.B2(brqrv_top_brqrv_iccm_dma_rdata[51]),
 	.Y(n_25653), 
 	.VPWR(vccd1), 
@@ -108279,7 +108395,7 @@
    sky130_fd_sc_hd__a22oi_1 g654429 (
 	.A1(n_25574),
 	.A2(n_24218),
-	.B1(n_24253),
+	.B1(n_485),
 	.B2(brqrv_top_brqrv_iccm_dma_rdata[45]),
 	.Y(n_25652), 
 	.VPWR(vccd1), 
@@ -108289,7 +108405,7 @@
    sky130_fd_sc_hd__a22oi_1 g654430 (
 	.A1(n_25585),
 	.A2(n_24218),
-	.B1(n_24253),
+	.B1(n_485),
 	.B2(brqrv_top_brqrv_iccm_dma_rdata[37]),
 	.Y(n_25651), 
 	.VPWR(vccd1), 
@@ -108299,7 +108415,7 @@
    sky130_fd_sc_hd__a22oi_1 g654431 (
 	.A1(n_31450),
 	.A2(n_24218),
-	.B1(n_24253),
+	.B1(n_485),
 	.B2(brqrv_top_brqrv_iccm_dma_rdata[33]),
 	.Y(n_25650), 
 	.VPWR(vccd1), 
@@ -111185,13 +111301,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g654771 (
-	.A(n_25371),
-	.Y(n_33763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g654772 (
 	.A(n_25368),
 	.Y(n_32002), 
@@ -116585,7 +116694,7 @@
    sky130_fd_sc_hd__a221oi_1 g655398 (
 	.A1(n_24354),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[125]),
-	.B1(n_24509),
+	.B1(n_26257),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[29]),
 	.C1(n_24798),
 	.Y(n_24993), 
@@ -120116,7 +120225,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655791 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35193),
 	.B1(n_35162),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -120170,7 +120279,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655797 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35180),
 	.B1(n_35149),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -120189,7 +120298,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655799 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35188),
 	.B1(n_35157),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -120199,7 +120308,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655800 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35189),
 	.B1(n_35158),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -120209,7 +120318,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655801 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35191),
 	.B1(n_35160),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -120219,7 +120328,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655803 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35181),
 	.B1(n_35150),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -120229,7 +120338,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655804 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35184),
 	.B1(n_35153),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -120239,7 +120348,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655805 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35192),
 	.B1(n_35161),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -120329,7 +120438,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655816 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35173),
 	.B1(n_35142),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -120339,7 +120448,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655817 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35165),
 	.B1(n_35134),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -120349,7 +120458,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655818 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35166),
 	.B1(n_35135),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -120359,7 +120468,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655819 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35169),
 	.B1(n_35138),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -120369,7 +120478,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655820 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35170),
 	.B1(n_35139),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -120388,7 +120497,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655823 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35167),
 	.B1(n_35136),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -121378,16 +121487,16 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g655938 (
 	.A1_N(n_35164),
-	.A2_N(n_24385),
+	.A2_N(n_39693),
 	.B1(n_35164),
-	.B2(n_24385),
+	.B2(n_39693),
 	.Y(brqrv_top_brqrv_ifu_i0_pc[1]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655939 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35179),
 	.B1(n_35148),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -121405,7 +121514,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655941 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35187),
 	.B1(n_35156),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -121445,7 +121554,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655945 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35178),
 	.B1(n_35147),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -121456,7 +121565,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655946 (
 	.A1(n_35177),
-	.A2(n_24385),
+	.A2(n_39693),
 	.B1(n_35146),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
 	.X(brqrv_top_brqrv_ifu_i0_pc[14]), 
@@ -121486,7 +121595,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655949 (
 	.A1(n_35176),
-	.A2(n_24385),
+	.A2(n_39693),
 	.B1(n_35145),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
 	.X(brqrv_top_brqrv_ifu_i0_pc[13]), 
@@ -121505,7 +121614,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655951 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35186),
 	.B1(n_35155),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -121515,7 +121624,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655952 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35185),
 	.B1(n_35154),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -121545,7 +121654,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655955 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35183),
 	.B1(n_35152),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -121555,7 +121664,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655956 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35182),
 	.B1(n_35151),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -121565,7 +121674,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655957 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35190),
 	.B1(n_35159),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -121585,7 +121694,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655959 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35174),
 	.B1(n_35143),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -121595,7 +121704,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655960 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35171),
 	.B1(n_35140),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -121605,7 +121714,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655961 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35175),
 	.B1(n_35144),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -121635,7 +121744,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655964 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35172),
 	.B1(n_35141),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -121675,7 +121784,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g655968 (
-	.A1(n_24385),
+	.A1(n_39693),
 	.A2(n_35168),
 	.B1(n_35137),
 	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
@@ -122436,13 +122545,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g656072 (
-	.A(n_32209),
-	.Y(n_24509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g656073 (
 	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[3]),
 	.Y(n_24508), 
@@ -122954,13 +123056,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g656199 (
-	.A(brqrv_top_brqrv_ifu_aln_q0ptr),
-	.Y(n_24385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g656202 (
 	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[78]),
 	.Y(n_24382), 
@@ -123563,13 +123658,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs656341 (
-	.A(n_24257),
-	.Y(n_24256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 drc_bufs656342 (
 	.A(n_25773),
 	.Y(n_24257), 
@@ -123577,27 +123665,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs656348 (
-	.A(n_24254),
-	.Y(n_24255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs656349 (
-	.A(n_25794),
-	.Y(n_24254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs656355 (
-	.A(n_32660),
-	.Y(n_24253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 drc_bufs656356 (
 	.A(n_485),
 	.Y(n_32660), 
@@ -136154,7 +136221,7 @@
    sky130_fd_sc_hd__a22o_1 g604743 (
 	.A1(n_8276),
 	.A2(n_33519),
-	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_8316),
 	.B2(n_31497),
 	.X(n_22924), 
 	.VPWR(vccd1), 
@@ -136181,7 +136248,7 @@
    sky130_fd_sc_hd__a22o_1 g604746 (
 	.A1(n_8276),
 	.A2(n_33517),
-	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_8316),
 	.B2(n_31477),
 	.X(n_22923), 
 	.VPWR(vccd1), 
@@ -136191,7 +136258,7 @@
    sky130_fd_sc_hd__a22o_1 g604747 (
 	.A1(n_8276),
 	.A2(n_33518),
-	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_8316),
 	.B2(n_31480),
 	.X(n_22922), 
 	.VPWR(vccd1), 
@@ -136200,7 +136267,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g604748 (
 	.A1_N(n_31483),
-	.A2_N(brqrv_top_brqrv_dma_dccm_req),
+	.A2_N(n_8316),
 	.B1(n_32946),
 	.B2(n_31546),
 	.Y(n_22921), 
@@ -136211,7 +136278,7 @@
    sky130_fd_sc_hd__a22o_1 g604749 (
 	.A1(n_8276),
 	.A2(n_33529),
-	.B1(brqrv_top_brqrv_dma_dccm_req),
+	.B1(n_8316),
 	.B2(n_32710),
 	.X(n_22920), 
 	.VPWR(vccd1), 
@@ -149773,7 +149840,7 @@
    sky130_fd_sc_hd__a221oi_1 g610022 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[27]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[27]),
 	.C1(brqrv_top_brqrv_exu_n_913),
 	.Y(n_21555), 
@@ -149817,7 +149884,7 @@
    sky130_fd_sc_hd__a221oi_1 g610026 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[28]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[28]),
 	.C1(brqrv_top_brqrv_exu_n_914),
 	.Y(n_21551), 
@@ -149828,7 +149895,7 @@
    sky130_fd_sc_hd__a221oi_1 g610027 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[29]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[29]),
 	.C1(brqrv_top_brqrv_exu_n_915),
 	.Y(n_21550), 
@@ -149839,7 +149906,7 @@
    sky130_fd_sc_hd__a221oi_1 g610028 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[30]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[30]),
 	.C1(brqrv_top_brqrv_exu_n_916),
 	.Y(n_21549), 
@@ -149850,7 +149917,7 @@
    sky130_fd_sc_hd__a221oi_1 g610029 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[31]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[31]),
 	.C1(brqrv_top_brqrv_exu_n_917),
 	.Y(n_21548), 
@@ -150028,7 +150095,7 @@
    sky130_fd_sc_hd__a221oi_1 g610045 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[26]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[26]),
 	.C1(brqrv_top_brqrv_exu_n_912),
 	.Y(n_21540), 
@@ -150039,7 +150106,7 @@
    sky130_fd_sc_hd__a221oi_1 g610046 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[25]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[25]),
 	.C1(brqrv_top_brqrv_exu_n_911),
 	.Y(n_21539), 
@@ -150050,7 +150117,7 @@
    sky130_fd_sc_hd__a221oi_1 g610047 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[24]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[24]),
 	.C1(brqrv_top_brqrv_exu_n_910),
 	.Y(n_21538), 
@@ -150061,7 +150128,7 @@
    sky130_fd_sc_hd__a221oi_1 g610048 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[23]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[23]),
 	.C1(brqrv_top_brqrv_exu_n_909),
 	.Y(n_21537), 
@@ -150072,7 +150139,7 @@
    sky130_fd_sc_hd__a221oi_1 g610049 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[22]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[22]),
 	.C1(brqrv_top_brqrv_exu_n_908),
 	.Y(n_21536), 
@@ -150083,7 +150150,7 @@
    sky130_fd_sc_hd__a221oi_1 g610050 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[21]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[21]),
 	.C1(brqrv_top_brqrv_exu_n_907),
 	.Y(n_21535), 
@@ -150094,7 +150161,7 @@
    sky130_fd_sc_hd__a221oi_1 g610051 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[20]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[20]),
 	.C1(brqrv_top_brqrv_exu_n_906),
 	.Y(n_21534), 
@@ -150105,7 +150172,7 @@
    sky130_fd_sc_hd__a221oi_1 g610052 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[19]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[19]),
 	.C1(brqrv_top_brqrv_exu_n_905),
 	.Y(n_21533), 
@@ -150116,7 +150183,7 @@
    sky130_fd_sc_hd__a221oi_1 g610053 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[18]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[18]),
 	.C1(brqrv_top_brqrv_exu_n_904),
 	.Y(n_21532), 
@@ -150127,7 +150194,7 @@
    sky130_fd_sc_hd__a221oi_1 g610054 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[17]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[17]),
 	.C1(brqrv_top_brqrv_exu_n_903),
 	.Y(n_21531), 
@@ -150138,7 +150205,7 @@
    sky130_fd_sc_hd__a221oi_1 g610055 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[16]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[16]),
 	.C1(brqrv_top_brqrv_exu_n_902),
 	.Y(n_21530), 
@@ -150149,7 +150216,7 @@
    sky130_fd_sc_hd__a221oi_1 g610056 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[15]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[15]),
 	.C1(brqrv_top_brqrv_exu_n_901),
 	.Y(n_21529), 
@@ -150160,7 +150227,7 @@
    sky130_fd_sc_hd__a221oi_1 g610057 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[14]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[14]),
 	.C1(brqrv_top_brqrv_exu_n_900),
 	.Y(n_21528), 
@@ -150171,7 +150238,7 @@
    sky130_fd_sc_hd__a221oi_1 g610058 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[13]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[13]),
 	.C1(brqrv_top_brqrv_exu_n_899),
 	.Y(n_21527), 
@@ -150182,7 +150249,7 @@
    sky130_fd_sc_hd__a221oi_1 g610059 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[12]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[12]),
 	.C1(brqrv_top_brqrv_exu_n_898),
 	.Y(n_21526), 
@@ -150193,7 +150260,7 @@
    sky130_fd_sc_hd__a221oi_1 g610060 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[11]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[11]),
 	.C1(brqrv_top_brqrv_exu_n_897),
 	.Y(n_21525), 
@@ -150204,7 +150271,7 @@
    sky130_fd_sc_hd__a221oi_1 g610061 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[10]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[10]),
 	.C1(brqrv_top_brqrv_exu_n_896),
 	.Y(n_21524), 
@@ -150215,7 +150282,7 @@
    sky130_fd_sc_hd__a221oi_1 g610062 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[9]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[9]),
 	.C1(brqrv_top_brqrv_exu_n_895),
 	.Y(n_21523), 
@@ -150226,7 +150293,7 @@
    sky130_fd_sc_hd__a221oi_1 g610063 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[8]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[8]),
 	.C1(brqrv_top_brqrv_exu_n_894),
 	.Y(n_21522), 
@@ -150248,7 +150315,7 @@
    sky130_fd_sc_hd__a221oi_1 g610065 (
 	.A1(n_7102),
 	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[7]),
-	.B1(n_30275),
+	.B1(n_8409),
 	.B2(brqrv_top_brqrv_ifu_i0_pc[7]),
 	.C1(brqrv_top_brqrv_exu_n_893),
 	.Y(n_21520), 
@@ -150548,7 +150615,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g610095 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33736),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[9]),
@@ -150558,7 +150625,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g610096 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33757),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[30]),
@@ -150568,7 +150635,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g610097 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33750),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[23]),
@@ -150578,7 +150645,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g610098 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33749),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[22]),
@@ -150588,7 +150655,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g610099 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33753),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[26]),
@@ -150598,7 +150665,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g610100 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33755),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[28]),
@@ -152335,7 +152402,7 @@
    sky130_fd_sc_hd__a22oi_1 g608219 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[6]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[6]),
 	.Y(n_297270_BAR), 
 	.VPWR(vccd1), 
@@ -152354,7 +152421,7 @@
    sky130_fd_sc_hd__a22oi_1 g608221 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[24]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[24]),
 	.Y(n_349541_BAR), 
 	.VPWR(vccd1), 
@@ -152364,7 +152431,7 @@
    sky130_fd_sc_hd__a22oi_1 g608222 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[19]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[19]),
 	.Y(n_349547_BAR), 
 	.VPWR(vccd1), 
@@ -152374,7 +152441,7 @@
    sky130_fd_sc_hd__a22oi_1 g608223 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[20]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[20]),
 	.Y(n_349553_BAR), 
 	.VPWR(vccd1), 
@@ -152384,7 +152451,7 @@
    sky130_fd_sc_hd__a22oi_1 g608224 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[17]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[17]),
 	.Y(n_349565_BAR), 
 	.VPWR(vccd1), 
@@ -152394,7 +152461,7 @@
    sky130_fd_sc_hd__a22oi_1 g608225 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[16]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[16]),
 	.Y(n_349571_BAR), 
 	.VPWR(vccd1), 
@@ -152404,7 +152471,7 @@
    sky130_fd_sc_hd__a22oi_1 g608226 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[13]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[13]),
 	.Y(n_349583_BAR), 
 	.VPWR(vccd1), 
@@ -152414,7 +152481,7 @@
    sky130_fd_sc_hd__a22oi_1 g608227 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[26]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[26]),
 	.Y(n_349609_BAR), 
 	.VPWR(vccd1), 
@@ -152424,7 +152491,7 @@
    sky130_fd_sc_hd__a22oi_1 g608228 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[14]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[14]),
 	.Y(n_349589_BAR), 
 	.VPWR(vccd1), 
@@ -152434,7 +152501,7 @@
    sky130_fd_sc_hd__a22oi_1 g608229 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[28]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[28]),
 	.Y(n_349529_BAR), 
 	.VPWR(vccd1), 
@@ -152444,7 +152511,7 @@
    sky130_fd_sc_hd__a22oi_1 g608230 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[18]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[18]),
 	.Y(n_349615_BAR), 
 	.VPWR(vccd1), 
@@ -152454,7 +152521,7 @@
    sky130_fd_sc_hd__a22oi_1 g608231 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[27]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[27]),
 	.Y(n_349633_BAR), 
 	.VPWR(vccd1), 
@@ -152464,7 +152531,7 @@
    sky130_fd_sc_hd__a22oi_1 g608232 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[21]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[21]),
 	.Y(n_349639_BAR), 
 	.VPWR(vccd1), 
@@ -152474,7 +152541,7 @@
    sky130_fd_sc_hd__a22oi_1 g608233 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[30]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[30]),
 	.Y(n_349535_BAR), 
 	.VPWR(vccd1), 
@@ -152691,7 +152758,7 @@
    sky130_fd_sc_hd__a22oi_1 g608255 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[3]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[3]),
 	.Y(n_359281_BAR), 
 	.VPWR(vccd1), 
@@ -152701,7 +152768,7 @@
    sky130_fd_sc_hd__a22oi_1 g608256 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[2]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[2]),
 	.Y(n_296988_BAR), 
 	.VPWR(vccd1), 
@@ -152711,7 +152778,7 @@
    sky130_fd_sc_hd__a22oi_1 g608257 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[4]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[4]),
 	.Y(n_297306_BAR), 
 	.VPWR(vccd1), 
@@ -152721,7 +152788,7 @@
    sky130_fd_sc_hd__a22oi_1 g608258 (
 	.A1(n_39511),
 	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[5]),
-	.B1(n_21328),
+	.B1(n_410),
 	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[5]),
 	.Y(n_297288_BAR), 
 	.VPWR(vccd1), 
@@ -153289,13 +153356,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g608350 (
-	.A(n_30877),
-	.Y(n_21328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g608351 (
 	.A(n_31668),
 	.Y(n_21327), 
@@ -153488,7 +153548,7 @@
    sky130_fd_sc_hd__a221oi_1 g619968 (
 	.A1(n_189),
 	.A2(brqrv_top_brqrv_trigger_pkt_any[87]),
-	.B1(n_475),
+	.B1(n_7917),
 	.B2(brqrv_top_brqrv_dec_tlu_micect[11]),
 	.C1(n_21307),
 	.Y(n_21312), 
@@ -153584,7 +153644,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g619977 (
-	.A1(n_475),
+	.A1(n_7917),
 	.A2(brqrv_top_brqrv_dec_tlu_micect[23]),
 	.B1(n_33513),
 	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[23]),
@@ -153635,7 +153695,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a211o_1 g619982 (
-	.A1(n_475),
+	.A1(n_7917),
 	.A2(brqrv_top_brqrv_dec_tlu_micect[15]),
 	.B1(n_21151),
 	.C1(n_21290),
@@ -153951,7 +154011,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g620012 (
-	.A1(n_475),
+	.A1(n_7917),
 	.A2(brqrv_top_brqrv_dec_tlu_micect[9]),
 	.B1(n_21264),
 	.Y(n_348758_BAR), 
@@ -155932,7 +155992,7 @@
    sky130_fd_sc_hd__a222oi_1 g620248 (
 	.A1(brqrv_top_brqrv_ifu_aln_misc2[51]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_misc1[51]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_misc0[51]),
@@ -156962,7 +157022,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g620363 (
-	.A1(n_217),
+	.A1(n_26284),
 	.A2(brqrv_top_brqrv_ifu_aln_misc0[51]),
 	.B1(n_497),
 	.B2(brqrv_top_brqrv_ifu_aln_misc2[51]),
@@ -157282,7 +157342,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g620395 (
-	.A1(n_475),
+	.A1(n_7917),
 	.A2(brqrv_top_brqrv_dec_tlu_micect[29]),
 	.B1(n_33513),
 	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[29]),
@@ -157322,7 +157382,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g620399 (
-	.A1(n_475),
+	.A1(n_7917),
 	.A2(brqrv_top_brqrv_dec_tlu_micect[22]),
 	.B1(n_33513),
 	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[22]),
@@ -158077,7 +158137,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g620498 (
-	.A(n_475),
+	.A(n_7917),
 	.B(brqrv_top_brqrv_dec_tlu_micect[7]),
 	.Y(n_40257_BAR), 
 	.VPWR(vccd1), 
@@ -225619,7 +225679,7 @@
    sky130_fd_sc_hd__a221oi_1 g831310 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[104]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[72]),
 	.C1(n_14319),
 	.Y(n_14450), 
@@ -225674,7 +225734,7 @@
    sky130_fd_sc_hd__a221oi_1 g831315 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[111]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[79]),
 	.C1(n_14324),
 	.Y(n_14445), 
@@ -226494,7 +226554,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g831402 (
 	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[75]),
-	.A2_N(n_141),
+	.A2_N(n_21017),
 	.B1(n_11206),
 	.B2(n_31798),
 	.Y(n_14321), 
@@ -226544,7 +226604,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g831407 (
 	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[76]),
-	.A2_N(n_141),
+	.A2_N(n_21017),
 	.B1(n_11202),
 	.B2(n_31798),
 	.Y(n_14316), 
@@ -253719,7 +253779,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g834129 (
-	.A(n_6733),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_addr[1]),
 	.Y(n_31294), 
 	.VPWR(vccd1), 
@@ -253727,7 +253787,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g834130 (
-	.A(n_6733),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_addr[10]),
 	.Y(n_31303), 
 	.VPWR(vccd1), 
@@ -253735,7 +253795,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g834131 (
-	.A(n_6733),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_addr[3]),
 	.Y(n_31302), 
 	.VPWR(vccd1), 
@@ -253743,7 +253803,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g834132 (
-	.A(n_6733),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_addr[7]),
 	.Y(n_31298), 
 	.VPWR(vccd1), 
@@ -253751,7 +253811,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g834133 (
-	.A(n_6733),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_addr[6]),
 	.Y(n_31299), 
 	.VPWR(vccd1), 
@@ -253759,7 +253819,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g834134 (
-	.A(n_6733),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_addr[5]),
 	.Y(n_31300), 
 	.VPWR(vccd1), 
@@ -253966,7 +254026,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g834161 (
-	.A(n_6733),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_addr[8]),
 	.Y(n_31296), 
 	.VPWR(vccd1), 
@@ -254030,7 +254090,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g834169 (
-	.A(n_6733),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_addr[9]),
 	.Y(n_31297), 
 	.VPWR(vccd1), 
@@ -254086,7 +254146,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g834177 (
-	.A(n_6733),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_addr[4]),
 	.Y(n_31301), 
 	.VPWR(vccd1), 
@@ -254094,7 +254154,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g834178 (
-	.A(n_6733),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_addr[2]),
 	.Y(n_31295), 
 	.VPWR(vccd1), 
@@ -255123,13 +255183,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g834335 (
-	.A(brqrv_top_core_rst),
-	.Y(n_6733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g834336 (
 	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[5]),
 	.Y(n_11428), 
@@ -280351,7 +280404,7 @@
 	.A2(lsu_axi_wdata[42]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[10]),
-	.X(la_data_out[10]), 
+	.X(io_out[18]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280431,7 +280484,7 @@
 	.A2(lsu_axi_wdata[43]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[11]),
-	.X(la_data_out[11]), 
+	.X(io_out[19]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280471,7 +280524,7 @@
 	.A2(lsu_axi_wdata[44]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[12]),
-	.X(la_data_out[12]), 
+	.X(io_out[20]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280511,7 +280564,7 @@
 	.A2(lsu_axi_wdata[37]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[5]),
-	.X(la_data_out[5]), 
+	.X(io_out[13]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280521,7 +280574,7 @@
 	.A2(lsu_axi_wdata[45]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[13]),
-	.X(la_data_out[13]), 
+	.X(io_out[21]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280541,7 +280594,7 @@
 	.A2(lsu_axi_wdata[56]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[24]),
-	.X(la_data_out[24]), 
+	.X(io_out[32]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280561,7 +280614,7 @@
 	.A2(lsu_axi_wdata[54]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[22]),
-	.X(la_data_out[22]), 
+	.X(io_out[30]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280571,7 +280624,7 @@
 	.A2(lsu_axi_wdata[46]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[14]),
-	.X(la_data_out[14]), 
+	.X(io_out[22]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280581,7 +280634,7 @@
 	.A2(lsu_axi_wdata[57]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[25]),
-	.X(la_data_out[25]), 
+	.X(io_out[33]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280591,7 +280644,7 @@
 	.A2(lsu_axi_wdata[52]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[20]),
-	.X(la_data_out[20]), 
+	.X(io_out[28]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280611,7 +280664,7 @@
 	.A2(lsu_axi_wdata[47]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[15]),
-	.X(la_data_out[15]), 
+	.X(io_out[23]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280692,7 +280745,7 @@
 	.A2(lsu_axi_wdata[48]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[16]),
-	.X(la_data_out[16]), 
+	.X(io_out[24]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280783,7 +280836,7 @@
 	.A2(lsu_axi_wdata[49]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[17]),
-	.X(la_data_out[17]), 
+	.X(io_out[25]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280813,7 +280866,7 @@
 	.A2(lsu_axi_wdata[41]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[9]),
-	.X(la_data_out[9]), 
+	.X(io_out[17]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280823,7 +280876,7 @@
 	.A2(lsu_axi_wdata[55]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[23]),
-	.X(la_data_out[23]), 
+	.X(io_out[31]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280843,7 +280896,7 @@
 	.A2(lsu_axi_wdata[59]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[27]),
-	.X(la_data_out[27]), 
+	.X(io_out[35]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280853,7 +280906,7 @@
 	.A2(lsu_axi_wdata[40]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[8]),
-	.X(la_data_out[8]), 
+	.X(io_out[16]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280873,7 +280926,7 @@
 	.A2(lsu_axi_wdata[39]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[7]),
-	.X(la_data_out[7]), 
+	.X(io_out[15]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280893,7 +280946,7 @@
 	.A2(lsu_axi_wdata[36]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[4]),
-	.X(la_data_out[4]), 
+	.X(io_out[12]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280903,7 +280956,7 @@
 	.A2(lsu_axi_wdata[35]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[3]),
-	.X(la_data_out[3]), 
+	.X(io_out[11]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280913,7 +280966,7 @@
 	.A2(lsu_axi_wdata[51]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[19]),
-	.X(la_data_out[19]), 
+	.X(io_out[27]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280943,7 +280996,7 @@
 	.A2(lsu_axi_wdata[34]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[2]),
-	.X(la_data_out[2]), 
+	.X(io_out[10]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280963,7 +281016,7 @@
 	.A2(lsu_axi_wdata[58]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[26]),
-	.X(la_data_out[26]), 
+	.X(io_out[34]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -280983,7 +281036,7 @@
 	.A2(lsu_axi_wdata[50]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[18]),
-	.X(la_data_out[18]), 
+	.X(io_out[26]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -281003,7 +281056,7 @@
 	.A2(lsu_axi_wdata[53]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[21]),
-	.X(la_data_out[21]), 
+	.X(io_out[29]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -281033,7 +281086,7 @@
 	.A2(lsu_axi_wdata[33]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[1]),
-	.X(la_data_out[1]), 
+	.X(io_out[9]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -281053,7 +281106,7 @@
 	.A2(lsu_axi_wdata[32]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[0]),
-	.X(la_data_out[0]), 
+	.X(io_out[8]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -281063,7 +281116,7 @@
 	.A2(lsu_axi_wdata[38]),
 	.B1(n_9154),
 	.B2(lsu_axi_wdata[6]),
-	.X(la_data_out[6]), 
+	.X(io_out[14]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -293020,9 +293073,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g834791 (
-	.A1_N(n_38909),
+	.A1_N(\brqrv_top_mem_iccm.iccm_addr_bank [19]),
 	.A2_N(n_8514),
-	.B1(n_38909),
+	.B1(\brqrv_top_mem_iccm.iccm_addr_bank [19]),
 	.B2(n_8514),
 	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [11]), 
 	.VPWR(vccd1), 
@@ -293030,7 +293083,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g834792 (
-	.A1(n_38903),
+	.A1(\brqrv_top_mem_iccm.iccm_addr_bank [18]),
 	.A2(n_8513),
 	.B1(n_8514),
 	.X(\brqrv_top_mem_iccm.iccm_addr_bank [10]), 
@@ -293040,14 +293093,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g834793 (
 	.A(n_8513),
-	.B(n_38903),
+	.B(\brqrv_top_mem_iccm.iccm_addr_bank [18]),
 	.Y(n_8514), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__ha_1 g834794 (
-	.A(n_38915),
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank [17]),
 	.B(n_8512),
 	.COUT(n_8513),
 	.SUM(\brqrv_top_mem_iccm.iccm_addr_bank [9]), 
@@ -293056,7 +293109,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__ha_1 g834795 (
-	.A(n_38939),
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank [16]),
 	.B(n_8511),
 	.COUT(n_8512),
 	.SUM(\brqrv_top_mem_iccm.iccm_addr_bank [8]), 
@@ -293065,7 +293118,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__ha_1 g834796 (
-	.A(n_38945),
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank [15]),
 	.B(n_8510),
 	.COUT(n_8511),
 	.SUM(\brqrv_top_mem_iccm.iccm_addr_bank [7]), 
@@ -293074,7 +293127,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__ha_1 g604656 (
-	.A(n_38933),
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank [14]),
 	.B(n_8509),
 	.COUT(n_8510),
 	.SUM(\brqrv_top_mem_iccm.iccm_addr_bank [6]), 
@@ -293083,7 +293136,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__ha_1 g604657 (
-	.A(n_38927),
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank [13]),
 	.B(n_8508),
 	.COUT(n_8509),
 	.SUM(\brqrv_top_mem_iccm.iccm_addr_bank [5]), 
@@ -293092,7 +293145,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__ha_1 g834797 (
-	.A(n_38921),
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank [12]),
 	.B(n_8506),
 	.COUT(n_8508),
 	.SUM(\brqrv_top_mem_iccm.iccm_addr_bank [4]), 
@@ -293261,7 +293314,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 lsu_axi_bvalid_reg (
-	.CLK(wb_clk_i),
+	.CLK(CTS_1),
 	.D(lsu_axi_wvalid),
 	.Q(lsu_axi_bvalid), 
 	.VPWR(vccd1), 
@@ -294756,7 +294809,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g834924 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33754),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[27]),
@@ -295312,13 +295365,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g834977 (
-	.A(n_8409),
-	.Y(n_32046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g834978 (
 	.A(n_8408),
 	.Y(n_8407), 
@@ -296531,7 +296577,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g835105 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33730),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[2]),
@@ -296684,7 +296730,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g835119 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33733),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[5]),
@@ -296739,7 +296785,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g835124 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33752),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[25]),
@@ -297061,7 +297107,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g835158 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33731),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[3]),
@@ -297378,7 +297424,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g835191 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33738),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[11]),
@@ -297590,7 +297636,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g835212 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33737),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[10]),
@@ -297601,7 +297647,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g835213 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33744),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[17]),
@@ -297623,7 +297669,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g835215 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33751),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[24]),
@@ -297634,7 +297680,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g835216 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33747),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[20]),
@@ -298475,7 +298521,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g835298 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33740),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[13]),
@@ -298508,7 +298554,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g835301 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33748),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[21]),
@@ -298849,7 +298895,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g835338 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33732),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[4]),
@@ -298889,7 +298935,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g835342 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33734),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[6]),
@@ -300180,7 +300226,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g835477 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33735),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[8]),
@@ -300328,7 +300374,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g835492 (
-	.A1(n_7079),
+	.A1(n_23786),
 	.A2(n_33742),
 	.B1(n_7078),
 	.B2(brqrv_top_brqrv_dec_i0_result_r[15]),
@@ -303444,13 +303490,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g835849 (
-	.A(n_7918),
-	.Y(n_30901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g835850 (
 	.A(n_7917),
 	.Y(n_30894), 
@@ -306108,16 +306147,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g836145 (
-	.A(n_7734),
-	.Y(n_30893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g836148 (
 	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[304]),
-	.A2(n_253),
+	.A2(n_24401),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_n_1239),
 	.C1(n_558),
@@ -306653,7 +306685,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g836193 (
 	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[318]),
-	.A2(n_253),
+	.A2(n_24401),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_n_1309),
 	.C1(n_558),
@@ -306905,7 +306937,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g836214 (
 	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[138]),
-	.A2(n_253),
+	.A2(n_24401),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[106]),
 	.C1(n_297),
@@ -307059,7 +307091,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g836227 (
 	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[139]),
-	.A2(n_253),
+	.A2(n_24401),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_n_633),
 	.C1(n_558),
@@ -307071,7 +307103,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g836228 (
 	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[137]),
-	.A2(n_253),
+	.A2(n_24401),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_n_623),
 	.C1(n_558),
@@ -307083,7 +307115,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g836229 (
 	.A1(brqrv_top_brqrv_dma_ctrl_fifo_rpend[4]),
-	.A2(n_253),
+	.A2(n_24401),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_rpend[0]),
 	.C1(n_558),
@@ -307095,7 +307127,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g836230 (
 	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[136]),
-	.A2(n_253),
+	.A2(n_24401),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_n_618),
 	.C1(n_558),
@@ -307107,7 +307139,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g836231 (
 	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[135]),
-	.A2(n_253),
+	.A2(n_24401),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_n_613),
 	.C1(n_558),
@@ -307119,7 +307151,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g836232 (
 	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[134]),
-	.A2(n_253),
+	.A2(n_24401),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_n_608),
 	.C1(n_558),
@@ -307143,7 +307175,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g836234 (
 	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[133]),
-	.A2(n_253),
+	.A2(n_24401),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_n_603),
 	.C1(n_558),
@@ -307155,7 +307187,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g836235 (
 	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[132]),
-	.A2(n_253),
+	.A2(n_24401),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_n_598),
 	.C1(n_558),
@@ -307167,7 +307199,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g836236 (
 	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[131]),
-	.A2(n_253),
+	.A2(n_24401),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_n_593),
 	.C1(n_558),
@@ -308826,7 +308858,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836437 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[297]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[233]),
@@ -308836,7 +308868,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836438 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[313]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[249]),
@@ -308866,7 +308898,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836441 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[305]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[241]),
@@ -308886,7 +308918,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836443 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[281]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[217]),
@@ -308914,7 +308946,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836446 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[289]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[225]),
@@ -309419,7 +309451,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836505 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[312]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[248]),
@@ -309429,7 +309461,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836506 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[296]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[232]),
@@ -309439,7 +309471,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836507 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[288]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[224]),
@@ -309549,7 +309581,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836518 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[301]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[237]),
@@ -309559,7 +309591,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836519 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[285]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[221]),
@@ -309569,7 +309601,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836520 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[277]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[213]),
@@ -309579,7 +309611,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836521 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[293]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[229]),
@@ -309599,7 +309631,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836523 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[317]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[253]),
@@ -309629,7 +309661,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836526 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[309]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[245]),
@@ -309749,7 +309781,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836538 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[300]),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_n_1219),
@@ -309779,7 +309811,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836541 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[284]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[220]),
@@ -309799,7 +309831,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836543 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[292]),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_n_1179),
@@ -309819,7 +309851,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836545 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[276]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[212]),
@@ -309839,7 +309871,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836547 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[316]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[252]),
@@ -309859,7 +309891,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836549 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[308]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[244]),
@@ -309969,7 +310001,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836560 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[275]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[211]),
@@ -309989,7 +310021,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836562 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[273]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[209]),
@@ -310119,7 +310151,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836575 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[272]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[208]),
@@ -310159,7 +310191,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836579 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[279]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[215]),
@@ -310169,7 +310201,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836580 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[271]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[207]),
@@ -310269,7 +310301,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836590 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[286]),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_n_1149),
@@ -310279,7 +310311,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836591 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[278]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[214]),
@@ -310289,7 +310321,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836592 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[294]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[230]),
@@ -310299,7 +310331,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836593 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[270]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[206]),
@@ -310319,7 +310351,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836595 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[302]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[238]),
@@ -310329,7 +310361,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836596 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[310]),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_n_1269),
@@ -310359,7 +310391,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836599 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[269]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[205]),
@@ -310429,7 +310461,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836606 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[267]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[203]),
@@ -310459,7 +310491,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836609 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[282]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[218]),
@@ -310479,7 +310511,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836611 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[266]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[202]),
@@ -310489,7 +310521,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836612 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[290]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[226]),
@@ -310499,7 +310531,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836613 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[274]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[210]),
@@ -310519,7 +310551,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836615 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[306]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[242]),
@@ -310529,7 +310561,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836616 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[314]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[250]),
@@ -310549,7 +310581,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836618 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[259]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[195]),
@@ -310559,7 +310591,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836619 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[256]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[192]),
@@ -310579,7 +310611,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836621 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[264]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[200]),
@@ -310649,7 +310681,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836628 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[261]),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_n_1024),
@@ -310659,7 +310691,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836629 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[263]),
 	.B1(n_548),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[135]),
@@ -310689,7 +310721,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836632 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[298]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[234]),
@@ -310699,7 +310731,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836633 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[262]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[198]),
@@ -311329,7 +311361,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836696 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[311]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[247]),
@@ -311359,7 +311391,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836699 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[303]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[239]),
@@ -311369,7 +311401,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836700 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[319]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[255]),
@@ -311379,7 +311411,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836701 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[295]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[231]),
@@ -311389,7 +311421,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836702 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[287]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[223]),
@@ -311419,7 +311451,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836705 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[291]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[227]),
@@ -311429,7 +311461,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836706 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[283]),
 	.B1(n_297),
 	.B2(brqrv_top_brqrv_dma_ctrl_n_1134),
@@ -311439,7 +311471,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836707 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[299]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[235]),
@@ -311449,7 +311481,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836708 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[307]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[243]),
@@ -311459,7 +311491,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836709 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[315]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[251]),
@@ -311539,7 +311571,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g836717 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[280]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[216]),
@@ -313106,13 +313138,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 g836976 (
-	.A(n_32280),
-	.Y(n_253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g836977 (
 	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[106]),
 	.Y(n_7152), 
@@ -313386,13 +313411,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g837050 (
-	.A(n_32088),
-	.Y(n_7079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g837051 (
 	.A(n_32087),
 	.Y(n_7078), 
@@ -314177,7 +314195,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g613828 (
-	.A1(n_6733),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_6938),
 	.B1(n_31302),
 	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [12]), 
@@ -314229,7 +314247,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g613835 (
-	.A1(n_6733),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_6936),
 	.B1(n_31300),
 	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [14]), 
@@ -314238,7 +314256,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g613836 (
-	.A1(n_6733),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_6937),
 	.B1(n_31301),
 	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [13]), 
@@ -315319,7 +315337,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g613968 (
-	.A1(n_6733),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_6874),
 	.B1(n_31303),
 	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [19]), 
@@ -315328,7 +315346,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g613969 (
-	.A1(n_6733),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_6867),
 	.B1(n_31297),
 	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [18]), 
@@ -315337,7 +315355,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g613970 (
-	.A1(n_6733),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_6875),
 	.B1(n_31296),
 	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [17]), 
@@ -315346,7 +315364,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g613971 (
-	.A1(n_6733),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_6869),
 	.B1(n_31298),
 	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [16]), 
@@ -315355,7 +315373,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g613972 (
-	.A1(n_6733),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_6868),
 	.B1(n_31299),
 	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [15]), 
@@ -320456,7 +320474,7 @@
 	.A2(n_6445),
 	.B1(n_6444),
 	.B2(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
-	.C1(n_6434),
+	.C1(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[1]),
 	.Y(n_6461), 
 	.VPWR(vccd1), 
@@ -320538,7 +320556,7 @@
 	.A2(n_6445),
 	.B1(n_6444),
 	.B2(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
-	.C1(n_6434),
+	.C1(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[0]),
 	.Y(n_6459), 
 	.VPWR(vccd1), 
@@ -320548,7 +320566,7 @@
    sky130_fd_sc_hd__a222oi_1 g837396 (
 	.A1(n_31754),
 	.A2(n_6445),
-	.B1(n_6434),
+	.B1(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[1]),
 	.C1(n_6444),
 	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[1]),
@@ -320562,7 +320580,7 @@
 	.A2(n_6445),
 	.B1(n_6444),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
-	.C1(n_6434),
+	.C1(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[0]),
 	.Y(n_6457), 
 	.VPWR(vccd1), 
@@ -320572,7 +320590,7 @@
    sky130_fd_sc_hd__a222oi_1 g837398 (
 	.A1(n_31795),
 	.A2(n_6445),
-	.B1(n_6434),
+	.B1(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffect),
 	.C1(n_6444),
 	.C2(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
@@ -320653,7 +320671,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g837406 (
-	.A1(n_6434),
+	.A1(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_in),
 	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend),
 	.Y(n_6451), 
@@ -320703,7 +320721,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g837411 (
 	.A1(n_2904),
-	.A2(n_6434),
+	.A2(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.B1(n_326),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_writeff_genblock.dffs_n_4 ), 
@@ -320762,7 +320780,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g837418 (
 	.A(n_236),
-	.B(n_6434),
+	.B(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.Y(n_6445), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -320817,7 +320835,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g837424 (
 	.A(n_31783),
-	.B(n_6434),
+	.B(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.Y(n_6444), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -320875,13 +320893,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g837430 (
-	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
-	.Y(n_6434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g837431 (
 	.A1(brqrv_top_brqrv_exu_i0_rs2_d[3]),
 	.A2(n_5294),
@@ -321205,7 +321216,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g837462 (
-	.A1(n_190),
+	.A1(n_7918),
 	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[2]),
 	.B1(n_472),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3[2]),
@@ -321501,7 +321512,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g837490 (
-	.A1(n_191),
+	.A1(n_7735),
 	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[2]),
 	.B1(n_39527),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[2]),
@@ -322844,7 +322855,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g837631 (
-	.A1(n_475),
+	.A1(n_7917),
 	.A2(brqrv_top_brqrv_dec_tlu_micect[4]),
 	.B1(n_355),
 	.B2(brqrv_top_brqrv_dec_tlu_mfdht[4]),
@@ -323860,7 +323871,7 @@
    sky130_fd_sc_hd__a221oi_1 g837736 (
 	.A1(n_184),
 	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3h[24]),
-	.B1(n_469),
+	.B1(n_7618),
 	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[24]),
 	.C1(n_6144),
 	.Y(n_6292), 
@@ -324920,7 +324931,7 @@
    sky130_fd_sc_hd__a221oi_1 g837848 (
 	.A1(n_184),
 	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3h[21]),
-	.B1(n_469),
+	.B1(n_7618),
 	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[21]),
 	.C1(n_6079),
 	.Y(n_6196), 
@@ -325289,7 +325300,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g837889 (
-	.A1(n_191),
+	.A1(n_7735),
 	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[24]),
 	.B1(n_465),
 	.B2(brqrv_top_brqrv_dec_tlu_miccmect[24]),
@@ -325367,7 +325378,7 @@
    sky130_fd_sc_hd__a221oi_1 g837897 (
 	.A1(n_424),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[0]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[2]),
 	.C1(n_6084),
 	.Y(n_6193), 
@@ -326562,7 +326573,7 @@
    sky130_fd_sc_hd__a221o_1 g838029 (
 	.A1(n_464),
 	.A2(brqrv_top_brqrv_trigger_pkt_any[6]),
-	.B1(n_475),
+	.B1(n_7917),
 	.B2(brqrv_top_brqrv_dec_tlu_micect[6]),
 	.C1(n_6036),
 	.X(n_6075), 
@@ -327016,7 +327027,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g838074 (
-	.A1(n_469),
+	.A1(n_7618),
 	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[4]),
 	.B1(n_6014),
 	.Y(n_6059), 
@@ -327025,7 +327036,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g838075 (
-	.A1(n_191),
+	.A1(n_7735),
 	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[20]),
 	.B1(n_465),
 	.B2(brqrv_top_brqrv_dec_tlu_miccmect[20]),
@@ -330338,7 +330349,7 @@
    sky130_fd_sc_hd__a221o_1 g838412 (
 	.A1(n_39522),
 	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[19]),
-	.B1(n_475),
+	.B1(n_7917),
 	.B2(brqrv_top_brqrv_dec_tlu_micect[19]),
 	.C1(n_5716),
 	.X(brqrv_top_brqrv_dec_csr_rddata_d[19]), 
@@ -330567,7 +330578,7 @@
    sky130_fd_sc_hd__a221oi_1 g838435 (
 	.A1(n_39522),
 	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[16]),
-	.B1(n_469),
+	.B1(n_7618),
 	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[16]),
 	.C1(n_5659),
 	.Y(n_5829), 
@@ -331292,7 +331303,7 @@
 	.A1(\brqrv_top_mem_Gen_dccm_enable.dccm_n_356 ),
 	.A2(n_4701),
 	.A3(n_1889),
-	.B1(n_102),
+	.B1(n_5293),
 	.Y(n_5794), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -331302,7 +331313,7 @@
 	.A1(\brqrv_top_mem_Gen_dccm_enable.dccm_n_314 ),
 	.A2(n_4701),
 	.A3(n_15),
-	.B1(n_132),
+	.B1(n_5290),
 	.Y(n_5792), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -331451,7 +331462,7 @@
    sky130_fd_sc_hd__o22ai_1 g838538 (
 	.A1(n_52),
 	.A2(n_5579),
-	.B1(n_30269),
+	.B1(n_25371),
 	.B2(n_5380),
 	.Y(n_5722), 
 	.VPWR(vccd1), 
@@ -331471,7 +331482,7 @@
    sky130_fd_sc_hd__a221o_1 g838540 (
 	.A1(n_39522),
 	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[27]),
-	.B1(n_475),
+	.B1(n_7917),
 	.B2(brqrv_top_brqrv_dec_tlu_micect[27]),
 	.C1(n_5648),
 	.X(n_5720), 
@@ -332175,7 +332186,7 @@
    sky130_fd_sc_hd__a221o_1 g838616 (
 	.A1(n_33513),
 	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[24]),
-	.B1(n_475),
+	.B1(n_7917),
 	.B2(brqrv_top_brqrv_dec_tlu_micect[24]),
 	.C1(n_5509),
 	.X(n_5661), 
@@ -332195,7 +332206,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g838618 (
-	.A1(n_191),
+	.A1(n_7735),
 	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[16]),
 	.B1(n_472),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3[16]),
@@ -332503,7 +332514,7 @@
 	.A1(\brqrv_top_mem_Gen_dccm_enable.dccm_n_271 ),
 	.A2(n_4701),
 	.A3(n_1882),
-	.B1(n_101),
+	.B1(n_5292),
 	.Y(n_5666), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -333417,7 +333428,7 @@
    sky130_fd_sc_hd__a221o_1 g838748 (
 	.A1(n_33513),
 	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[21]),
-	.B1(n_475),
+	.B1(n_7917),
 	.B2(brqrv_top_brqrv_dec_tlu_micect[21]),
 	.C1(n_5454),
 	.X(n_5536), 
@@ -333768,7 +333779,7 @@
 	.A1_N(n_31521),
 	.A2_N(n_5386),
 	.B1(n_4308),
-	.B2(n_62),
+	.B2(n_5291),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [128]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -333788,7 +333799,7 @@
 	.A1_N(n_32728),
 	.A2_N(n_5386),
 	.B1(n_4306),
-	.B2(n_62),
+	.B2(n_5291),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [131]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -333808,7 +333819,7 @@
 	.A1_N(n_32714),
 	.A2_N(n_5391),
 	.B1(n_4304),
-	.B2(n_62),
+	.B2(n_5291),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [133]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -333839,7 +333850,7 @@
 	.A1_N(n_31490),
 	.A2_N(n_5391),
 	.B1(n_4302),
-	.B2(n_62),
+	.B2(n_5291),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [135]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -333859,7 +333870,7 @@
 	.A1_N(n_32707),
 	.A2_N(n_5391),
 	.B1(n_4300),
-	.B2(n_62),
+	.B2(n_5291),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [137]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -333888,7 +333899,7 @@
 	.A1_N(n_31487),
 	.A2_N(n_5391),
 	.B1(n_4298),
-	.B2(n_62),
+	.B2(n_5291),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [139]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -333992,7 +334003,7 @@
 	.A1_N(n_31471),
 	.A2_N(n_5388),
 	.B1(n_4315),
-	.B2(n_102),
+	.B2(n_5293),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [78]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334124,7 +334135,7 @@
 	.A1_N(n_31478),
 	.A2_N(n_5388),
 	.B1(n_4313),
-	.B2(n_102),
+	.B2(n_5293),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [83]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334272,7 +334283,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g838835 (
-	.A1(n_191),
+	.A1(n_7735),
 	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[28]),
 	.B1(n_39529),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[28]),
@@ -334366,7 +334377,7 @@
 	.A1_N(n_31487),
 	.A2_N(n_5396),
 	.B1(n_4298),
-	.B2(n_102),
+	.B2(n_5293),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [100]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334386,7 +334397,7 @@
 	.A1_N(n_32707),
 	.A2_N(n_5396),
 	.B1(n_4300),
-	.B2(n_102),
+	.B2(n_5293),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [98]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334406,7 +334417,7 @@
 	.A1_N(n_31490),
 	.A2_N(n_5396),
 	.B1(n_4302),
-	.B2(n_102),
+	.B2(n_5293),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [96]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334446,7 +334457,7 @@
 	.A1_N(n_32728),
 	.A2_N(n_5388),
 	.B1(n_4306),
-	.B2(n_102),
+	.B2(n_5293),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [92]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334466,7 +334477,7 @@
 	.A1_N(n_31521),
 	.A2_N(n_5388),
 	.B1(n_4308),
-	.B2(n_102),
+	.B2(n_5293),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [89]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334486,7 +334497,7 @@
 	.A1_N(n_31484),
 	.A2_N(n_5388),
 	.B1(n_4311),
-	.B2(n_102),
+	.B2(n_5293),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [85]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334655,7 +334666,7 @@
 	.A1_N(n_31498),
 	.A2_N(n_5386),
 	.B1(n_4310),
-	.B2(n_62),
+	.B2(n_5291),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [125]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334675,7 +334686,7 @@
 	.A1_N(n_31481),
 	.A2_N(n_5386),
 	.B1(n_4312),
-	.B2(n_62),
+	.B2(n_5291),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [123]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334693,7 +334704,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838879 (
 	.A1(n_5118),
-	.A2(n_62),
+	.A2(n_5291),
 	.B1(n_3140),
 	.B2(n_5385),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [121]), 
@@ -334705,7 +334716,7 @@
 	.A1_N(n_31475),
 	.A2_N(n_5386),
 	.B1(n_4314),
-	.B2(n_62),
+	.B2(n_5291),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [120]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334713,7 +334724,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838881 (
 	.A1(n_5117),
-	.A2(n_62),
+	.A2(n_5291),
 	.B1(n_3133),
 	.B2(n_5385),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [119]), 
@@ -334735,7 +334746,7 @@
 	.A1_N(n_31471),
 	.A2_N(n_5386),
 	.B1(n_4315),
-	.B2(n_62),
+	.B2(n_5291),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [117]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334745,7 +334756,7 @@
 	.A1_N(n_31486),
 	.A2_N(n_5390),
 	.B1(n_4297),
-	.B2(n_101),
+	.B2(n_5292),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [23]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334765,7 +334776,7 @@
 	.A1_N(n_31488),
 	.A2_N(n_5390),
 	.B1(n_4299),
-	.B2(n_101),
+	.B2(n_5292),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [21]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334785,7 +334796,7 @@
 	.A1_N(n_32709),
 	.A2_N(n_5390),
 	.B1(n_4301),
-	.B2(n_101),
+	.B2(n_5292),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [19]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334805,7 +334816,7 @@
 	.A1_N(n_32714),
 	.A2_N(n_5390),
 	.B1(n_4304),
-	.B2(n_101),
+	.B2(n_5292),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [16]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334825,7 +334836,7 @@
 	.A1_N(n_32728),
 	.A2_N(n_5382),
 	.B1(n_4306),
-	.B2(n_101),
+	.B2(n_5292),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [14]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334845,7 +334856,7 @@
 	.A1_N(n_31521),
 	.A2_N(n_5382),
 	.B1(n_4308),
-	.B2(n_101),
+	.B2(n_5292),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [11]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334895,7 +334906,7 @@
 	.A1_N(n_31478),
 	.A2_N(n_5382),
 	.B1(n_4313),
-	.B2(n_101),
+	.B2(n_5292),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [5]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334903,7 +334914,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838900 (
 	.A1(n_5118),
-	.A2(n_101),
+	.A2(n_5292),
 	.B1(n_3140),
 	.B2(n_5381),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [4]), 
@@ -334915,7 +334926,7 @@
 	.A1_N(n_31475),
 	.A2_N(n_5382),
 	.B1(n_4314),
-	.B2(n_101),
+	.B2(n_5292),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [3]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334955,7 +334966,7 @@
 	.A1_N(n_31486),
 	.A2_N(n_5389),
 	.B1(n_4297),
-	.B2(n_132),
+	.B2(n_5290),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [62]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334975,7 +334986,7 @@
 	.A1_N(n_31488),
 	.A2_N(n_5389),
 	.B1(n_4299),
-	.B2(n_132),
+	.B2(n_5290),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [60]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -334995,7 +335006,7 @@
 	.A1_N(n_32709),
 	.A2_N(n_5389),
 	.B1(n_4301),
-	.B2(n_132),
+	.B2(n_5290),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [58]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -335015,7 +335026,7 @@
 	.A1_N(n_32711),
 	.A2_N(n_5389),
 	.B1(n_4303),
-	.B2(n_132),
+	.B2(n_5290),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [56]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -335035,7 +335046,7 @@
 	.A1_N(n_31533),
 	.A2_N(n_5384),
 	.B1(n_4305),
-	.B2(n_132),
+	.B2(n_5290),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [54]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -335065,7 +335076,7 @@
 	.A1_N(n_31521),
 	.A2_N(n_5384),
 	.B1(n_4308),
-	.B2(n_132),
+	.B2(n_5290),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [50]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -335075,7 +335086,7 @@
 	.A1_N(n_31512),
 	.A2_N(n_5384),
 	.B1(n_4309),
-	.B2(n_132),
+	.B2(n_5290),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [49]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -335103,7 +335114,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838920 (
 	.A1(n_5118),
-	.A2(n_132),
+	.A2(n_5290),
 	.B1(n_3140),
 	.B2(n_5383),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [43]), 
@@ -335145,7 +335156,7 @@
 	.A1_N(n_31471),
 	.A2_N(n_5384),
 	.B1(n_4315),
-	.B2(n_132),
+	.B2(n_5290),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [39]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -335155,7 +335166,7 @@
 	.A1_N(n_31498),
 	.A2_N(n_5388),
 	.B1(n_4310),
-	.B2(n_102),
+	.B2(n_5293),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [86]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -335175,7 +335186,7 @@
 	.A1_N(n_32711),
 	.A2_N(n_5390),
 	.B1(n_4303),
-	.B2(n_101),
+	.B2(n_5292),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [17]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -335185,7 +335196,7 @@
 	.A1_N(n_31498),
 	.A2_N(n_5384),
 	.B1(n_4310),
-	.B2(n_132),
+	.B2(n_5290),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [47]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -335193,7 +335204,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838929 (
 	.A1(n_4289),
-	.A2(n_132),
+	.A2(n_5290),
 	.B1(n_318),
 	.B2(n_5392),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [70]), 
@@ -335203,7 +335214,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838930 (
 	.A1(n_4296),
-	.A2(n_132),
+	.A2(n_5290),
 	.B1(n_349),
 	.B2(n_5392),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [63]), 
@@ -335233,7 +335244,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838933 (
 	.A1(n_4293),
-	.A2(n_62),
+	.A2(n_5291),
 	.B1(n_329),
 	.B2(n_5393),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [144]), 
@@ -335243,7 +335254,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838934 (
 	.A1(n_4296),
-	.A2(n_62),
+	.A2(n_5291),
 	.B1(n_349),
 	.B2(n_5393),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [141]), 
@@ -335253,7 +335264,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838935 (
 	.A1(n_4289),
-	.A2(n_101),
+	.A2(n_5292),
 	.B1(n_318),
 	.B2(n_5395),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [31]), 
@@ -335263,7 +335274,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838936 (
 	.A1(n_4293),
-	.A2(n_101),
+	.A2(n_5292),
 	.B1(n_329),
 	.B2(n_5395),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [27]), 
@@ -335283,7 +335294,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838938 (
 	.A1(n_4289),
-	.A2(n_102),
+	.A2(n_5293),
 	.B1(n_318),
 	.B2(n_5394),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [109]), 
@@ -335343,7 +335354,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838944 (
 	.A1(n_4290),
-	.A2(n_132),
+	.A2(n_5290),
 	.B1(n_554),
 	.B2(n_5392),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [69]), 
@@ -335363,7 +335374,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838946 (
 	.A1(n_4294),
-	.A2(n_132),
+	.A2(n_5290),
 	.B1(n_564),
 	.B2(n_5392),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [65]), 
@@ -335383,7 +335394,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838948 (
 	.A1(n_4290),
-	.A2(n_62),
+	.A2(n_5291),
 	.B1(n_554),
 	.B2(n_5393),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [147]), 
@@ -335403,7 +335414,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838950 (
 	.A1(n_4294),
-	.A2(n_62),
+	.A2(n_5291),
 	.B1(n_564),
 	.B2(n_5393),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [143]), 
@@ -335423,7 +335434,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838952 (
 	.A1(n_4290),
-	.A2(n_101),
+	.A2(n_5292),
 	.B1(n_554),
 	.B2(n_5395),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [30]), 
@@ -335443,7 +335454,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838954 (
 	.A1(n_4295),
-	.A2(n_101),
+	.A2(n_5292),
 	.B1(n_292),
 	.B2(n_5395),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [25]), 
@@ -335463,7 +335474,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838956 (
 	.A1(n_4290),
-	.A2(n_102),
+	.A2(n_5293),
 	.B1(n_554),
 	.B2(n_5394),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [108]), 
@@ -335473,7 +335484,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838957 (
 	.A1(n_4291),
-	.A2(n_102),
+	.A2(n_5293),
 	.B1(n_556),
 	.B2(n_5394),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [107]), 
@@ -335493,7 +335504,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838959 (
 	.A1(n_4295),
-	.A2(n_102),
+	.A2(n_5293),
 	.B1(n_292),
 	.B2(n_5394),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [103]), 
@@ -335503,7 +335514,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838960 (
 	.A1(n_4292),
-	.A2(n_102),
+	.A2(n_5293),
 	.B1(n_282),
 	.B2(n_5394),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [106]), 
@@ -335543,7 +335554,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838964 (
 	.A1(n_5119),
-	.A2(n_102),
+	.A2(n_5293),
 	.B1(n_3138),
 	.B2(n_5387),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [87]), 
@@ -335553,7 +335564,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838965 (
 	.A1(n_5119),
-	.A2(n_101),
+	.A2(n_5292),
 	.B1(n_3138),
 	.B2(n_5381),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [9]), 
@@ -335573,7 +335584,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838967 (
 	.A1(n_5120),
-	.A2(n_62),
+	.A2(n_5291),
 	.B1(n_3142),
 	.B2(n_5385),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [129]), 
@@ -335583,7 +335594,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838968 (
 	.A1(n_5119),
-	.A2(n_62),
+	.A2(n_5291),
 	.B1(n_3138),
 	.B2(n_5385),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [126]), 
@@ -335603,7 +335614,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g838970 (
 	.A1(n_5120),
-	.A2(n_132),
+	.A2(n_5290),
 	.B1(n_3142),
 	.B2(n_5383),
 	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [51]), 
@@ -335695,7 +335706,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g838980 (
-	.A1(n_190),
+	.A1(n_7918),
 	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[30]),
 	.B1(n_5321),
 	.Y(n_5458), 
@@ -336997,7 +337008,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g839118 (
-	.A(n_101),
+	.A(n_5292),
 	.B(n_272631_BAR),
 	.Y(n_5395), 
 	.VPWR(vccd1), 
@@ -337005,7 +337016,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g839119 (
-	.A(n_102),
+	.A(n_5293),
 	.B(n_272631_BAR),
 	.Y(n_5394), 
 	.VPWR(vccd1), 
@@ -337021,7 +337032,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g839121 (
-	.A(n_132),
+	.A(n_5290),
 	.B(n_272631_BAR),
 	.Y(n_5392), 
 	.VPWR(vccd1), 
@@ -337710,7 +337721,7 @@
    sky130_fd_sc_hd__a221o_1 g839188 (
 	.A1(n_32134),
 	.A2(brqrv_top_brqrv_dec_tlu_meihap[20]),
-	.B1(n_475),
+	.B1(n_7917),
 	.B2(brqrv_top_brqrv_dec_tlu_micect[20]),
 	.C1(n_5100),
 	.X(n_5308), 
@@ -338301,9 +338312,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g839251 (
-	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A1(n_8316),
 	.A2(n_4886),
-	.B1(n_239),
+	.B1(n_8276),
 	.B2(n_33547),
 	.C1(n_2254),
 	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[1]), 
@@ -338312,9 +338323,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g839252 (
-	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A1(n_8316),
 	.A2(n_4885),
-	.B1(n_239),
+	.B1(n_8276),
 	.B2(n_33516),
 	.C1(n_2255),
 	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[4]), 
@@ -338343,9 +338354,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g839255 (
-	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A1(n_8316),
 	.A2(n_4883),
-	.B1(n_239),
+	.B1(n_8276),
 	.B2(n_33523),
 	.C1(n_2258),
 	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[12]), 
@@ -338354,9 +338365,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g839256 (
-	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A1(n_8316),
 	.A2(n_4882),
-	.B1(n_239),
+	.B1(n_8276),
 	.B2(n_33520),
 	.C1(n_2256),
 	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[9]), 
@@ -339463,7 +339474,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g839369 (
-	.A1(n_96),
+	.A1(n_4142),
 	.A2(n_38825),
 	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
 	.B2(brqrv_top_brqrv_lsu_error_pkt_r[33]),
@@ -339485,7 +339496,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g839371 (
-	.A1(n_96),
+	.A1(n_4142),
 	.A2(brqrv_top_brqrv_dec_tlu_pc_r[28]),
 	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
 	.B2(brqrv_top_brqrv_lsu_error_pkt_r[30]),
@@ -339529,7 +339540,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g839375 (
-	.A1(n_96),
+	.A1(n_4142),
 	.A2(brqrv_top_brqrv_dec_tlu_pc_r[8]),
 	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
 	.B2(brqrv_top_brqrv_lsu_error_pkt_r[10]),
@@ -339866,7 +339877,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g839412 (
-	.A1(n_96),
+	.A1(n_4142),
 	.A2(brqrv_top_brqrv_dec_tlu_pc_r[2]),
 	.B1(n_3127),
 	.B2(brqrv_top_brqrv_dec_tlu_n_5591),
@@ -340059,7 +340070,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g839432 (
-	.A1(n_96),
+	.A1(n_4142),
 	.A2(brqrv_top_brqrv_dec_tlu_pc_r[12]),
 	.B1(n_3127),
 	.B2(brqrv_top_brqrv_dec_tlu_n_5601),
@@ -340089,7 +340100,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g839435 (
-	.A1(n_96),
+	.A1(n_4142),
 	.A2(brqrv_top_brqrv_dec_tlu_pc_r[1]),
 	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
 	.B2(brqrv_top_brqrv_lsu_error_pkt_r[3]),
@@ -340176,7 +340187,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839444 (
 	.A1(n_32795),
-	.A2(n_74),
+	.A2(n_4685),
 	.B1(n_30278),
 	.B2(n_32793),
 	.C1(n_1084),
@@ -340200,7 +340211,7 @@
 	.A1(n_30278),
 	.A2(n_31678),
 	.B1(n_31680),
-	.B2(n_74),
+	.B2(n_4685),
 	.C1(n_819),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1023]), 
 	.VPWR(vccd1), 
@@ -340242,7 +340253,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839450 (
 	.A1(n_31685),
-	.A2(n_74),
+	.A2(n_4685),
 	.B1(n_30278),
 	.B2(n_32802),
 	.C1(n_962),
@@ -340277,7 +340288,7 @@
 	.A1(n_30278),
 	.A2(n_31729),
 	.B1(n_31731),
-	.B2(n_74),
+	.B2(n_4685),
 	.C1(n_1204),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[159]), 
 	.VPWR(vccd1), 
@@ -340286,7 +340297,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839454 (
 	.A1(n_32815),
-	.A2(n_74),
+	.A2(n_4685),
 	.B1(n_30278),
 	.B2(n_32814),
 	.C1(n_682),
@@ -340299,7 +340310,7 @@
 	.A1(n_30278),
 	.A2(n_31735),
 	.B1(n_31737),
-	.B2(n_74),
+	.B2(n_4685),
 	.C1(n_1340),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[255]), 
 	.VPWR(vccd1), 
@@ -340329,7 +340340,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g839458 (
-	.A1(n_191),
+	.A1(n_7735),
 	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[26]),
 	.B1(n_33513),
 	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[26]),
@@ -340341,7 +340352,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839459 (
 	.A1(n_31721),
-	.A2(n_74),
+	.A2(n_4685),
 	.B1(n_30278),
 	.B2(n_31719),
 	.C1(n_1048),
@@ -340376,7 +340387,7 @@
 	.A1(n_30278),
 	.A2(n_31726),
 	.B1(n_31728),
-	.B2(n_74),
+	.B2(n_4685),
 	.C1(n_936),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[127]), 
 	.VPWR(vccd1), 
@@ -340387,7 +340398,7 @@
 	.A1(n_30278),
 	.A2(n_31724),
 	.B1(n_32817),
-	.B2(n_74),
+	.B2(n_4685),
 	.C1(n_1007),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[95]), 
 	.VPWR(vccd1), 
@@ -340396,7 +340407,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839464 (
 	.A1(n_31686),
-	.A2(n_74),
+	.A2(n_4685),
 	.B1(n_30278),
 	.B2(n_32806),
 	.C1(n_801),
@@ -340418,7 +340429,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839466 (
 	.A1(n_31688),
-	.A2(n_74),
+	.A2(n_4685),
 	.B1(n_30278),
 	.B2(n_32808),
 	.C1(n_930),
@@ -340431,7 +340442,7 @@
 	.A1(n_30278),
 	.A2(n_31698),
 	.B1(n_31700),
-	.B2(n_74),
+	.B2(n_4685),
 	.C1(n_981),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[511]), 
 	.VPWR(vccd1), 
@@ -340462,7 +340473,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839470 (
 	.A1(n_31691),
-	.A2(n_74),
+	.A2(n_4685),
 	.B1(n_30278),
 	.B2(n_31689),
 	.C1(n_1247),
@@ -340473,7 +340484,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839471 (
 	.A1(n_31715),
-	.A2(n_74),
+	.A2(n_4685),
 	.B1(n_30278),
 	.B2(n_31713),
 	.C1(n_696),
@@ -340497,7 +340508,7 @@
 	.A1(n_30278),
 	.A2(n_31704),
 	.B1(n_31706),
-	.B2(n_74),
+	.B2(n_4685),
 	.C1(n_1079),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[415]), 
 	.VPWR(vccd1), 
@@ -340688,7 +340699,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g839492 (
-	.A1(n_96),
+	.A1(n_4142),
 	.A2(brqrv_top_brqrv_dec_tlu_pc_r[14]),
 	.B1(n_3127),
 	.B2(brqrv_top_brqrv_dec_tlu_n_5603),
@@ -340795,7 +340806,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g839502 (
-	.A1(n_96),
+	.A1(n_4142),
 	.A2(brqrv_top_brqrv_dec_tlu_pc_r[29]),
 	.B1(n_3127),
 	.B2(brqrv_top_brqrv_dec_tlu_n_5618),
@@ -340860,7 +340871,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g839508 (
-	.A1(n_96),
+	.A1(n_4142),
 	.A2(brqrv_top_brqrv_dec_tlu_pc_r[23]),
 	.B1(n_3127),
 	.B2(brqrv_top_brqrv_dec_tlu_n_5612),
@@ -340893,7 +340904,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g839511 (
-	.A1(n_96),
+	.A1(n_4142),
 	.A2(brqrv_top_brqrv_dec_tlu_pc_r[19]),
 	.B1(n_3127),
 	.B2(brqrv_top_brqrv_dec_tlu_n_5608),
@@ -341126,7 +341137,7 @@
    sky130_fd_sc_hd__o22ai_1 g839534 (
 	.A1(n_2045),
 	.A2(n_4853),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_4683),
 	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[1]_290 ), 
 	.VPWR(vccd1), 
@@ -341360,9 +341371,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g839558 (
-	.A1(n_190),
+	.A1(n_7918),
 	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[19]),
-	.B1(n_469),
+	.B1(n_7618),
 	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[19]),
 	.C1(n_4930),
 	.Y(n_5098), 
@@ -341371,9 +341382,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g839559 (
-	.A1(n_190),
+	.A1(n_7918),
 	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[27]),
-	.B1(n_469),
+	.B1(n_7618),
 	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[27]),
 	.C1(n_4928),
 	.Y(n_5097), 
@@ -342431,7 +342442,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g839665 (
-	.A1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.A1(n_7983),
 	.A2(n_3698),
 	.B1(n_4727),
 	.Y(n_5028), 
@@ -342653,7 +342664,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g839692 (
 	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[1]),
 	.A2_N(n_4692),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_4692),
 	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_11), 
 	.VPWR(vccd1), 
@@ -342816,7 +342827,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g839710 (
-	.A1(n_193),
+	.A1(n_7838),
 	.A2(brqrv_top_brqrv_dec_tlu_mscratch[4]),
 	.B1(n_39530),
 	.B2(brqrv_top_brqrv_dec_tlu_mcyclel[4]),
@@ -343586,7 +343597,7 @@
    sky130_fd_sc_hd__o22ai_1 g839790 (
 	.A1(n_2043),
 	.A2(n_4681),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_4453),
 	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[1]_280 ), 
 	.VPWR(vccd1), 
@@ -345200,7 +345211,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839960 (
 	.A1(n_31686),
-	.A2(n_76),
+	.A2(n_4020),
 	.B1(n_434),
 	.B2(n_32806),
 	.C1(n_871),
@@ -345222,7 +345233,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839962 (
 	.A1(n_31686),
-	.A2(n_98),
+	.A2(n_4018),
 	.B1(n_430),
 	.B2(n_32806),
 	.C1(n_1312),
@@ -345246,7 +345257,7 @@
 	.A1(n_146),
 	.A2(n_32806),
 	.B1(n_31686),
-	.B2(n_64),
+	.B2(n_4017),
 	.C1(n_941),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[719]), 
 	.VPWR(vccd1), 
@@ -345284,7 +345295,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839968 (
-	.A1(n_59),
+	.A1(n_4010),
 	.A2(n_31686),
 	.B1(n_30270),
 	.B2(n_32806),
@@ -345295,7 +345306,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839969 (
-	.A1(n_61),
+	.A1(n_4005),
 	.A2(n_31686),
 	.B1(n_30271),
 	.B2(n_32806),
@@ -345346,7 +345357,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839974 (
 	.A1(n_31686),
-	.A2(n_86),
+	.A2(n_4014),
 	.B1(n_30276),
 	.B2(n_32806),
 	.C1(n_858),
@@ -345496,7 +345507,7 @@
 	.A1(n_30277),
 	.A2(n_32806),
 	.B1(n_31686),
-	.B2(n_84),
+	.B2(n_4012),
 	.C1(n_1200),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[729]), 
 	.VPWR(vccd1), 
@@ -345505,7 +345516,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839992 (
 	.A1(n_31686),
-	.A2(n_66),
+	.A2(n_4009),
 	.B1(n_431),
 	.B2(n_32806),
 	.C1(n_1153),
@@ -345527,7 +345538,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839994 (
 	.A1(n_31686),
-	.A2(n_70),
+	.A2(n_4007),
 	.B1(n_30256),
 	.B2(n_32806),
 	.C1(n_1281),
@@ -345538,7 +345549,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839995 (
 	.A1(n_32817),
-	.A2(n_72),
+	.A2(n_4003),
 	.B1(n_30282),
 	.B2(n_31724),
 	.C1(n_1211),
@@ -345548,10 +345559,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g839996 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_31724),
 	.B1(n_32817),
-	.B2(n_78),
+	.B2(n_4001),
 	.C1(n_950),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[66]), 
 	.VPWR(vccd1), 
@@ -345729,7 +345740,7 @@
 	.A1(n_30268),
 	.A2(n_31724),
 	.B1(n_32817),
-	.B2(n_94),
+	.B2(n_4016),
 	.C1(n_729),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[80]), 
 	.VPWR(vccd1), 
@@ -346160,7 +346171,7 @@
    sky130_fd_sc_hd__o221ai_1 g840055 (
 	.A1(n_30270),
 	.A2(n_31724),
-	.B1(n_59),
+	.B1(n_4010),
 	.B2(n_32817),
 	.C1(n_717),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[82]), 
@@ -346225,7 +346236,7 @@
    sky130_fd_sc_hd__o221ai_1 g840061 (
 	.A1(n_30271),
 	.A2(n_31724),
-	.B1(n_61),
+	.B1(n_4005),
 	.B2(n_32817),
 	.C1(n_860),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[83]), 
@@ -346442,7 +346453,7 @@
 	.A1(n_30273),
 	.A2(n_31724),
 	.B1(n_32817),
-	.B2(n_82),
+	.B2(n_4015),
 	.C1(n_790),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[84]), 
 	.VPWR(vccd1), 
@@ -346544,7 +346555,7 @@
 	.A1(n_30256),
 	.A2(n_32793),
 	.B1(n_32795),
-	.B2(n_70),
+	.B2(n_4007),
 	.C1(n_1270),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[924]), 
 	.VPWR(vccd1), 
@@ -346553,7 +346564,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840092 (
 	.A1(n_32795),
-	.A2(n_80),
+	.A2(n_4008),
 	.B1(n_428),
 	.B2(n_32793),
 	.C1(n_1269),
@@ -346564,7 +346575,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840093 (
 	.A1(n_32795),
-	.A2(n_66),
+	.A2(n_4009),
 	.B1(n_431),
 	.B2(n_32793),
 	.C1(n_820),
@@ -346575,7 +346586,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840094 (
 	.A1(n_32795),
-	.A2(n_84),
+	.A2(n_4012),
 	.B1(n_30277),
 	.B2(n_32793),
 	.C1(n_1185),
@@ -346586,7 +346597,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840095 (
 	.A1(n_32795),
-	.A2(n_68),
+	.A2(n_4013),
 	.B1(n_30272),
 	.B2(n_32793),
 	.C1(n_745),
@@ -346597,7 +346608,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840096 (
 	.A1(n_32795),
-	.A2(n_86),
+	.A2(n_4014),
 	.B1(n_30276),
 	.B2(n_32793),
 	.C1(n_1063),
@@ -346665,7 +346676,7 @@
 	.A1(n_146),
 	.A2(n_32793),
 	.B1(n_32795),
-	.B2(n_64),
+	.B2(n_4017),
 	.C1(n_1209),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[911]), 
 	.VPWR(vccd1), 
@@ -346674,7 +346685,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840103 (
 	.A1(n_32795),
-	.A2(n_90),
+	.A2(n_4006),
 	.B1(n_432),
 	.B2(n_32793),
 	.C1(n_710),
@@ -346707,7 +346718,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840106 (
 	.A1(n_32795),
-	.A2(n_76),
+	.A2(n_4020),
 	.B1(n_434),
 	.B2(n_32793),
 	.C1(n_1074),
@@ -346750,7 +346761,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840110 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_32793),
 	.B1(n_32795),
 	.B2(n_4001),
@@ -346808,7 +346819,7 @@
 	.A1(n_30277),
 	.A2(n_32796),
 	.B1(n_32797),
-	.B2(n_84),
+	.B2(n_4012),
 	.C1(n_1068),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[889]), 
 	.VPWR(vccd1), 
@@ -346817,7 +346828,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840116 (
 	.A1(n_32797),
-	.A2(n_68),
+	.A2(n_4013),
 	.B1(n_30272),
 	.B2(n_32796),
 	.C1(n_1303),
@@ -346849,7 +346860,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840119 (
-	.A1(n_61),
+	.A1(n_4005),
 	.A2(n_32797),
 	.B1(n_30271),
 	.B2(n_32796),
@@ -346860,7 +346871,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840120 (
-	.A1(n_59),
+	.A1(n_4010),
 	.A2(n_32797),
 	.B1(n_30270),
 	.B2(n_32796),
@@ -346883,7 +346894,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840122 (
 	.A1(n_32797),
-	.A2(n_94),
+	.A2(n_4016),
 	.B1(n_30268),
 	.B2(n_32796),
 	.C1(n_798),
@@ -346916,7 +346927,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840125 (
 	.A1(n_32797),
-	.A2(n_98),
+	.A2(n_4018),
 	.B1(n_430),
 	.B2(n_32796),
 	.C1(n_972),
@@ -346927,7 +346938,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840126 (
 	.A1(n_32797),
-	.A2(n_100),
+	.A2(n_4019),
 	.B1(n_429),
 	.B2(n_32796),
 	.C1(n_900),
@@ -346938,7 +346949,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840127 (
 	.A1(n_32797),
-	.A2(n_76),
+	.A2(n_4020),
 	.B1(n_434),
 	.B2(n_32796),
 	.C1(n_1127),
@@ -346971,7 +346982,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840130 (
 	.A1(n_32797),
-	.A2(n_92),
+	.A2(n_4000),
 	.B1(n_30280),
 	.B2(n_32796),
 	.C1(n_739),
@@ -346981,7 +346992,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840131 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_32796),
 	.B1(n_32797),
 	.B2(n_4001),
@@ -347015,7 +347026,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840134 (
 	.A1(n_31680),
-	.A2(n_80),
+	.A2(n_4008),
 	.B1(n_428),
 	.B2(n_31678),
 	.C1(n_1228),
@@ -347048,7 +347059,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840137 (
 	.A1(n_31680),
-	.A2(n_68),
+	.A2(n_4013),
 	.B1(n_30272),
 	.B2(n_31678),
 	.C1(n_1230),
@@ -347061,7 +347072,7 @@
 	.A1(n_30276),
 	.A2(n_31678),
 	.B1(n_31680),
-	.B2(n_86),
+	.B2(n_4014),
 	.C1(n_1234),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1013]), 
 	.VPWR(vccd1), 
@@ -347136,7 +347147,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840145 (
 	.A1(n_31680),
-	.A2(n_90),
+	.A2(n_4006),
 	.B1(n_432),
 	.B2(n_31678),
 	.C1(n_1232),
@@ -347147,7 +347158,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840146 (
 	.A1(n_31680),
-	.A2(n_98),
+	.A2(n_4018),
 	.B1(n_430),
 	.B2(n_31678),
 	.C1(n_1085),
@@ -347158,7 +347169,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840147 (
 	.A1(n_31680),
-	.A2(n_100),
+	.A2(n_4019),
 	.B1(n_429),
 	.B2(n_31678),
 	.C1(n_1293),
@@ -347202,7 +347213,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840151 (
 	.A1(n_31680),
-	.A2(n_92),
+	.A2(n_4000),
 	.B1(n_30280),
 	.B2(n_31678),
 	.C1(n_911),
@@ -347213,8 +347224,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840152 (
 	.A1(n_31680),
-	.A2(n_78),
-	.B1(n_30269),
+	.A2(n_4001),
+	.B1(n_25371),
 	.B2(n_31678),
 	.C1(n_775),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[994]), 
@@ -347224,7 +347235,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840153 (
 	.A1(n_31680),
-	.A2(n_72),
+	.A2(n_4003),
 	.B1(n_30282),
 	.B2(n_31678),
 	.C1(n_776),
@@ -347237,7 +347248,7 @@
 	.A1(n_30256),
 	.A2(n_31722),
 	.B1(n_32810),
-	.B2(n_70),
+	.B2(n_4007),
 	.C1(n_855),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[988]), 
 	.VPWR(vccd1), 
@@ -347246,7 +347257,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840155 (
 	.A1(n_32810),
-	.A2(n_80),
+	.A2(n_4008),
 	.B1(n_428),
 	.B2(n_31722),
 	.C1(n_1158),
@@ -347301,7 +347312,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840160 (
 	.A1(n_32810),
-	.A2(n_82),
+	.A2(n_4015),
 	.B1(n_30273),
 	.B2(n_31722),
 	.C1(n_1108),
@@ -347311,7 +347322,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840161 (
-	.A1(n_61),
+	.A1(n_4005),
 	.A2(n_32810),
 	.B1(n_30271),
 	.B2(n_31722),
@@ -347322,7 +347333,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840162 (
-	.A1(n_59),
+	.A1(n_4010),
 	.A2(n_32810),
 	.B1(n_30270),
 	.B2(n_31722),
@@ -347358,7 +347369,7 @@
 	.A1(n_146),
 	.A2(n_31722),
 	.B1(n_32810),
-	.B2(n_64),
+	.B2(n_4017),
 	.C1(n_1220),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[975]), 
 	.VPWR(vccd1), 
@@ -347367,7 +347378,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840166 (
 	.A1(n_32810),
-	.A2(n_90),
+	.A2(n_4006),
 	.B1(n_432),
 	.B2(n_31722),
 	.C1(n_1106),
@@ -347400,7 +347411,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840169 (
 	.A1(n_32810),
-	.A2(n_76),
+	.A2(n_4020),
 	.B1(n_434),
 	.B2(n_31722),
 	.C1(n_810),
@@ -347433,7 +347444,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840172 (
 	.A1(n_32810),
-	.A2(n_92),
+	.A2(n_4000),
 	.B1(n_30280),
 	.B2(n_31722),
 	.C1(n_1298),
@@ -347445,7 +347456,7 @@
    sky130_fd_sc_hd__o221ai_1 g840173 (
 	.A1(n_32810),
 	.A2(n_4001),
-	.B1(n_30269),
+	.B1(n_25371),
 	.B2(n_31722),
 	.C1(n_683),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[962]), 
@@ -347466,7 +347477,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840175 (
 	.A1(n_31677),
-	.A2(n_70),
+	.A2(n_4007),
 	.B1(n_30256),
 	.B2(n_31675),
 	.C1(n_1289),
@@ -347488,7 +347499,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840177 (
 	.A1(n_31677),
-	.A2(n_66),
+	.A2(n_4009),
 	.B1(n_431),
 	.B2(n_31675),
 	.C1(n_1090),
@@ -347532,7 +347543,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840181 (
 	.A1(n_31677),
-	.A2(n_82),
+	.A2(n_4015),
 	.B1(n_30273),
 	.B2(n_31675),
 	.C1(n_1049),
@@ -347598,7 +347609,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840187 (
 	.A1(n_31677),
-	.A2(n_90),
+	.A2(n_4006),
 	.B1(n_432),
 	.B2(n_31675),
 	.C1(n_1288),
@@ -347620,7 +347631,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840189 (
 	.A1(n_31677),
-	.A2(n_100),
+	.A2(n_4019),
 	.B1(n_429),
 	.B2(n_31675),
 	.C1(n_842),
@@ -347631,7 +347642,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840190 (
 	.A1(n_31677),
-	.A2(n_76),
+	.A2(n_4020),
 	.B1(n_434),
 	.B2(n_31675),
 	.C1(n_1279),
@@ -347664,7 +347675,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840193 (
 	.A1(n_31677),
-	.A2(n_92),
+	.A2(n_4000),
 	.B1(n_30280),
 	.B2(n_31675),
 	.C1(n_1112),
@@ -347676,7 +347687,7 @@
    sky130_fd_sc_hd__o221ai_1 g840194 (
 	.A1(n_31677),
 	.A2(n_4001),
-	.B1(n_30269),
+	.B1(n_25371),
 	.B2(n_31675),
 	.C1(n_1045),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[930]), 
@@ -347686,7 +347697,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840195 (
 	.A1(n_31677),
-	.A2(n_72),
+	.A2(n_4003),
 	.B1(n_30282),
 	.B2(n_31675),
 	.C1(n_841),
@@ -347708,7 +347719,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840197 (
 	.A1(n_32801),
-	.A2(n_80),
+	.A2(n_4008),
 	.B1(n_428),
 	.B2(n_31683),
 	.C1(n_1141),
@@ -347719,7 +347730,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840198 (
 	.A1(n_32801),
-	.A2(n_66),
+	.A2(n_4009),
 	.B1(n_431),
 	.B2(n_31683),
 	.C1(n_1301),
@@ -347730,7 +347741,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840199 (
 	.A1(n_32801),
-	.A2(n_84),
+	.A2(n_4012),
 	.B1(n_30277),
 	.B2(n_31683),
 	.C1(n_736),
@@ -347752,7 +347763,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840201 (
 	.A1(n_32801),
-	.A2(n_86),
+	.A2(n_4014),
 	.B1(n_30276),
 	.B2(n_31683),
 	.C1(n_1292),
@@ -347773,7 +347784,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840203 (
-	.A1(n_61),
+	.A1(n_4005),
 	.A2(n_32801),
 	.B1(n_30271),
 	.B2(n_31683),
@@ -347784,7 +347795,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840204 (
-	.A1(n_59),
+	.A1(n_4010),
 	.A2(n_32801),
 	.B1(n_30270),
 	.B2(n_31683),
@@ -347851,7 +347862,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840210 (
 	.A1(n_32801),
-	.A2(n_100),
+	.A2(n_4019),
 	.B1(n_429),
 	.B2(n_31683),
 	.C1(n_1035),
@@ -347862,7 +347873,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840211 (
 	.A1(n_32801),
-	.A2(n_76),
+	.A2(n_4020),
 	.B1(n_434),
 	.B2(n_31683),
 	.C1(n_1034),
@@ -347906,8 +347917,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840215 (
 	.A1(n_32801),
-	.A2(n_78),
-	.B1(n_30269),
+	.A2(n_4001),
+	.B1(n_25371),
 	.B2(n_31683),
 	.C1(n_1245),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[802]), 
@@ -347950,7 +347961,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840219 (
 	.A1(n_31685),
-	.A2(n_66),
+	.A2(n_4009),
 	.B1(n_431),
 	.B2(n_32802),
 	.C1(n_1284),
@@ -347972,7 +347983,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840221 (
 	.A1(n_31685),
-	.A2(n_68),
+	.A2(n_4013),
 	.B1(n_30272),
 	.B2(n_32802),
 	.C1(n_963),
@@ -347983,7 +347994,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840222 (
 	.A1(n_31685),
-	.A2(n_86),
+	.A2(n_4014),
 	.B1(n_30276),
 	.B2(n_32802),
 	.C1(n_994),
@@ -348038,7 +348049,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840227 (
 	.A1(n_31685),
-	.A2(n_94),
+	.A2(n_4016),
 	.B1(n_30268),
 	.B2(n_32802),
 	.C1(n_988),
@@ -348062,7 +348073,7 @@
 	.A1(n_432),
 	.A2(n_32802),
 	.B1(n_31685),
-	.B2(n_90),
+	.B2(n_4006),
 	.C1(n_1003),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[782]), 
 	.VPWR(vccd1), 
@@ -348082,7 +348093,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840231 (
 	.A1(n_31685),
-	.A2(n_100),
+	.A2(n_4019),
 	.B1(n_429),
 	.B2(n_32802),
 	.C1(n_1026),
@@ -348093,7 +348104,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840232 (
 	.A1(n_31685),
-	.A2(n_76),
+	.A2(n_4020),
 	.B1(n_434),
 	.B2(n_32802),
 	.C1(n_1013),
@@ -348138,7 +348149,7 @@
    sky130_fd_sc_hd__o221ai_1 g840236 (
 	.A1(n_31685),
 	.A2(n_4001),
-	.B1(n_30269),
+	.B1(n_25371),
 	.B2(n_32802),
 	.C1(n_1030),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[770]), 
@@ -348148,7 +348159,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840237 (
 	.A1(n_31685),
-	.A2(n_72),
+	.A2(n_4003),
 	.B1(n_30282),
 	.B2(n_32802),
 	.C1(n_1032),
@@ -348159,7 +348170,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840238 (
 	.A1(n_32813),
-	.A2(n_70),
+	.A2(n_4007),
 	.B1(n_30256),
 	.B2(n_32811),
 	.C1(n_1065),
@@ -348170,7 +348181,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840239 (
 	.A1(n_32813),
-	.A2(n_80),
+	.A2(n_4008),
 	.B1(n_428),
 	.B2(n_32811),
 	.C1(n_1037),
@@ -348192,7 +348203,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840241 (
 	.A1(n_32813),
-	.A2(n_84),
+	.A2(n_4012),
 	.B1(n_30277),
 	.B2(n_32811),
 	.C1(n_939),
@@ -348225,7 +348236,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840244 (
 	.A1(n_32813),
-	.A2(n_82),
+	.A2(n_4015),
 	.B1(n_30273),
 	.B2(n_32811),
 	.C1(n_892),
@@ -348269,7 +348280,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840248 (
 	.A1(n_32813),
-	.A2(n_94),
+	.A2(n_4016),
 	.B1(n_30268),
 	.B2(n_32811),
 	.C1(n_901),
@@ -348282,7 +348293,7 @@
 	.A1(n_146),
 	.A2(n_32811),
 	.B1(n_32813),
-	.B2(n_64),
+	.B2(n_4017),
 	.C1(n_1131),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[847]), 
 	.VPWR(vccd1), 
@@ -348293,7 +348304,7 @@
 	.A1(n_432),
 	.A2(n_32811),
 	.B1(n_32813),
-	.B2(n_90),
+	.B2(n_4006),
 	.C1(n_1267),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[846]), 
 	.VPWR(vccd1), 
@@ -348302,7 +348313,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840251 (
 	.A1(n_32813),
-	.A2(n_98),
+	.A2(n_4018),
 	.B1(n_430),
 	.B2(n_32811),
 	.C1(n_752),
@@ -348367,10 +348378,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840257 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_32811),
 	.B1(n_32813),
-	.B2(n_78),
+	.B2(n_4001),
 	.C1(n_1348),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[834]), 
 	.VPWR(vccd1), 
@@ -348412,7 +348423,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840261 (
 	.A1(n_31725),
-	.A2(n_66),
+	.A2(n_4009),
 	.B1(n_431),
 	.B2(n_32818),
 	.C1(n_1283),
@@ -348423,7 +348434,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840262 (
 	.A1(n_31725),
-	.A2(n_84),
+	.A2(n_4012),
 	.B1(n_30277),
 	.B2(n_32818),
 	.C1(n_836),
@@ -348434,7 +348445,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840263 (
 	.A1(n_31725),
-	.A2(n_68),
+	.A2(n_4013),
 	.B1(n_30272),
 	.B2(n_32818),
 	.C1(n_833),
@@ -348466,7 +348477,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840266 (
-	.A1(n_61),
+	.A1(n_4005),
 	.A2(n_31725),
 	.B1(n_30271),
 	.B2(n_32818),
@@ -348477,7 +348488,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840267 (
-	.A1(n_59),
+	.A1(n_4010),
 	.A2(n_31725),
 	.B1(n_30270),
 	.B2(n_32818),
@@ -348590,7 +348601,7 @@
 	.A1(n_30280),
 	.A2(n_32818),
 	.B1(n_31725),
-	.B2(n_92),
+	.B2(n_4000),
 	.C1(n_954),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[35]), 
 	.VPWR(vccd1), 
@@ -348600,7 +348611,7 @@
    sky130_fd_sc_hd__o221ai_1 g840278 (
 	.A1(n_31725),
 	.A2(n_4001),
-	.B1(n_30269),
+	.B1(n_25371),
 	.B2(n_32818),
 	.C1(n_1336),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[34]), 
@@ -348634,7 +348645,7 @@
 	.A1(n_428),
 	.A2(n_31729),
 	.B1(n_31731),
-	.B2(n_80),
+	.B2(n_4008),
 	.C1(n_1271),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[155]), 
 	.VPWR(vccd1), 
@@ -348645,7 +348656,7 @@
 	.A1(n_431),
 	.A2(n_31729),
 	.B1(n_31731),
-	.B2(n_66),
+	.B2(n_4009),
 	.C1(n_704),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[154]), 
 	.VPWR(vccd1), 
@@ -348689,7 +348700,7 @@
 	.A1(n_30273),
 	.A2(n_31729),
 	.B1(n_31731),
-	.B2(n_82),
+	.B2(n_4015),
 	.C1(n_958),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[148]), 
 	.VPWR(vccd1), 
@@ -348744,7 +348755,7 @@
 	.A1(n_146),
 	.A2(n_31729),
 	.B1(n_31731),
-	.B2(n_64),
+	.B2(n_4017),
 	.C1(n_1011),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[143]), 
 	.VPWR(vccd1), 
@@ -348755,7 +348766,7 @@
 	.A1(n_432),
 	.A2(n_31729),
 	.B1(n_31731),
-	.B2(n_90),
+	.B2(n_4006),
 	.C1(n_1143),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[142]), 
 	.VPWR(vccd1), 
@@ -348821,7 +348832,7 @@
 	.A1(n_30280),
 	.A2(n_31729),
 	.B1(n_31731),
-	.B2(n_92),
+	.B2(n_4000),
 	.C1(n_703),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[131]), 
 	.VPWR(vccd1), 
@@ -348829,10 +348840,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840299 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_31729),
 	.B1(n_31731),
-	.B2(n_78),
+	.B2(n_4001),
 	.C1(n_678),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[130]), 
 	.VPWR(vccd1), 
@@ -348843,7 +348854,7 @@
 	.A1(n_30282),
 	.A2(n_31729),
 	.B1(n_31731),
-	.B2(n_72),
+	.B2(n_4003),
 	.C1(n_1145),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[129]), 
 	.VPWR(vccd1), 
@@ -348863,7 +348874,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840302 (
 	.A1(n_32815),
-	.A2(n_80),
+	.A2(n_4008),
 	.B1(n_428),
 	.B2(n_32814),
 	.C1(n_840),
@@ -348876,7 +348887,7 @@
 	.A1(n_431),
 	.A2(n_32814),
 	.B1(n_32815),
-	.B2(n_66),
+	.B2(n_4009),
 	.C1(n_872),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[218]), 
 	.VPWR(vccd1), 
@@ -348907,7 +348918,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840306 (
 	.A1(n_32815),
-	.A2(n_86),
+	.A2(n_4014),
 	.B1(n_30276),
 	.B2(n_32814),
 	.C1(n_811),
@@ -348920,7 +348931,7 @@
 	.A1(n_30273),
 	.A2(n_32814),
 	.B1(n_32815),
-	.B2(n_82),
+	.B2(n_4015),
 	.C1(n_815),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[212]), 
 	.VPWR(vccd1), 
@@ -348962,7 +348973,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840311 (
 	.A1(n_32815),
-	.A2(n_94),
+	.A2(n_4016),
 	.B1(n_30268),
 	.B2(n_32814),
 	.C1(n_952),
@@ -348997,7 +349008,7 @@
 	.A1(n_430),
 	.A2(n_32814),
 	.B1(n_32815),
-	.B2(n_98),
+	.B2(n_4018),
 	.C1(n_1038),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[205]), 
 	.VPWR(vccd1), 
@@ -349008,7 +349019,7 @@
 	.A1(n_429),
 	.A2(n_32814),
 	.B1(n_32815),
-	.B2(n_100),
+	.B2(n_4019),
 	.C1(n_1066),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[203]), 
 	.VPWR(vccd1), 
@@ -349060,10 +349071,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840320 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_32814),
 	.B1(n_32815),
-	.B2(n_78),
+	.B2(n_4001),
 	.C1(n_919),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[194]), 
 	.VPWR(vccd1), 
@@ -349074,7 +349085,7 @@
 	.A1(n_30282),
 	.A2(n_32814),
 	.B1(n_32815),
-	.B2(n_72),
+	.B2(n_4003),
 	.C1(n_1107),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[193]), 
 	.VPWR(vccd1), 
@@ -349127,7 +349138,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840326 (
 	.A1(n_31737),
-	.A2(n_68),
+	.A2(n_4013),
 	.B1(n_30272),
 	.B2(n_31735),
 	.C1(n_1325),
@@ -349140,7 +349151,7 @@
 	.A1(n_30276),
 	.A2(n_31735),
 	.B1(n_31737),
-	.B2(n_86),
+	.B2(n_4014),
 	.C1(n_1326),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[245]), 
 	.VPWR(vccd1), 
@@ -349161,7 +349172,7 @@
    sky130_fd_sc_hd__o221ai_1 g840329 (
 	.A1(n_30271),
 	.A2(n_31735),
-	.B1(n_61),
+	.B1(n_4005),
 	.B2(n_31737),
 	.C1(n_1316),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[243]), 
@@ -349195,7 +349206,7 @@
 	.A1(n_30268),
 	.A2(n_31735),
 	.B1(n_31737),
-	.B2(n_94),
+	.B2(n_4016),
 	.C1(n_1142),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[240]), 
 	.VPWR(vccd1), 
@@ -349228,7 +349239,7 @@
 	.A1(n_430),
 	.A2(n_31735),
 	.B1(n_31737),
-	.B2(n_98),
+	.B2(n_4018),
 	.C1(n_1086),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[237]), 
 	.VPWR(vccd1), 
@@ -349239,7 +349250,7 @@
 	.A1(n_429),
 	.A2(n_31735),
 	.B1(n_31737),
-	.B2(n_100),
+	.B2(n_4019),
 	.C1(n_927),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[235]), 
 	.VPWR(vccd1), 
@@ -349248,7 +349259,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840337 (
 	.A1(n_31737),
-	.A2(n_76),
+	.A2(n_4020),
 	.B1(n_434),
 	.B2(n_31735),
 	.C1(n_1104),
@@ -349291,10 +349302,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840341 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_31735),
 	.B1(n_31737),
-	.B2(n_78),
+	.B2(n_4001),
 	.C1(n_956),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[226]), 
 	.VPWR(vccd1), 
@@ -349316,7 +349327,7 @@
 	.A1(n_30256),
 	.A2(n_31732),
 	.B1(n_31734),
-	.B2(n_70),
+	.B2(n_4007),
 	.C1(n_782),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[188]), 
 	.VPWR(vccd1), 
@@ -349327,7 +349338,7 @@
 	.A1(n_428),
 	.A2(n_31732),
 	.B1(n_31734),
-	.B2(n_80),
+	.B2(n_4008),
 	.C1(n_1297),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[187]), 
 	.VPWR(vccd1), 
@@ -349360,7 +349371,7 @@
 	.A1(n_30272),
 	.A2(n_31732),
 	.B1(n_31734),
-	.B2(n_68),
+	.B2(n_4013),
 	.C1(n_1051),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[184]), 
 	.VPWR(vccd1), 
@@ -349382,7 +349393,7 @@
 	.A1(n_30273),
 	.A2(n_31732),
 	.B1(n_31734),
-	.B2(n_82),
+	.B2(n_4015),
 	.C1(n_975),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[180]), 
 	.VPWR(vccd1), 
@@ -349403,7 +349414,7 @@
    sky130_fd_sc_hd__o221ai_1 g840351 (
 	.A1(n_30270),
 	.A2(n_31732),
-	.B1(n_59),
+	.B1(n_4010),
 	.B2(n_31734),
 	.C1(n_1175),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[178]), 
@@ -349424,7 +349435,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840353 (
 	.A1(n_31734),
-	.A2(n_94),
+	.A2(n_4016),
 	.B1(n_30268),
 	.B2(n_31732),
 	.C1(n_1137),
@@ -349437,7 +349448,7 @@
 	.A1(n_146),
 	.A2(n_31732),
 	.B1(n_31734),
-	.B2(n_64),
+	.B2(n_4017),
 	.C1(n_966),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[175]), 
 	.VPWR(vccd1), 
@@ -349446,7 +349457,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840355 (
 	.A1(n_31734),
-	.A2(n_90),
+	.A2(n_4006),
 	.B1(n_432),
 	.B2(n_31732),
 	.C1(n_910),
@@ -349470,7 +349481,7 @@
 	.A1(n_429),
 	.A2(n_31732),
 	.B1(n_31734),
-	.B2(n_100),
+	.B2(n_4019),
 	.C1(n_835),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[171]), 
 	.VPWR(vccd1), 
@@ -349481,7 +349492,7 @@
 	.A1(n_434),
 	.A2(n_31732),
 	.B1(n_31734),
-	.B2(n_76),
+	.B2(n_4020),
 	.C1(n_830),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[170]), 
 	.VPWR(vccd1), 
@@ -349514,7 +349525,7 @@
 	.A1(n_30280),
 	.A2(n_31732),
 	.B1(n_31734),
-	.B2(n_92),
+	.B2(n_4000),
 	.C1(n_986),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[163]), 
 	.VPWR(vccd1), 
@@ -349522,10 +349533,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840362 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_31732),
 	.B1(n_31734),
-	.B2(n_78),
+	.B2(n_4001),
 	.C1(n_1338),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[162]), 
 	.VPWR(vccd1), 
@@ -349611,7 +349622,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840370 (
 	.A1(n_31697),
-	.A2(n_82),
+	.A2(n_4015),
 	.B1(n_30273),
 	.B2(n_31695),
 	.C1(n_766),
@@ -349621,7 +349632,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840371 (
-	.A1(n_61),
+	.A1(n_4005),
 	.A2(n_31697),
 	.B1(n_30271),
 	.B2(n_31695),
@@ -349632,7 +349643,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840372 (
-	.A1(n_59),
+	.A1(n_4010),
 	.A2(n_31697),
 	.B1(n_30270),
 	.B2(n_31695),
@@ -349668,7 +349679,7 @@
 	.A1(n_146),
 	.A2(n_31695),
 	.B1(n_31697),
-	.B2(n_64),
+	.B2(n_4017),
 	.C1(n_1019),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[527]), 
 	.VPWR(vccd1), 
@@ -349679,7 +349690,7 @@
 	.A1(n_432),
 	.A2(n_31695),
 	.B1(n_31697),
-	.B2(n_90),
+	.B2(n_4006),
 	.C1(n_1040),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[526]), 
 	.VPWR(vccd1), 
@@ -349710,7 +349721,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840379 (
 	.A1(n_31697),
-	.A2(n_76),
+	.A2(n_4020),
 	.B1(n_434),
 	.B2(n_31695),
 	.C1(n_1161),
@@ -349743,7 +349754,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840382 (
 	.A1(n_31697),
-	.A2(n_92),
+	.A2(n_4000),
 	.B1(n_30280),
 	.B2(n_31695),
 	.C1(n_946),
@@ -349753,7 +349764,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840383 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_31695),
 	.B1(n_31697),
 	.B2(n_4001),
@@ -349765,7 +349776,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840384 (
 	.A1(n_31697),
-	.A2(n_72),
+	.A2(n_4003),
 	.B1(n_30282),
 	.B2(n_31695),
 	.C1(n_1300),
@@ -349809,7 +349820,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840388 (
 	.A1(n_31674),
-	.A2(n_84),
+	.A2(n_4012),
 	.B1(n_30277),
 	.B2(n_31672),
 	.C1(n_982),
@@ -349820,7 +349831,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840389 (
 	.A1(n_31674),
-	.A2(n_68),
+	.A2(n_4013),
 	.B1(n_30272),
 	.B2(n_31672),
 	.C1(n_1039),
@@ -349852,7 +349863,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840392 (
-	.A1(n_61),
+	.A1(n_4005),
 	.A2(n_31674),
 	.B1(n_30271),
 	.B2(n_31672),
@@ -349863,7 +349874,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840393 (
-	.A1(n_59),
+	.A1(n_4010),
 	.A2(n_31674),
 	.B1(n_30270),
 	.B2(n_31672),
@@ -349875,7 +349886,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840394 (
 	.A1(n_31688),
-	.A2(n_70),
+	.A2(n_4007),
 	.B1(n_30256),
 	.B2(n_32808),
 	.C1(n_1226),
@@ -349921,7 +349932,7 @@
 	.A1(n_432),
 	.A2(n_31672),
 	.B1(n_31674),
-	.B2(n_90),
+	.B2(n_4006),
 	.C1(n_921),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[622]), 
 	.VPWR(vccd1), 
@@ -349932,7 +349943,7 @@
 	.A1(n_430),
 	.A2(n_31672),
 	.B1(n_31674),
-	.B2(n_98),
+	.B2(n_4018),
 	.C1(n_926),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[621]), 
 	.VPWR(vccd1), 
@@ -349985,7 +349996,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840404 (
 	.A1(n_31674),
-	.A2(n_92),
+	.A2(n_4000),
 	.B1(n_30280),
 	.B2(n_31672),
 	.C1(n_709),
@@ -349995,10 +350006,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840405 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_31672),
 	.B1(n_31674),
-	.B2(n_78),
+	.B2(n_4001),
 	.C1(n_1118),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[610]), 
 	.VPWR(vccd1), 
@@ -350007,7 +350018,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840406 (
 	.A1(n_31674),
-	.A2(n_72),
+	.A2(n_4003),
 	.B1(n_30282),
 	.B2(n_31672),
 	.C1(n_990),
@@ -350018,7 +350029,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840407 (
 	.A1(n_31721),
-	.A2(n_70),
+	.A2(n_4007),
 	.B1(n_30256),
 	.B2(n_31719),
 	.C1(n_983),
@@ -350062,7 +350073,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840411 (
 	.A1(n_31721),
-	.A2(n_68),
+	.A2(n_4013),
 	.B1(n_30272),
 	.B2(n_31719),
 	.C1(n_951),
@@ -350075,7 +350086,7 @@
 	.A1(n_30276),
 	.A2(n_31719),
 	.B1(n_31721),
-	.B2(n_86),
+	.B2(n_4014),
 	.C1(n_1109),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[597]), 
 	.VPWR(vccd1), 
@@ -350226,10 +350237,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840426 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_31719),
 	.B1(n_31721),
-	.B2(n_78),
+	.B2(n_4001),
 	.C1(n_708),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[578]), 
 	.VPWR(vccd1), 
@@ -350249,7 +350260,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840428 (
 	.A1(n_32805),
-	.A2(n_80),
+	.A2(n_4008),
 	.B1(n_428),
 	.B2(n_32803),
 	.C1(n_716),
@@ -350282,7 +350293,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840431 (
 	.A1(n_32805),
-	.A2(n_68),
+	.A2(n_4013),
 	.B1(n_30272),
 	.B2(n_32803),
 	.C1(n_733),
@@ -350304,7 +350315,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840433 (
 	.A1(n_32805),
-	.A2(n_82),
+	.A2(n_4015),
 	.B1(n_30273),
 	.B2(n_32803),
 	.C1(n_1265),
@@ -350348,7 +350359,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840437 (
 	.A1(n_32805),
-	.A2(n_94),
+	.A2(n_4016),
 	.B1(n_30268),
 	.B2(n_32803),
 	.C1(n_735),
@@ -350361,7 +350372,7 @@
 	.A1(n_146),
 	.A2(n_32803),
 	.B1(n_32805),
-	.B2(n_64),
+	.B2(n_4017),
 	.C1(n_727),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[751]), 
 	.VPWR(vccd1), 
@@ -350381,7 +350392,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840440 (
 	.A1(n_32805),
-	.A2(n_98),
+	.A2(n_4018),
 	.B1(n_430),
 	.B2(n_32803),
 	.C1(n_1187),
@@ -350403,7 +350414,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840442 (
 	.A1(n_32805),
-	.A2(n_76),
+	.A2(n_4020),
 	.B1(n_434),
 	.B2(n_32803),
 	.C1(n_774),
@@ -350436,7 +350447,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840445 (
 	.A1(n_32805),
-	.A2(n_92),
+	.A2(n_4000),
 	.B1(n_30280),
 	.B2(n_32803),
 	.C1(n_725),
@@ -350446,10 +350457,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840446 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_32803),
 	.B1(n_32805),
-	.B2(n_78),
+	.B2(n_4001),
 	.C1(n_730),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[738]), 
 	.VPWR(vccd1), 
@@ -350469,7 +350480,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840448 (
 	.A1(n_31694),
-	.A2(n_70),
+	.A2(n_4007),
 	.B1(n_30256),
 	.B2(n_31692),
 	.C1(n_721),
@@ -350480,7 +350491,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840449 (
 	.A1(n_31694),
-	.A2(n_80),
+	.A2(n_4008),
 	.B1(n_428),
 	.B2(n_31692),
 	.C1(n_856),
@@ -350524,7 +350535,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840453 (
 	.A1(n_31694),
-	.A2(n_86),
+	.A2(n_4014),
 	.B1(n_30276),
 	.B2(n_31692),
 	.C1(n_1078),
@@ -350535,7 +350546,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840454 (
 	.A1(n_31694),
-	.A2(n_82),
+	.A2(n_4015),
 	.B1(n_30273),
 	.B2(n_31692),
 	.C1(n_1071),
@@ -350545,7 +350556,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840455 (
-	.A1(n_61),
+	.A1(n_4005),
 	.A2(n_31694),
 	.B1(n_30271),
 	.B2(n_31692),
@@ -350556,7 +350567,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840456 (
-	.A1(n_59),
+	.A1(n_4010),
 	.A2(n_31694),
 	.B1(n_30270),
 	.B2(n_31692),
@@ -350579,7 +350590,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840458 (
 	.A1(n_31694),
-	.A2(n_94),
+	.A2(n_4016),
 	.B1(n_30268),
 	.B2(n_31692),
 	.C1(n_1140),
@@ -350590,7 +350601,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840459 (
 	.A1(n_31694),
-	.A2(n_64),
+	.A2(n_4017),
 	.B1(n_146),
 	.B2(n_31692),
 	.C1(n_1134),
@@ -350623,7 +350634,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840462 (
 	.A1(n_31694),
-	.A2(n_100),
+	.A2(n_4019),
 	.B1(n_429),
 	.B2(n_31692),
 	.C1(n_1315),
@@ -350634,7 +350645,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840463 (
 	.A1(n_31694),
-	.A2(n_76),
+	.A2(n_4020),
 	.B1(n_434),
 	.B2(n_31692),
 	.C1(n_805),
@@ -350677,7 +350688,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840467 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_31692),
 	.B1(n_31694),
 	.B2(n_4001),
@@ -350689,7 +350700,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840468 (
 	.A1(n_31694),
-	.A2(n_72),
+	.A2(n_4003),
 	.B1(n_30282),
 	.B2(n_31692),
 	.C1(n_979),
@@ -350724,7 +350735,7 @@
 	.A1(n_30277),
 	.A2(n_31726),
 	.B1(n_31728),
-	.B2(n_84),
+	.B2(n_4012),
 	.C1(n_1025),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[121]), 
 	.VPWR(vccd1), 
@@ -350735,7 +350746,7 @@
 	.A1(n_30272),
 	.A2(n_31726),
 	.B1(n_31728),
-	.B2(n_68),
+	.B2(n_4013),
 	.C1(n_953),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[120]), 
 	.VPWR(vccd1), 
@@ -350746,7 +350757,7 @@
 	.A1(n_30276),
 	.A2(n_31726),
 	.B1(n_31728),
-	.B2(n_86),
+	.B2(n_4014),
 	.C1(n_813),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[117]), 
 	.VPWR(vccd1), 
@@ -350801,7 +350812,7 @@
 	.A1(n_30268),
 	.A2(n_31726),
 	.B1(n_31728),
-	.B2(n_94),
+	.B2(n_4016),
 	.C1(n_1275),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[112]), 
 	.VPWR(vccd1), 
@@ -350812,7 +350823,7 @@
 	.A1(n_146),
 	.A2(n_31726),
 	.B1(n_31728),
-	.B2(n_64),
+	.B2(n_4017),
 	.C1(n_1322),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[111]), 
 	.VPWR(vccd1), 
@@ -350823,7 +350834,7 @@
 	.A1(n_432),
 	.A2(n_31726),
 	.B1(n_31728),
-	.B2(n_90),
+	.B2(n_4006),
 	.C1(n_1344),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[110]), 
 	.VPWR(vccd1), 
@@ -350834,7 +350845,7 @@
 	.A1(n_430),
 	.A2(n_31726),
 	.B1(n_31728),
-	.B2(n_98),
+	.B2(n_4018),
 	.C1(n_882),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[109]), 
 	.VPWR(vccd1), 
@@ -350843,7 +350854,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840480 (
 	.A1(n_31728),
-	.A2(n_100),
+	.A2(n_4019),
 	.B1(n_429),
 	.B2(n_31726),
 	.C1(n_676),
@@ -350887,7 +350898,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840484 (
 	.A1(n_31728),
-	.A2(n_92),
+	.A2(n_4000),
 	.B1(n_30280),
 	.B2(n_31726),
 	.C1(n_1246),
@@ -350897,7 +350908,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840485 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_31726),
 	.B1(n_31728),
 	.B2(n_4001),
@@ -350944,7 +350955,7 @@
 	.A1(n_428),
 	.A2(n_31724),
 	.B1(n_32817),
-	.B2(n_80),
+	.B2(n_4008),
 	.C1(n_1210),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[91]), 
 	.VPWR(vccd1), 
@@ -350955,7 +350966,7 @@
 	.A1(n_431),
 	.A2(n_31724),
 	.B1(n_32817),
-	.B2(n_66),
+	.B2(n_4009),
 	.C1(n_718),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[90]), 
 	.VPWR(vccd1), 
@@ -350966,7 +350977,7 @@
 	.A1(n_30277),
 	.A2(n_31724),
 	.B1(n_32817),
-	.B2(n_84),
+	.B2(n_4012),
 	.C1(n_1213),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[89]), 
 	.VPWR(vccd1), 
@@ -351291,7 +351302,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840530 (
 	.A1(n_31686),
-	.A2(n_92),
+	.A2(n_4000),
 	.B1(n_30280),
 	.B2(n_32806),
 	.C1(n_859),
@@ -351302,8 +351313,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840531 (
 	.A1(n_31686),
-	.A2(n_78),
-	.B1(n_30269),
+	.A2(n_4001),
+	.B1(n_25371),
 	.B2(n_32806),
 	.C1(n_1262),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[706]), 
@@ -351313,7 +351324,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840532 (
 	.A1(n_31686),
-	.A2(n_72),
+	.A2(n_4003),
 	.B1(n_30282),
 	.B2(n_32806),
 	.C1(n_690),
@@ -351324,7 +351335,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840533 (
 	.A1(n_32799),
-	.A2(n_70),
+	.A2(n_4007),
 	.B1(n_30256),
 	.B2(n_31682),
 	.C1(n_1073),
@@ -351390,7 +351401,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840539 (
 	.A1(n_32799),
-	.A2(n_82),
+	.A2(n_4015),
 	.B1(n_30273),
 	.B2(n_31682),
 	.C1(n_1261),
@@ -351400,7 +351411,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840540 (
-	.A1(n_61),
+	.A1(n_4005),
 	.A2(n_32799),
 	.B1(n_30271),
 	.B2(n_31682),
@@ -351411,7 +351422,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840541 (
-	.A1(n_59),
+	.A1(n_4010),
 	.A2(n_32799),
 	.B1(n_30270),
 	.B2(n_31682),
@@ -351434,7 +351445,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840543 (
 	.A1(n_32799),
-	.A2(n_94),
+	.A2(n_4016),
 	.B1(n_30268),
 	.B2(n_31682),
 	.C1(n_909),
@@ -351458,7 +351469,7 @@
 	.A1(n_432),
 	.A2(n_31682),
 	.B1(n_32799),
-	.B2(n_90),
+	.B2(n_4006),
 	.C1(n_764),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[654]), 
 	.VPWR(vccd1), 
@@ -351467,7 +351478,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840546 (
 	.A1(n_32799),
-	.A2(n_98),
+	.A2(n_4018),
 	.B1(n_430),
 	.B2(n_31682),
 	.C1(n_1205),
@@ -351478,7 +351489,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840547 (
 	.A1(n_32799),
-	.A2(n_100),
+	.A2(n_4019),
 	.B1(n_429),
 	.B2(n_31682),
 	.C1(n_1180),
@@ -351532,7 +351543,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840552 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_31682),
 	.B1(n_32799),
 	.B2(n_4001),
@@ -351546,7 +351557,7 @@
 	.A1(n_30282),
 	.A2(n_31682),
 	.B1(n_32799),
-	.B2(n_72),
+	.B2(n_4003),
 	.C1(n_1100),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[641]), 
 	.VPWR(vccd1), 
@@ -351577,7 +351588,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840556 (
 	.A1(n_31688),
-	.A2(n_84),
+	.A2(n_4012),
 	.B1(n_30277),
 	.B2(n_32808),
 	.C1(n_1115),
@@ -351610,7 +351621,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840559 (
 	.A1(n_31688),
-	.A2(n_82),
+	.A2(n_4015),
 	.B1(n_30273),
 	.B2(n_32808),
 	.C1(n_1136),
@@ -351631,7 +351642,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840561 (
-	.A1(n_59),
+	.A1(n_4010),
 	.A2(n_31688),
 	.B1(n_30270),
 	.B2(n_32808),
@@ -351654,7 +351665,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840563 (
 	.A1(n_31688),
-	.A2(n_94),
+	.A2(n_4016),
 	.B1(n_30268),
 	.B2(n_32808),
 	.C1(n_984),
@@ -351676,7 +351687,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840565 (
 	.A1(n_31688),
-	.A2(n_90),
+	.A2(n_4006),
 	.B1(n_432),
 	.B2(n_32808),
 	.C1(n_925),
@@ -351709,7 +351720,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840568 (
 	.A1(n_31688),
-	.A2(n_76),
+	.A2(n_4020),
 	.B1(n_434),
 	.B2(n_32808),
 	.C1(n_756),
@@ -351742,7 +351753,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840571 (
 	.A1(n_31688),
-	.A2(n_92),
+	.A2(n_4000),
 	.B1(n_30280),
 	.B2(n_32808),
 	.C1(n_1256),
@@ -351752,7 +351763,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840572 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_32808),
 	.B1(n_31688),
 	.B2(n_4001),
@@ -351786,7 +351797,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840575 (
 	.A1(n_31700),
-	.A2(n_80),
+	.A2(n_4008),
 	.B1(n_428),
 	.B2(n_31698),
 	.C1(n_677),
@@ -351797,7 +351808,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840576 (
 	.A1(n_31700),
-	.A2(n_66),
+	.A2(n_4009),
 	.B1(n_431),
 	.B2(n_31698),
 	.C1(n_851),
@@ -351810,7 +351821,7 @@
 	.A1(n_30277),
 	.A2(n_31698),
 	.B1(n_31700),
-	.B2(n_84),
+	.B2(n_4012),
 	.C1(n_997),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[505]), 
 	.VPWR(vccd1), 
@@ -351819,7 +351830,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840578 (
 	.A1(n_31700),
-	.A2(n_68),
+	.A2(n_4013),
 	.B1(n_30272),
 	.B2(n_31698),
 	.C1(n_932),
@@ -351830,7 +351841,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840579 (
 	.A1(n_31700),
-	.A2(n_86),
+	.A2(n_4014),
 	.B1(n_30276),
 	.B2(n_31698),
 	.C1(n_1000),
@@ -351918,7 +351929,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840587 (
 	.A1(n_31700),
-	.A2(n_98),
+	.A2(n_4018),
 	.B1(n_430),
 	.B2(n_31698),
 	.C1(n_699),
@@ -351929,7 +351940,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840588 (
 	.A1(n_31700),
-	.A2(n_100),
+	.A2(n_4019),
 	.B1(n_429),
 	.B2(n_31698),
 	.C1(n_695),
@@ -351973,7 +351984,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840592 (
 	.A1(n_31700),
-	.A2(n_72),
+	.A2(n_4003),
 	.B1(n_30282),
 	.B2(n_31698),
 	.C1(n_687),
@@ -351994,7 +352005,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840594 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_31698),
 	.B1(n_31700),
 	.B2(n_4001),
@@ -352030,7 +352041,7 @@
 	.A1(n_30277),
 	.A2(n_31707),
 	.B1(n_31709),
-	.B2(n_84),
+	.B2(n_4012),
 	.C1(n_873),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[377]), 
 	.VPWR(vccd1), 
@@ -352039,7 +352050,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840598 (
 	.A1(n_31709),
-	.A2(n_68),
+	.A2(n_4013),
 	.B1(n_30272),
 	.B2(n_31707),
 	.C1(n_1088),
@@ -352116,7 +352127,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840605 (
 	.A1(n_31709),
-	.A2(n_64),
+	.A2(n_4017),
 	.B1(n_146),
 	.B2(n_31707),
 	.C1(n_845),
@@ -352140,7 +352151,7 @@
 	.A1(n_430),
 	.A2(n_31707),
 	.B1(n_31709),
-	.B2(n_98),
+	.B2(n_4018),
 	.C1(n_822),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[365]), 
 	.VPWR(vccd1), 
@@ -352203,7 +352214,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840613 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_31707),
 	.B1(n_31709),
 	.B2(n_4001),
@@ -352226,7 +352237,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840615 (
 	.A1(n_31703),
-	.A2(n_70),
+	.A2(n_4007),
 	.B1(n_30256),
 	.B2(n_31701),
 	.C1(n_1083),
@@ -352237,7 +352248,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840616 (
 	.A1(n_31703),
-	.A2(n_80),
+	.A2(n_4008),
 	.B1(n_428),
 	.B2(n_31701),
 	.C1(n_1099),
@@ -352248,7 +352259,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840617 (
 	.A1(n_31703),
-	.A2(n_66),
+	.A2(n_4009),
 	.B1(n_431),
 	.B2(n_31701),
 	.C1(n_1148),
@@ -352259,7 +352270,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840618 (
 	.A1(n_31703),
-	.A2(n_84),
+	.A2(n_4012),
 	.B1(n_30277),
 	.B2(n_31701),
 	.C1(n_1199),
@@ -352270,7 +352281,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840619 (
 	.A1(n_31703),
-	.A2(n_68),
+	.A2(n_4013),
 	.B1(n_30272),
 	.B2(n_31701),
 	.C1(n_906),
@@ -352281,7 +352292,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840620 (
 	.A1(n_31703),
-	.A2(n_86),
+	.A2(n_4014),
 	.B1(n_30276),
 	.B2(n_31701),
 	.C1(n_1002),
@@ -352302,7 +352313,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840622 (
-	.A1(n_61),
+	.A1(n_4005),
 	.A2(n_31703),
 	.B1(n_30271),
 	.B2(n_31701),
@@ -352313,7 +352324,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840623 (
-	.A1(n_59),
+	.A1(n_4010),
 	.A2(n_31703),
 	.B1(n_30270),
 	.B2(n_31701),
@@ -352336,7 +352347,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840625 (
 	.A1(n_31703),
-	.A2(n_94),
+	.A2(n_4016),
 	.B1(n_30268),
 	.B2(n_31701),
 	.C1(n_791),
@@ -352349,7 +352360,7 @@
 	.A1(n_146),
 	.A2(n_31701),
 	.B1(n_31703),
-	.B2(n_64),
+	.B2(n_4017),
 	.C1(n_1089),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[431]), 
 	.VPWR(vccd1), 
@@ -352369,7 +352380,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840628 (
 	.A1(n_31703),
-	.A2(n_98),
+	.A2(n_4018),
 	.B1(n_430),
 	.B2(n_31701),
 	.C1(n_915),
@@ -352380,7 +352391,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840629 (
 	.A1(n_31703),
-	.A2(n_100),
+	.A2(n_4019),
 	.B1(n_429),
 	.B2(n_31701),
 	.C1(n_865),
@@ -352423,7 +352434,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840633 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_31701),
 	.B1(n_31703),
 	.B2(n_4001),
@@ -352435,7 +352446,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840634 (
 	.A1(n_31703),
-	.A2(n_72),
+	.A2(n_4003),
 	.B1(n_30282),
 	.B2(n_31701),
 	.C1(n_1056),
@@ -352468,7 +352479,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840637 (
 	.A1(n_31691),
-	.A2(n_80),
+	.A2(n_4008),
 	.B1(n_428),
 	.B2(n_31689),
 	.C1(n_1333),
@@ -352479,7 +352490,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840638 (
 	.A1(n_31691),
-	.A2(n_66),
+	.A2(n_4009),
 	.B1(n_431),
 	.B2(n_31689),
 	.C1(n_1132),
@@ -352492,7 +352503,7 @@
 	.A1(n_30277),
 	.A2(n_31689),
 	.B1(n_31691),
-	.B2(n_84),
+	.B2(n_4012),
 	.C1(n_861),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[281]), 
 	.VPWR(vccd1), 
@@ -352512,7 +352523,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840641 (
 	.A1(n_31691),
-	.A2(n_86),
+	.A2(n_4014),
 	.B1(n_30276),
 	.B2(n_31689),
 	.C1(n_781),
@@ -352523,7 +352534,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840642 (
 	.A1(n_31691),
-	.A2(n_82),
+	.A2(n_4015),
 	.B1(n_30273),
 	.B2(n_31689),
 	.C1(n_891),
@@ -352589,7 +352600,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840648 (
 	.A1(n_31691),
-	.A2(n_90),
+	.A2(n_4006),
 	.B1(n_432),
 	.B2(n_31689),
 	.C1(n_1327),
@@ -352602,7 +352613,7 @@
 	.A1(n_430),
 	.A2(n_31689),
 	.B1(n_31691),
-	.B2(n_98),
+	.B2(n_4018),
 	.C1(n_1122),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[269]), 
 	.VPWR(vccd1), 
@@ -352611,7 +352622,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840650 (
 	.A1(n_31691),
-	.A2(n_100),
+	.A2(n_4019),
 	.B1(n_429),
 	.B2(n_31689),
 	.C1(n_1060),
@@ -352622,7 +352633,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840651 (
 	.A1(n_31691),
-	.A2(n_76),
+	.A2(n_4020),
 	.B1(n_434),
 	.B2(n_31689),
 	.C1(n_922),
@@ -352666,8 +352677,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840655 (
 	.A1(n_31691),
-	.A2(n_78),
-	.B1(n_30269),
+	.A2(n_4001),
+	.B1(n_25371),
 	.B2(n_31689),
 	.C1(n_1304),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[258]), 
@@ -352688,7 +352699,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840657 (
 	.A1(n_31715),
-	.A2(n_70),
+	.A2(n_4007),
 	.B1(n_30256),
 	.B2(n_31713),
 	.C1(n_862),
@@ -352699,7 +352710,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840658 (
 	.A1(n_31715),
-	.A2(n_80),
+	.A2(n_4008),
 	.B1(n_428),
 	.B2(n_31713),
 	.C1(n_868),
@@ -352710,7 +352721,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840659 (
 	.A1(n_31715),
-	.A2(n_66),
+	.A2(n_4009),
 	.B1(n_431),
 	.B2(n_31713),
 	.C1(n_780),
@@ -352743,7 +352754,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840662 (
 	.A1(n_31715),
-	.A2(n_86),
+	.A2(n_4014),
 	.B1(n_30276),
 	.B2(n_31713),
 	.C1(n_1224),
@@ -352798,7 +352809,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840667 (
 	.A1(n_31715),
-	.A2(n_94),
+	.A2(n_4016),
 	.B1(n_30268),
 	.B2(n_31713),
 	.C1(n_1202),
@@ -352809,7 +352820,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840668 (
 	.A1(n_31715),
-	.A2(n_64),
+	.A2(n_4017),
 	.B1(n_146),
 	.B2(n_31713),
 	.C1(n_1095),
@@ -352888,7 +352899,7 @@
 	.A1(n_30280),
 	.A2(n_31713),
 	.B1(n_31715),
-	.B2(n_92),
+	.B2(n_4000),
 	.C1(n_887),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[291]), 
 	.VPWR(vccd1), 
@@ -352896,10 +352907,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840676 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_31713),
 	.B1(n_31715),
-	.B2(n_78),
+	.B2(n_4001),
 	.C1(n_793),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[290]), 
 	.VPWR(vccd1), 
@@ -352910,7 +352921,7 @@
 	.A1(n_30282),
 	.A2(n_31713),
 	.B1(n_31715),
-	.B2(n_72),
+	.B2(n_4003),
 	.C1(n_903),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[289]), 
 	.VPWR(vccd1), 
@@ -352919,7 +352930,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840678 (
 	.A1(n_31718),
-	.A2(n_70),
+	.A2(n_4007),
 	.B1(n_30256),
 	.B2(n_31716),
 	.C1(n_792),
@@ -352941,7 +352952,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840680 (
 	.A1(n_31718),
-	.A2(n_66),
+	.A2(n_4009),
 	.B1(n_431),
 	.B2(n_31716),
 	.C1(n_808),
@@ -352954,7 +352965,7 @@
 	.A1(n_30277),
 	.A2(n_31716),
 	.B1(n_31718),
-	.B2(n_84),
+	.B2(n_4012),
 	.C1(n_806),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[473]), 
 	.VPWR(vccd1), 
@@ -352985,7 +352996,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840684 (
 	.A1(n_31718),
-	.A2(n_82),
+	.A2(n_4015),
 	.B1(n_30273),
 	.B2(n_31716),
 	.C1(n_692),
@@ -352995,7 +353006,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840685 (
-	.A1(n_61),
+	.A1(n_4005),
 	.A2(n_31718),
 	.B1(n_30271),
 	.B2(n_31716),
@@ -353006,7 +353017,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840686 (
-	.A1(n_59),
+	.A1(n_4010),
 	.A2(n_31718),
 	.B1(n_30270),
 	.B2(n_31716),
@@ -353040,7 +353051,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840689 (
 	.A1(n_31718),
-	.A2(n_64),
+	.A2(n_4017),
 	.B1(n_146),
 	.B2(n_31716),
 	.C1(n_881),
@@ -353051,7 +353062,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840690 (
 	.A1(n_31718),
-	.A2(n_90),
+	.A2(n_4006),
 	.B1(n_432),
 	.B2(n_31716),
 	.C1(n_898),
@@ -353064,7 +353075,7 @@
 	.A1(n_430),
 	.A2(n_31716),
 	.B1(n_31718),
-	.B2(n_98),
+	.B2(n_4018),
 	.C1(n_772),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[461]), 
 	.VPWR(vccd1), 
@@ -353129,7 +353140,7 @@
    sky130_fd_sc_hd__o221ai_1 g840697 (
 	.A1(n_31718),
 	.A2(n_4001),
-	.B1(n_30269),
+	.B1(n_25371),
 	.B2(n_31716),
 	.C1(n_789),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[450]), 
@@ -353150,7 +353161,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840699 (
 	.A1(n_31706),
-	.A2(n_70),
+	.A2(n_4007),
 	.B1(n_30256),
 	.B2(n_31704),
 	.C1(n_1152),
@@ -353194,7 +353205,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840703 (
 	.A1(n_31706),
-	.A2(n_68),
+	.A2(n_4013),
 	.B1(n_30272),
 	.B2(n_31704),
 	.C1(n_883),
@@ -353205,7 +353216,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840704 (
 	.A1(n_31706),
-	.A2(n_86),
+	.A2(n_4014),
 	.B1(n_30276),
 	.B2(n_31704),
 	.C1(n_886),
@@ -353226,7 +353237,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840706 (
-	.A1(n_61),
+	.A1(n_4005),
 	.A2(n_31706),
 	.B1(n_30271),
 	.B2(n_31704),
@@ -353260,7 +353271,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840709 (
 	.A1(n_31706),
-	.A2(n_94),
+	.A2(n_4016),
 	.B1(n_30268),
 	.B2(n_31704),
 	.C1(n_1128),
@@ -353273,7 +353284,7 @@
 	.A1(n_146),
 	.A2(n_31704),
 	.B1(n_31706),
-	.B2(n_64),
+	.B2(n_4017),
 	.C1(n_1186),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[399]), 
 	.VPWR(vccd1), 
@@ -353315,7 +353326,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840714 (
 	.A1(n_31706),
-	.A2(n_76),
+	.A2(n_4020),
 	.B1(n_434),
 	.B2(n_31704),
 	.C1(n_923),
@@ -353359,8 +353370,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840718 (
 	.A1(n_31706),
-	.A2(n_78),
-	.B1(n_30269),
+	.A2(n_4001),
+	.B1(n_25371),
 	.B2(n_31704),
 	.C1(n_803),
 	.Y(brqrv_top_brqrv_dec_arf_gpr_in[386]), 
@@ -353381,7 +353392,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840720 (
 	.A1(n_31712),
-	.A2(n_70),
+	.A2(n_4007),
 	.B1(n_30256),
 	.B2(n_31710),
 	.C1(n_1248),
@@ -353403,7 +353414,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840722 (
 	.A1(n_31712),
-	.A2(n_66),
+	.A2(n_4009),
 	.B1(n_431),
 	.B2(n_31710),
 	.C1(n_1236),
@@ -353447,7 +353458,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840726 (
 	.A1(n_31712),
-	.A2(n_82),
+	.A2(n_4015),
 	.B1(n_30273),
 	.B2(n_31710),
 	.C1(n_768),
@@ -353457,7 +353468,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840727 (
-	.A1(n_61),
+	.A1(n_4005),
 	.A2(n_31712),
 	.B1(n_30271),
 	.B2(n_31710),
@@ -353468,7 +353479,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g840728 (
-	.A1(n_59),
+	.A1(n_4010),
 	.A2(n_31712),
 	.B1(n_30270),
 	.B2(n_31710),
@@ -353535,7 +353546,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g822075 (
 	.A1(n_31712),
-	.A2(n_100),
+	.A2(n_4019),
 	.B1(n_429),
 	.B2(n_31710),
 	.C1(n_1067),
@@ -353579,7 +353590,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g822079 (
 	.A1(n_31712),
-	.A2(n_92),
+	.A2(n_4000),
 	.B1(n_30280),
 	.B2(n_31710),
 	.C1(n_804),
@@ -353589,7 +353600,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g822080 (
-	.A1(n_30269),
+	.A1(n_25371),
 	.A2(n_31710),
 	.B1(n_31712),
 	.B2(n_4001),
@@ -353601,7 +353612,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g822081 (
 	.A1(n_31712),
-	.A2(n_72),
+	.A2(n_4003),
 	.B1(n_30282),
 	.B2(n_31710),
 	.C1(n_1266),
@@ -354607,7 +354618,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g822172 (
 	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[1]),
 	.A2_N(n_4481),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_4481),
 	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_n_9), 
 	.VPWR(vccd1), 
@@ -354684,7 +354695,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g822180 (
 	.A1_N(brqrv_top_brqrv_trigger_pkt_any[35]),
 	.A2_N(n_4461),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_4461),
 	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[1]), 
 	.VPWR(vccd1), 
@@ -355094,7 +355105,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g822222 (
 	.A1_N(n_34919),
 	.A2_N(n_4444),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_4444),
 	.Y(brqrv_top_brqrv_dec_tlu_mcycleh_ns[1]), 
 	.VPWR(vccd1), 
@@ -355424,7 +355435,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g822255 (
 	.A1_N(n_31834),
 	.A2_N(n_34586),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_31834),
 	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[1]), 
 	.VPWR(vccd1), 
@@ -355744,7 +355755,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g822287 (
 	.A1_N(n_31836),
 	.A2_N(n_34712),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_31836),
 	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[1]), 
 	.VPWR(vccd1), 
@@ -356114,7 +356125,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g822324 (
 	.A1_N(n_34920),
 	.A2_N(n_4446),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_4446),
 	.Y(brqrv_top_brqrv_dec_tlu_minstreth_ns[1]), 
 	.VPWR(vccd1), 
@@ -356134,7 +356145,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g822326 (
 	.A1_N(brqrv_top_brqrv_trigger_pkt_any[73]),
 	.A2_N(n_4459),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_4459),
 	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[1]), 
 	.VPWR(vccd1), 
@@ -356444,7 +356455,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g822357 (
 	.A1_N(n_31832),
 	.A2_N(n_34523),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_31832),
 	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[1]), 
 	.VPWR(vccd1), 
@@ -356774,7 +356785,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g822390 (
 	.A1_N(n_32839),
 	.A2_N(n_34649),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_32839),
 	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[1]), 
 	.VPWR(vccd1), 
@@ -356804,7 +356815,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g822393 (
 	.A1_N(brqrv_top_brqrv_trigger_pkt_any[149]),
 	.A2_N(n_4458),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_4458),
 	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[1]), 
 	.VPWR(vccd1), 
@@ -356998,7 +357009,7 @@
    sky130_fd_sc_hd__a221o_1 g822412 (
 	.A1(n_39530),
 	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[24]),
-	.B1(n_193),
+	.B1(n_7838),
 	.B2(brqrv_top_brqrv_dec_tlu_mscratch[24]),
 	.C1(n_4287),
 	.X(n_4726), 
@@ -357389,7 +357400,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g822451 (
-	.A1(n_193),
+	.A1(n_7838),
 	.A2(brqrv_top_brqrv_dec_tlu_mscratch[30]),
 	.B1(n_32134),
 	.B2(brqrv_top_brqrv_dec_tlu_meihap[30]),
@@ -357630,7 +357641,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g822479 (
 	.A1_N(brqrv_top_brqrv_dec_tlu_meicurpl[1]),
 	.A2_N(n_4147),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_4147),
 	.Y(brqrv_top_brqrv_dec_tlu_meicurpl_ns[1]), 
 	.VPWR(vccd1), 
@@ -357640,7 +357651,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g822480 (
 	.A1_N(brqrv_top_brqrv_dec_tlu_meipt[1]),
 	.A2_N(n_4150),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_4150),
 	.Y(brqrv_top_brqrv_dec_tlu_meipt_ns[1]), 
 	.VPWR(vccd1), 
@@ -358051,7 +358062,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o211ai_1 g822528 (
-	.A1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.A1(n_7983),
 	.A2(n_3700),
 	.B1(n_2564),
 	.C1(n_4272),
@@ -358200,9 +358211,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g822544 (
-	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A1(n_8316),
 	.A2(n_3825),
-	.B1(n_239),
+	.B1(n_8276),
 	.B2(n_33541),
 	.C1(n_2267),
 	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[30]), 
@@ -358211,9 +358222,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g822545 (
-	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A1(n_8316),
 	.A2(n_3823),
-	.B1(n_239),
+	.B1(n_8276),
 	.B2(n_33539),
 	.C1(n_2264),
 	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[28]), 
@@ -358222,9 +358233,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g822546 (
-	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A1(n_8316),
 	.A2(n_3822),
-	.B1(n_239),
+	.B1(n_8276),
 	.B2(n_33537),
 	.C1(n_2263),
 	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[26]), 
@@ -358233,9 +358244,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g822547 (
-	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A1(n_8316),
 	.A2(n_3821),
-	.B1(n_239),
+	.B1(n_8276),
 	.B2(n_33534),
 	.C1(n_2262),
 	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[23]), 
@@ -358244,9 +358255,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g822548 (
-	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A1(n_8316),
 	.A2(n_3852),
-	.B1(n_239),
+	.B1(n_8276),
 	.B2(n_33533),
 	.C1(n_2259),
 	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[22]), 
@@ -358255,9 +358266,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g822549 (
-	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A1(n_8316),
 	.A2(n_3824),
-	.B1(n_239),
+	.B1(n_8276),
 	.B2(n_33540),
 	.C1(n_2265),
 	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[29]), 
@@ -358398,7 +358409,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g822562 (
-	.A1(n_193),
+	.A1(n_7838),
 	.A2(brqrv_top_brqrv_dec_tlu_mscratch[26]),
 	.B1(n_4274),
 	.Y(n_4640), 
@@ -359797,7 +359808,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g822703 (
-	.A1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.A1(n_7983),
 	.A2(n_4141),
 	.B1(n_383),
 	.B2(brqrv_top_brqrv_dec_tlu_n_3337),
@@ -360157,7 +360168,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g822739 (
 	.A1_N(brqrv_top_brqrv_trigger_pkt_any[111]),
 	.A2_N(n_4143),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_4143),
 	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[1]), 
 	.VPWR(vccd1), 
@@ -361078,7 +361089,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a2bb2oi_1 g822831 (
-	.A1_N(brqrv_top_brqrv_dec_tlu_n_2928),
+	.A1_N(n_7983),
 	.A2_N(n_4159),
 	.B1(brqrv_top_brqrv_dec_tlu_mscause[1]),
 	.B2(n_4158),
@@ -361148,7 +361159,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g822838 (
-	.A1(n_96),
+	.A1(n_4142),
 	.A2(brqrv_top_brqrv_dec_tlu_pc_r[18]),
 	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
 	.B2(brqrv_top_brqrv_lsu_error_pkt_r[20]),
@@ -361178,7 +361189,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g822841 (
-	.A1(n_96),
+	.A1(n_4142),
 	.A2(brqrv_top_brqrv_dec_tlu_pc_r[13]),
 	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
 	.B2(brqrv_top_brqrv_lsu_error_pkt_r[15]),
@@ -361209,7 +361220,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g822844 (
-	.A1(n_96),
+	.A1(n_4142),
 	.A2(brqrv_top_brqrv_dec_tlu_pc_r[6]),
 	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
 	.B2(brqrv_top_brqrv_lsu_error_pkt_r[8]),
@@ -361219,7 +361230,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g822845 (
-	.A1(n_96),
+	.A1(n_4142),
 	.A2(brqrv_top_brqrv_dec_tlu_pc_r[5]),
 	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
 	.B2(brqrv_top_brqrv_lsu_error_pkt_r[7]),
@@ -361229,7 +361240,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g822846 (
-	.A1(n_96),
+	.A1(n_4142),
 	.A2(brqrv_top_brqrv_dec_tlu_pc_r[4]),
 	.B1(n_3127),
 	.B2(brqrv_top_brqrv_dec_tlu_n_5593),
@@ -362178,7 +362189,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g822961 (
 	.A1_N(n_34743),
 	.A2_N(n_31837),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_31837),
 	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[1]), 
 	.VPWR(vccd1), 
@@ -362637,7 +362648,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g823011 (
-	.A1(n_31905),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_3793),
 	.B1(n_1943),
 	.Y(brqrv_top_n_1000), 
@@ -362646,7 +362657,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g823012 (
-	.A1(n_31905),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_3790),
 	.B1(n_1942),
 	.Y(brqrv_top_n_997), 
@@ -362655,7 +362666,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g823013 (
-	.A1(n_31905),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_3812),
 	.B1(n_1918),
 	.Y(brqrv_top_n_992), 
@@ -362664,7 +362675,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g823014 (
-	.A1(n_31905),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_3811),
 	.B1(n_1974),
 	.Y(brqrv_top_n_990), 
@@ -362673,7 +362684,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g823015 (
-	.A1(n_31905),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_3820),
 	.B1(n_1917),
 	.Y(brqrv_top_n_989), 
@@ -363316,7 +363327,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g823086 (
 	.A1_N(brqrv_top_brqrv_dec_tlu_mtsel[1]),
 	.A2_N(n_3788),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_3788),
 	.Y(brqrv_top_brqrv_dec_tlu_mtsel_ns[1]), 
 	.VPWR(vccd1), 
@@ -364314,7 +364325,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g823196 (
 	.A1_N(n_34617),
 	.A2_N(n_31835),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_31835),
 	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[1]), 
 	.VPWR(vccd1), 
@@ -365174,7 +365185,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g823282 (
 	.A1_N(n_34554),
 	.A2_N(n_31833),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_31833),
 	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[1]), 
 	.VPWR(vccd1), 
@@ -365182,7 +365193,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g823283 (
-	.A1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.A1(n_7983),
 	.A2(n_31841),
 	.B1(n_299),
 	.B2(brqrv_top_brqrv_dec_tlu_wr_mcgc_r),
@@ -365494,7 +365505,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g823314 (
 	.A1_N(n_34680),
 	.A2_N(n_31842),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_31842),
 	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[1]), 
 	.VPWR(vccd1), 
@@ -365559,7 +365570,7 @@
 	.A2(n_540),
 	.B1(n_3344),
 	.B2(n_3187),
-	.C1(n_141),
+	.C1(n_21017),
 	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[64]),
 	.Y(n_4284), 
 	.VPWR(vccd1), 
@@ -365567,7 +365578,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g823321 (
-	.A1(n_191),
+	.A1(n_7735),
 	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
 	.B1(n_39529),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[30]),
@@ -365580,7 +365591,7 @@
    sky130_fd_sc_hd__a221o_1 g823322 (
 	.A1(n_188),
 	.A2(n_34959),
-	.B1(n_193),
+	.B1(n_7838),
 	.B2(brqrv_top_brqrv_dec_tlu_mscratch[21]),
 	.C1(n_3942),
 	.X(n_4282), 
@@ -365621,7 +365632,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g823326 (
-	.A1(n_466),
+	.A1(n_7734),
 	.A2(brqrv_top_brqrv_dec_tlu_mcause[18]),
 	.B1(n_183),
 	.B2(brqrv_top_brqrv_dec_tlu_mepc[18]),
@@ -365632,7 +365643,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g823327 (
-	.A1(n_466),
+	.A1(n_7734),
 	.A2(brqrv_top_brqrv_dec_tlu_mcause[17]),
 	.B1(n_183),
 	.B2(brqrv_top_brqrv_dec_tlu_mepc[17]),
@@ -366643,7 +366654,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g823432 (
-	.A1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.A1(n_7983),
 	.A2(n_31668),
 	.B1(n_3907),
 	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[1]), 
@@ -366664,7 +366675,7 @@
    sky130_fd_sc_hd__o22ai_1 g823434 (
 	.A1(n_2032),
 	.A2(n_3689),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_3690),
 	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[1]_3328 ), 
 	.VPWR(vccd1), 
@@ -366694,7 +366705,7 @@
    sky130_fd_sc_hd__o22ai_1 g823437 (
 	.A1(n_2033),
 	.A2(n_3691),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_3692),
 	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[1]_3317 ), 
 	.VPWR(vccd1), 
@@ -368257,7 +368268,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g823615 (
-	.A1(n_469),
+	.A1(n_7618),
 	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[3]),
 	.B1(n_579),
 	.B2(brqrv_top_brqrv_dec_tlu_mcountinhibit[3]),
@@ -369452,7 +369463,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g823752 (
-	.A1(n_190),
+	.A1(n_7918),
 	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[14]),
 	.B1(n_461),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[14]),
@@ -369520,7 +369531,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g823758 (
-	.A1(n_190),
+	.A1(n_7918),
 	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[13]),
 	.B1(n_461),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[13]),
@@ -369847,7 +369858,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g823791 (
-	.A1(n_466),
+	.A1(n_7734),
 	.A2(brqrv_top_brqrv_dec_tlu_mcause[6]),
 	.B1(n_183),
 	.B2(brqrv_top_brqrv_dec_tlu_mepc[6]),
@@ -369860,7 +369871,7 @@
    sky130_fd_sc_hd__a221o_1 g823792 (
 	.A1(n_39524),
 	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[4]),
-	.B1(n_466),
+	.B1(n_7734),
 	.B2(brqrv_top_brqrv_dec_tlu_mcause[4]),
 	.C1(n_3606),
 	.X(n_3952), 
@@ -369880,7 +369891,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g823794 (
-	.A1(n_193),
+	.A1(n_7838),
 	.A2(brqrv_top_brqrv_dec_tlu_mscratch[2]),
 	.B1(n_183),
 	.B2(brqrv_top_brqrv_dec_tlu_mepc[2]),
@@ -369924,7 +369935,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g823798 (
-	.A1(n_193),
+	.A1(n_7838),
 	.A2(brqrv_top_brqrv_dec_tlu_mscratch[5]),
 	.B1(n_3621),
 	.Y(n_3946), 
@@ -372115,7 +372126,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g824058 (
-	.A1(n_193),
+	.A1(n_7838),
 	.A2(brqrv_top_brqrv_dec_tlu_mscratch[13]),
 	.B1(n_39526),
 	.B2(brqrv_top_brqrv_dec_tlu_minstretl[13]),
@@ -372126,7 +372137,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g824060 (
-	.A1(n_193),
+	.A1(n_7838),
 	.A2(brqrv_top_brqrv_dec_tlu_mscratch[14]),
 	.B1(n_39526),
 	.B2(brqrv_top_brqrv_dec_tlu_minstretl[14]),
@@ -372145,7 +372156,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g824062 (
-	.A1(n_466),
+	.A1(n_7734),
 	.A2(brqrv_top_brqrv_dec_tlu_mcause[16]),
 	.B1(n_183),
 	.B2(brqrv_top_brqrv_dec_tlu_mepc[16]),
@@ -373461,7 +373472,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g824211 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[260]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[196]),
@@ -375184,7 +375195,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g824402 (
 	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[1]),
 	.A2_N(n_2733),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_2733),
 	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[1]), 
 	.VPWR(vccd1), 
@@ -375454,7 +375465,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g824429 (
 	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[1]),
 	.A2_N(n_2734),
-	.B1(brqrv_top_brqrv_dec_tlu_n_2928),
+	.B1(n_7983),
 	.B2(n_2734),
 	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[1]), 
 	.VPWR(vccd1), 
@@ -377710,7 +377721,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g824669 (
-	.A1(n_466),
+	.A1(n_7734),
 	.A2(brqrv_top_brqrv_dec_tlu_mcause[14]),
 	.B1(n_183),
 	.B2(brqrv_top_brqrv_dec_tlu_mepc[14]),
@@ -378267,7 +378278,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g824730 (
-	.A1(n_466),
+	.A1(n_7734),
 	.A2(brqrv_top_brqrv_dec_tlu_mcause[13]),
 	.B1(n_183),
 	.B2(brqrv_top_brqrv_dec_tlu_mepc[13]),
@@ -378730,7 +378741,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g824783 (
-	.A1(n_141),
+	.A1(n_21017),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[66]),
 	.B1(n_140),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[34]),
@@ -378781,7 +378792,7 @@
    sky130_fd_sc_hd__a221oi_1 g824788 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[99]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[67]),
 	.C1(n_2238),
 	.Y(n_3366), 
@@ -378803,7 +378814,7 @@
    sky130_fd_sc_hd__a221oi_1 g824790 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[97]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[65]),
 	.C1(n_2228),
 	.Y(n_3364), 
@@ -378836,7 +378847,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g824795 (
-	.A1(n_141),
+	.A1(n_21017),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[68]),
 	.B1(n_140),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[36]),
@@ -378868,7 +378879,7 @@
    sky130_fd_sc_hd__a221oi_1 g824798 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[15]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[11]),
 	.C1(n_2684),
 	.Y(n_3358), 
@@ -378879,7 +378890,7 @@
    sky130_fd_sc_hd__a221oi_1 g824799 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[14]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[10]),
 	.C1(n_2687),
 	.Y(n_3357), 
@@ -378888,7 +378899,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g824800 (
-	.A1(n_141),
+	.A1(n_21017),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[9]),
 	.B1(n_140),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[5]),
@@ -378931,7 +378942,7 @@
    sky130_fd_sc_hd__a221oi_1 g824804 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[101]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[69]),
 	.C1(n_2244),
 	.Y(n_3353), 
@@ -378984,7 +378995,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g824810 (
-	.A1(n_141),
+	.A1(n_21017),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[64]),
 	.B1(n_140),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[32]),
@@ -379104,7 +379115,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g824824 (
-	.A1(n_141),
+	.A1(n_21017),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[95]),
 	.B1(n_140),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[63]),
@@ -379172,7 +379183,7 @@
    sky130_fd_sc_hd__a221oi_1 g824831 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[103]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[71]),
 	.C1(n_2249),
 	.Y(n_3331), 
@@ -379293,7 +379304,7 @@
    sky130_fd_sc_hd__a221oi_1 g824843 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[123]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[91]),
 	.C1(n_2315),
 	.Y(n_3318), 
@@ -379335,7 +379346,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g824847 (
-	.A1(n_141),
+	.A1(n_21017),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[89]),
 	.B1(n_140),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[57]),
@@ -379359,7 +379370,7 @@
    sky130_fd_sc_hd__a221oi_1 g824849 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[120]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[88]),
 	.C1(n_2308),
 	.Y(n_3312), 
@@ -379403,7 +379414,7 @@
    sky130_fd_sc_hd__a221o_1 g824853 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[119]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[87]),
 	.C1(n_2300),
 	.X(n_3308), 
@@ -379425,7 +379436,7 @@
    sky130_fd_sc_hd__a221o_1 g824855 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[118]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[86]),
 	.C1(n_2295),
 	.X(n_3306), 
@@ -379469,7 +379480,7 @@
    sky130_fd_sc_hd__a221o_1 g824859 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[116]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[84]),
 	.C1(n_2286),
 	.X(n_3302), 
@@ -379491,7 +379502,7 @@
    sky130_fd_sc_hd__a221o_1 g824861 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[115]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[83]),
 	.C1(n_2281),
 	.X(n_3300), 
@@ -379520,7 +379531,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g824864 (
-	.A1(n_141),
+	.A1(n_21017),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[82]),
 	.B1(n_140),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[50]),
@@ -379542,7 +379553,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g824866 (
-	.A1(n_141),
+	.A1(n_21017),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[81]),
 	.B1(n_140),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[49]),
@@ -379564,7 +379575,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g824868 (
-	.A1(n_141),
+	.A1(n_21017),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[80]),
 	.B1(n_140),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[48]),
@@ -379583,7 +379594,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g824870 (
-	.A1(n_141),
+	.A1(n_21017),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[78]),
 	.B1(n_140),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[46]),
@@ -379613,7 +379624,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g824873 (
-	.A1(n_141),
+	.A1(n_21017),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[77]),
 	.B1(n_140),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[45]),
@@ -379653,7 +379664,7 @@
    sky130_fd_sc_hd__a221oi_1 g824877 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[106]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[74]),
 	.C1(n_2261),
 	.Y(n_3286), 
@@ -379673,7 +379684,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g824879 (
-	.A1(n_141),
+	.A1(n_21017),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[73]),
 	.B1(n_140),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[41]),
@@ -379985,7 +379996,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g824919 (
-	.A(brqrv_top_brqrv_dma_dccm_req),
+	.A(n_8316),
 	.B(brqrv_top_brqrv_lsu_p[0]),
 	.Y(n_3249), 
 	.VPWR(vccd1), 
@@ -380292,7 +380303,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g824961 (
 	.A1(brqrv_top_brqrv_dec_tlu_mcause[26]),
-	.A2(n_466),
+	.A2(n_7734),
 	.B1(n_39524),
 	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[26]),
 	.C1(n_39526),
@@ -380313,7 +380324,7 @@
    sky130_fd_sc_hd__a222oi_1 g824963 (
 	.A1(brqrv_top_brqrv_ifu_aln_brdata2[4]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_brdata1[4]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_brdata0[4]),
@@ -380324,8 +380335,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g824964 (
 	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[6]),
-	.A2(n_191),
-	.B1(n_190),
+	.A2(n_7735),
+	.B1(n_7918),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6h[6]),
 	.C1(n_472),
 	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3[6]),
@@ -380406,7 +380417,7 @@
 	.A2(n_39528),
 	.B1(n_461),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[5]),
-	.C1(n_190),
+	.C1(n_7918),
 	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6h[5]),
 	.Y(n_3114), 
 	.VPWR(vccd1), 
@@ -380604,7 +380615,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g824990 (
 	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[4]),
-	.A2(n_191),
+	.A2(n_7735),
 	.B1(n_472),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3[4]),
 	.C1(n_39529),
@@ -380775,7 +380786,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g825006 (
 	.A1(brqrv_top_brqrv_dec_tlu_mcause[21]),
-	.A2(n_466),
+	.A2(n_7734),
 	.B1(n_39530),
 	.B2(brqrv_top_brqrv_dec_tlu_mcyclel[21]),
 	.C1(n_39524),
@@ -380849,7 +380860,7 @@
    sky130_fd_sc_hd__a222oi_1 g825013 (
 	.A1(brqrv_top_brqrv_ifu_aln_brdata1[4]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_brdata0[4]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_brdata2[4]),
@@ -380922,7 +380933,7 @@
    sky130_fd_sc_hd__a222oi_1 g825020 (
 	.A1(brqrv_top_brqrv_dec_tlu_minstretl[20]),
 	.A2(n_39526),
-	.B1(n_466),
+	.B1(n_7734),
 	.B2(brqrv_top_brqrv_dec_tlu_mcause[20]),
 	.C1(n_39524),
 	.C2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[20]),
@@ -380987,7 +380998,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g825026 (
 	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[3]),
-	.A2(n_191),
+	.A2(n_7735),
 	.B1(n_472),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3[3]),
 	.C1(n_39529),
@@ -381011,7 +381022,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g825028 (
 	.A1(brqrv_top_brqrv_dec_tlu_mcause[19]),
-	.A2(n_466),
+	.A2(n_7734),
 	.B1(n_39524),
 	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[19]),
 	.C1(n_39526),
@@ -381024,7 +381035,7 @@
    sky130_fd_sc_hd__a222oi_1 g825029 (
 	.A1(brqrv_top_brqrv_ifu_aln_brdata2[12]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_brdata1[12]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_brdata0[12]),
@@ -381567,7 +381578,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g825079 (
 	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[14]),
-	.A2(n_191),
+	.A2(n_7735),
 	.B1(n_39529),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[14]),
 	.C1(n_184),
@@ -381580,7 +381591,7 @@
    sky130_fd_sc_hd__a222oi_1 g825080 (
 	.A1(brqrv_top_brqrv_ifu_aln_brdata1[2]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_brdata0[2]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_brdata2[2]),
@@ -381642,7 +381653,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g825086 (
 	.A1(brqrv_top_brqrv_dec_tlu_mcause[27]),
-	.A2(n_466),
+	.A2(n_7734),
 	.B1(n_39524),
 	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[27]),
 	.C1(n_39526),
@@ -381664,7 +381675,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g825088 (
 	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[13]),
-	.A2(n_191),
+	.A2(n_7735),
 	.B1(n_39527),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[13]),
 	.C1(n_184),
@@ -381677,7 +381688,7 @@
    sky130_fd_sc_hd__a222oi_1 g825089 (
 	.A1(brqrv_top_brqrv_ifu_aln_brdata2[10]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_brdata1[10]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_brdata0[10]),
@@ -381689,7 +381700,7 @@
    sky130_fd_sc_hd__a222oi_1 g825090 (
 	.A1(brqrv_top_brqrv_ifu_aln_brdata2[2]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_brdata1[2]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_brdata0[2]),
@@ -382050,7 +382061,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g825126 (
-	.A1(n_469),
+	.A1(n_7618),
 	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[26]),
 	.B1(n_470),
 	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[26]),
@@ -382061,7 +382072,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g825127 (
-	.A1(n_469),
+	.A1(n_7618),
 	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[5]),
 	.B1(n_518),
 	.B2(brqrv_top_brqrv_dec_tlu_dicawics[2]),
@@ -382173,7 +382184,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g825139 (
-	.A1(n_469),
+	.A1(n_7618),
 	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[18]),
 	.B1(n_470),
 	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[18]),
@@ -382195,7 +382206,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g825141 (
-	.A1(n_469),
+	.A1(n_7618),
 	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[17]),
 	.B1(n_470),
 	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[17]),
@@ -382837,7 +382848,7 @@
    sky130_fd_sc_hd__a222oi_1 g825205 (
 	.A1(brqrv_top_brqrv_ifu_aln_brdata1[12]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_brdata0[12]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_brdata2[12]),
@@ -382849,7 +382860,7 @@
    sky130_fd_sc_hd__a222oi_1 g825206 (
 	.A1(brqrv_top_brqrv_ifu_aln_brdata1[10]),
 	.A2(n_220),
-	.B1(n_217),
+	.B1(n_26284),
 	.B2(brqrv_top_brqrv_ifu_aln_brdata0[10]),
 	.C1(n_497),
 	.C2(brqrv_top_brqrv_ifu_aln_brdata2[10]),
@@ -382883,7 +382894,7 @@
 	.A2(n_425),
 	.B1(n_140),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
-	.C1(n_141),
+	.C1(n_21017),
 	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
 	.Y(n_3153), 
 	.VPWR(vccd1), 
@@ -382892,7 +382903,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g825210 (
 	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
-	.A2(n_141),
+	.A2(n_21017),
 	.B1(n_425),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
 	.C1(n_140),
@@ -382907,7 +382918,7 @@
 	.A2(n_140),
 	.B1(n_425),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
-	.C1(n_141),
+	.C1(n_21017),
 	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
 	.Y(n_3151), 
 	.VPWR(vccd1), 
@@ -383466,7 +383477,7 @@
    sky130_fd_sc_hd__a22oi_1 g825287 (
 	.A1(n_188),
 	.A2(n_34955),
-	.B1(n_193),
+	.B1(n_7838),
 	.B2(brqrv_top_brqrv_dec_tlu_mscratch[19]),
 	.Y(n_2729), 
 	.VPWR(vccd1), 
@@ -383600,7 +383611,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g825303 (
-	.A1(n_190),
+	.A1(n_7918),
 	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[24]),
 	.B1(n_472),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3[24]),
@@ -383753,7 +383764,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g825319 (
 	.A1(n_33612),
-	.A2(n_202),
+	.A2(n_24218),
 	.B1(n_484),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[152]),
 	.Y(n_2703), 
@@ -383773,7 +383784,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g825321 (
 	.A1(n_33615),
-	.A2(n_202),
+	.A2(n_24218),
 	.B1(n_484),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[153]),
 	.Y(n_2701), 
@@ -383783,7 +383794,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g825322 (
 	.A1(n_33619),
-	.A2(n_202),
+	.A2(n_24218),
 	.B1(n_484),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[154]),
 	.Y(n_2700), 
@@ -383803,7 +383814,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g825324 (
 	.A1(n_33623),
-	.A2(n_202),
+	.A2(n_24218),
 	.B1(n_484),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[155]),
 	.Y(n_2698), 
@@ -383832,7 +383843,7 @@
    sky130_fd_sc_hd__a22o_1 g825327 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[6]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[4]),
 	.X(n_2695), 
 	.VPWR(vccd1), 
@@ -383878,7 +383889,7 @@
    sky130_fd_sc_hd__a22o_1 g825332 (
 	.A1(n_424),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[0]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[8]),
 	.X(n_2691), 
 	.VPWR(vccd1), 
@@ -383934,7 +383945,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g825338 (
-	.A1(n_193),
+	.A1(n_7838),
 	.A2(brqrv_top_brqrv_dec_tlu_mscratch[6]),
 	.B1(n_39526),
 	.B2(brqrv_top_brqrv_dec_tlu_minstretl[6]),
@@ -384024,7 +384035,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g825347 (
-	.A1(n_191),
+	.A1(n_7735),
 	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[19]),
 	.B1(n_465),
 	.B2(brqrv_top_brqrv_dec_tlu_miccmect[19]),
@@ -384056,7 +384067,7 @@
    sky130_fd_sc_hd__a22oi_1 g825350 (
 	.A1(n_39530),
 	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[30]),
-	.B1(n_466),
+	.B1(n_7734),
 	.B2(brqrv_top_brqrv_dec_tlu_mcause[30]),
 	.Y(n_2674), 
 	.VPWR(vccd1), 
@@ -384388,7 +384399,7 @@
 	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[6]),
 	.A2_N(n_30881),
 	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[6]),
-	.B2(n_469),
+	.B2(n_7618),
 	.Y(n_2639), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -385768,7 +385779,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g825549 (
 	.A1(brqrv_top_brqrv_dma_ctrl_n_1834),
-	.A2(n_253),
+	.A2(n_24401),
 	.B1(n_31457),
 	.Y(n_2615), 
 	.VPWR(vccd1), 
@@ -385897,7 +385908,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g825563 (
-	.A1(n_191),
+	.A1(n_7735),
 	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[5]),
 	.B1(n_472),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3[5]),
@@ -385957,7 +385968,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g825569 (
-	.A1(n_475),
+	.A1(n_7917),
 	.A2(brqrv_top_brqrv_dec_tlu_micect[3]),
 	.B1(n_355),
 	.B2(brqrv_top_brqrv_dec_tlu_mfdht[3]),
@@ -386174,7 +386185,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g825591 (
-	.A1(n_31905),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_346),
 	.B1(n_1979),
 	.Y(brqrv_top_n_1016), 
@@ -386183,7 +386194,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g825592 (
-	.A1(n_31905),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_318),
 	.B1(n_1964),
 	.Y(brqrv_top_n_1058), 
@@ -386192,7 +386203,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g825593 (
-	.A1(n_31905),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_554),
 	.B1(n_1965),
 	.Y(brqrv_top_n_1057), 
@@ -386201,7 +386212,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g825594 (
-	.A1(n_31905),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_556),
 	.B1(n_1884),
 	.Y(brqrv_top_n_1056), 
@@ -386210,7 +386221,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g825595 (
-	.A1(n_31905),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_282),
 	.B1(n_1979),
 	.Y(brqrv_top_n_1055), 
@@ -386219,7 +386230,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g825596 (
-	.A1(n_31905),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_329),
 	.B1(n_1980),
 	.Y(brqrv_top_n_1054), 
@@ -386228,7 +386239,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g825597 (
-	.A1(n_31905),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_564),
 	.B1(n_1945),
 	.Y(brqrv_top_n_1053), 
@@ -386237,7 +386248,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g825598 (
-	.A1(n_31905),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_292),
 	.B1(n_1877),
 	.Y(brqrv_top_n_1052), 
@@ -386246,7 +386257,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g825599 (
-	.A1(n_31905),
+	.A1(FE_DBTN0_brqrv_top_core_rst),
 	.A2(n_349),
 	.B1(n_1880),
 	.Y(brqrv_top_n_1051), 
@@ -388515,7 +388526,7 @@
    sky130_fd_sc_hd__a22oi_1 g825897 (
 	.A1(n_188),
 	.A2(n_34927),
-	.B1(n_466),
+	.B1(n_7734),
 	.B2(brqrv_top_brqrv_dec_tlu_mcause[5]),
 	.Y(n_2470), 
 	.VPWR(vccd1), 
@@ -388575,7 +388586,7 @@
    sky130_fd_sc_hd__a22oi_1 g825903 (
 	.A1(n_39523),
 	.A2(n_34966),
-	.B1(n_466),
+	.B1(n_7734),
 	.B2(brqrv_top_brqrv_dec_tlu_mcause[24]),
 	.Y(n_2464), 
 	.VPWR(vccd1), 
@@ -388595,7 +388606,7 @@
    sky130_fd_sc_hd__a22oi_1 g825905 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[7]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[5]),
 	.Y(n_2462), 
 	.VPWR(vccd1), 
@@ -388643,7 +388654,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g825910 (
-	.A1(n_190),
+	.A1(n_7918),
 	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[20]),
 	.B1(n_461),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[20]),
@@ -388683,7 +388694,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g825914 (
-	.A1(n_190),
+	.A1(n_7918),
 	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[4]),
 	.B1(n_461),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[4]),
@@ -388713,9 +388724,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g825917 (
-	.A1(n_190),
+	.A1(n_7918),
 	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[21]),
-	.B1(n_191),
+	.B1(n_7735),
 	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[21]),
 	.Y(n_2450), 
 	.VPWR(vccd1), 
@@ -388976,7 +388987,7 @@
 	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[28]),
 	.A2_N(n_30879),
 	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[28]),
-	.B2(n_469),
+	.B2(n_7618),
 	.Y(n_2424), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -389023,7 +389034,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g825948 (
-	.A1(n_469),
+	.A1(n_7618),
 	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[30]),
 	.B1(n_471),
 	.B2(brqrv_top_brqrv_dec_tlu_dpc[30]),
@@ -389105,7 +389116,7 @@
    sky130_fd_sc_hd__a22oi_1 g825956 (
 	.A1(n_188),
 	.A2(n_34957),
-	.B1(n_193),
+	.B1(n_7838),
 	.B2(brqrv_top_brqrv_dec_tlu_mscratch[20]),
 	.Y(n_2411), 
 	.VPWR(vccd1), 
@@ -389326,7 +389337,7 @@
 	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[16]),
 	.A2_N(n_30879),
 	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6h[16]),
-	.B2(n_190),
+	.B2(n_7918),
 	.Y(n_2389), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -389401,7 +389412,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g825986 (
-	.A1(n_193),
+	.A1(n_7838),
 	.A2(brqrv_top_brqrv_dec_tlu_mscratch[18]),
 	.B1(n_39523),
 	.B2(n_34954),
@@ -389529,7 +389540,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g825999 (
-	.A1(n_191),
+	.A1(n_7735),
 	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[18]),
 	.B1(n_39529),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[18]),
@@ -389707,7 +389718,7 @@
    sky130_fd_sc_hd__a22oi_1 g826017 (
 	.A1(n_39525),
 	.A2(brqrv_top_brqrv_dec_tlu_mtval[28]),
-	.B1(n_466),
+	.B1(n_7734),
 	.B2(brqrv_top_brqrv_dec_tlu_mcause[28]),
 	.Y(n_2350), 
 	.VPWR(vccd1), 
@@ -389715,7 +389726,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g826018 (
-	.A1(n_191),
+	.A1(n_7735),
 	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[17]),
 	.B1(n_39529),
 	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[17]),
@@ -389737,7 +389748,7 @@
    sky130_fd_sc_hd__a22o_1 g826020 (
 	.A1(n_425),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[97]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[65]),
 	.X(n_2347), 
 	.VPWR(vccd1), 
@@ -389785,7 +389796,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g826025 (
-	.A1(n_475),
+	.A1(n_7917),
 	.A2(brqrv_top_brqrv_dec_tlu_micect[17]),
 	.B1(n_465),
 	.B2(brqrv_top_brqrv_dec_tlu_miccmect[17]),
@@ -389795,7 +389806,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g826026 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[268]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[204]),
@@ -389805,7 +389816,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g826027 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[265]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[201]),
@@ -389825,7 +389836,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g826029 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[258]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[194]),
@@ -389835,7 +389846,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g826030 (
-	.A1(n_253),
+	.A1(n_24401),
 	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[257]),
 	.B1(n_558),
 	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[193]),
@@ -389845,7 +389856,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g826031 (
-	.A1(n_193),
+	.A1(n_7838),
 	.A2(brqrv_top_brqrv_dec_tlu_mscratch[17]),
 	.B1(n_39523),
 	.B2(n_34952),
@@ -389915,7 +389926,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g826038 (
-	.A1(n_193),
+	.A1(n_7838),
 	.A2(brqrv_top_brqrv_dec_tlu_mscratch[28]),
 	.B1(n_39526),
 	.B2(brqrv_top_brqrv_dec_tlu_minstretl[28]),
@@ -389997,7 +390008,7 @@
    sky130_fd_sc_hd__a22o_1 g826046 (
 	.A1(n_424),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[30]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[94]),
 	.X(n_2323), 
 	.VPWR(vccd1), 
@@ -390027,7 +390038,7 @@
    sky130_fd_sc_hd__a22o_1 g826049 (
 	.A1(n_424),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[29]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[93]),
 	.X(n_2320), 
 	.VPWR(vccd1), 
@@ -390035,7 +390046,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g826050 (
-	.A1(n_193),
+	.A1(n_7838),
 	.A2(brqrv_top_brqrv_dec_tlu_mscratch[16]),
 	.B1(n_39523),
 	.B2(n_34950),
@@ -390057,7 +390068,7 @@
    sky130_fd_sc_hd__a22o_1 g826052 (
 	.A1(n_424),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[28]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[92]),
 	.X(n_2317), 
 	.VPWR(vccd1), 
@@ -390097,7 +390108,7 @@
    sky130_fd_sc_hd__a22o_1 g826056 (
 	.A1(n_424),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[26]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[90]),
 	.X(n_2313), 
 	.VPWR(vccd1), 
@@ -390235,7 +390246,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g826070 (
-	.A1(n_475),
+	.A1(n_7917),
 	.A2(brqrv_top_brqrv_dec_tlu_micect[18]),
 	.B1(n_465),
 	.B2(brqrv_top_brqrv_dec_tlu_miccmect[18]),
@@ -390285,7 +390296,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g826075 (
-	.A1(n_469),
+	.A1(n_7618),
 	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[14]),
 	.B1(n_470),
 	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[14]),
@@ -390327,7 +390338,7 @@
    sky130_fd_sc_hd__a22o_1 g826079 (
 	.A1(n_424),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[21]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[85]),
 	.X(n_2290), 
 	.VPWR(vccd1), 
@@ -390347,7 +390358,7 @@
    sky130_fd_sc_hd__a22oi_1 g826081 (
 	.A1(n_188),
 	.A2(n_34971),
-	.B1(n_193),
+	.B1(n_7838),
 	.B2(brqrv_top_brqrv_dec_tlu_mscratch[27]),
 	.Y(n_2288), 
 	.VPWR(vccd1), 
@@ -390355,7 +390366,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g826082 (
-	.A1(n_475),
+	.A1(n_7917),
 	.A2(brqrv_top_brqrv_dec_tlu_micect[14]),
 	.B1(n_465),
 	.B2(brqrv_top_brqrv_dec_tlu_miccmect[14]),
@@ -390797,7 +390808,7 @@
    sky130_fd_sc_hd__a22o_1 g826126 (
 	.A1(n_424),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[6]),
-	.B1(n_141),
+	.B1(n_21017),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[70]),
 	.X(n_2246), 
 	.VPWR(vccd1), 
@@ -390865,7 +390876,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g826133 (
-	.A1(n_469),
+	.A1(n_7618),
 	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[13]),
 	.B1(n_470),
 	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[13]),
@@ -390887,7 +390898,7 @@
    sky130_fd_sc_hd__a22oi_1 g826135 (
 	.A1(n_472),
 	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[26]),
-	.B1(n_475),
+	.B1(n_7917),
 	.B2(brqrv_top_brqrv_dec_tlu_micect[26]),
 	.Y(n_2237), 
 	.VPWR(vccd1), 
@@ -390925,7 +390936,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g826139 (
-	.A1(n_475),
+	.A1(n_7917),
 	.A2(brqrv_top_brqrv_dec_tlu_micect[13]),
 	.B1(n_465),
 	.B2(brqrv_top_brqrv_dec_tlu_miccmect[13]),
@@ -391125,7 +391136,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g826159 (
-	.A1(n_466),
+	.A1(n_7734),
 	.A2(brqrv_top_brqrv_dec_tlu_mcause[3]),
 	.B1(n_183),
 	.B2(brqrv_top_brqrv_dec_tlu_mepc[3]),
@@ -391175,7 +391186,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g826164 (
-	.A1(n_475),
+	.A1(n_7917),
 	.A2(brqrv_top_brqrv_dec_tlu_micect[28]),
 	.B1(n_465),
 	.B2(brqrv_top_brqrv_dec_tlu_miccmect[28]),
@@ -391365,7 +391376,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g826183 (
-	.A1(n_475),
+	.A1(n_7917),
 	.A2(brqrv_top_brqrv_dec_tlu_micect[30]),
 	.B1(n_465),
 	.B2(brqrv_top_brqrv_dec_tlu_miccmect[30]),
@@ -394560,7 +394571,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826559 (
-	.A(n_190),
+	.A(n_7918),
 	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[26]),
 	.Y(n_1641), 
 	.VPWR(vccd1), 
@@ -394753,7 +394764,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826583 (
 	.A(n_33641),
-	.B(n_202),
+	.B(n_24218),
 	.Y(n_1632), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -394769,7 +394780,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826585 (
 	.A(n_33634),
-	.B(n_202),
+	.B(n_24218),
 	.Y(n_1631), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -394793,7 +394804,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826588 (
 	.A(n_33630),
-	.B(n_202),
+	.B(n_24218),
 	.Y(n_1628), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -394809,7 +394820,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826590 (
 	.A(n_33627),
-	.B(n_202),
+	.B(n_24218),
 	.Y(n_1626), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -394857,7 +394868,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826596 (
 	.A(n_33608),
-	.B(n_202),
+	.B(n_24218),
 	.Y(n_1620), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -394865,14 +394876,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826597 (
 	.A(n_33607),
-	.B(n_202),
+	.B(n_24218),
 	.Y(n_1619), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826598 (
-	.A(n_475),
+	.A(n_7917),
 	.B(brqrv_top_brqrv_dec_tlu_micect[5]),
 	.Y(n_1618), 
 	.VPWR(vccd1), 
@@ -394881,7 +394892,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826599 (
 	.A(n_33606),
-	.B(n_202),
+	.B(n_24218),
 	.Y(n_1617), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -394889,7 +394900,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826600 (
 	.A(n_33605),
-	.B(n_202),
+	.B(n_24218),
 	.Y(n_1616), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -394897,7 +394908,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826601 (
 	.A(n_33603),
-	.B(n_202),
+	.B(n_24218),
 	.Y(n_1615), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -395104,7 +395115,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826627 (
-	.A(n_475),
+	.A(n_7917),
 	.B(brqrv_top_brqrv_dec_tlu_micect[16]),
 	.Y(n_1593), 
 	.VPWR(vccd1), 
@@ -395728,7 +395739,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826710 (
-	.A(n_475),
+	.A(n_7917),
 	.B(brqrv_top_brqrv_dec_tlu_micect[2]),
 	.Y(n_1514), 
 	.VPWR(vccd1), 
@@ -395824,7 +395835,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826723 (
-	.A(n_193),
+	.A(n_7838),
 	.B(brqrv_top_brqrv_dec_tlu_mscratch[3]),
 	.Y(n_1507), 
 	.VPWR(vccd1), 
@@ -395832,7 +395843,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826724 (
-	.A(n_469),
+	.A(n_7618),
 	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[20]),
 	.Y(n_1506), 
 	.VPWR(vccd1), 
@@ -395856,7 +395867,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826727 (
-	.A(n_469),
+	.A(n_7618),
 	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[2]),
 	.Y(n_1504), 
 	.VPWR(vccd1), 
@@ -396160,7 +396171,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826768 (
-	.A(n_190),
+	.A(n_7918),
 	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[17]),
 	.Y(n_1483), 
 	.VPWR(vccd1), 
@@ -396200,7 +396211,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826773 (
-	.A(n_466),
+	.A(n_7734),
 	.B(brqrv_top_brqrv_dec_tlu_mcause[2]),
 	.Y(n_1478), 
 	.VPWR(vccd1), 
@@ -396584,7 +396595,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826825 (
-	.A(n_190),
+	.A(n_7918),
 	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[3]),
 	.Y(n_1439), 
 	.VPWR(vccd1), 
@@ -396672,7 +396683,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826836 (
-	.A(n_190),
+	.A(n_7918),
 	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[28]),
 	.Y(n_1430), 
 	.VPWR(vccd1), 
@@ -396768,7 +396779,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826848 (
-	.A(n_190),
+	.A(n_7918),
 	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[18]),
 	.Y(n_1420), 
 	.VPWR(vccd1), 
@@ -397376,7 +397387,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826927 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[27]),
 	.Y(n_1980), 
 	.VPWR(vccd1), 
@@ -397384,7 +397395,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826928 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[28]),
 	.Y(n_1979), 
 	.VPWR(vccd1), 
@@ -397400,7 +397411,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826930 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[0]),
 	.Y(n_1977), 
 	.VPWR(vccd1), 
@@ -397424,7 +397435,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826933 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[2]),
 	.Y(n_1974), 
 	.VPWR(vccd1), 
@@ -397440,7 +397451,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826935 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[14]),
 	.Y(n_1972), 
 	.VPWR(vccd1), 
@@ -397480,7 +397491,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826941 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[3]),
 	.Y(n_1968), 
 	.VPWR(vccd1), 
@@ -397496,7 +397507,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826943 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[13]),
 	.Y(n_1966), 
 	.VPWR(vccd1), 
@@ -397504,7 +397515,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826944 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[30]),
 	.Y(n_1965), 
 	.VPWR(vccd1), 
@@ -397512,7 +397523,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826945 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[31]),
 	.Y(n_1964), 
 	.VPWR(vccd1), 
@@ -397520,7 +397531,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826946 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[16]),
 	.Y(n_1963), 
 	.VPWR(vccd1), 
@@ -397528,7 +397539,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826947 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[5]),
 	.Y(n_1962), 
 	.VPWR(vccd1), 
@@ -397536,7 +397547,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826948 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[10]),
 	.Y(n_1961), 
 	.VPWR(vccd1), 
@@ -397544,7 +397555,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826949 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[19]),
 	.Y(n_1960), 
 	.VPWR(vccd1), 
@@ -397552,7 +397563,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826951 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[6]),
 	.Y(n_1958), 
 	.VPWR(vccd1), 
@@ -397592,7 +397603,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826956 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[15]),
 	.Y(n_1953), 
 	.VPWR(vccd1), 
@@ -397624,7 +397635,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826960 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[7]),
 	.Y(n_1949), 
 	.VPWR(vccd1), 
@@ -397632,7 +397643,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826961 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[8]),
 	.Y(n_1948), 
 	.VPWR(vccd1), 
@@ -397656,7 +397667,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826964 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[26]),
 	.Y(n_1945), 
 	.VPWR(vccd1), 
@@ -397672,7 +397683,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826966 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[12]),
 	.Y(n_1943), 
 	.VPWR(vccd1), 
@@ -397680,7 +397691,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826967 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[9]),
 	.Y(n_1942), 
 	.VPWR(vccd1), 
@@ -397688,7 +397699,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826968 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[11]),
 	.Y(n_1941), 
 	.VPWR(vccd1), 
@@ -397704,7 +397715,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826970 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[17]),
 	.Y(n_1939), 
 	.VPWR(vccd1), 
@@ -397760,7 +397771,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826977 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[18]),
 	.Y(n_1933), 
 	.VPWR(vccd1), 
@@ -397856,7 +397867,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826990 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[22]),
 	.Y(n_1922), 
 	.VPWR(vccd1), 
@@ -397888,7 +397899,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826995 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[4]),
 	.Y(n_1918), 
 	.VPWR(vccd1), 
@@ -397896,7 +397907,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826996 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[1]),
 	.Y(n_1917), 
 	.VPWR(vccd1), 
@@ -397920,7 +397931,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g826999 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[21]),
 	.Y(n_1915), 
 	.VPWR(vccd1), 
@@ -397992,7 +398003,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g827008 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[20]),
 	.Y(n_1909), 
 	.VPWR(vccd1), 
@@ -398064,7 +398075,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g827018 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[23]),
 	.Y(n_1901), 
 	.VPWR(vccd1), 
@@ -398216,7 +398227,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g827038 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[29]),
 	.Y(n_1884), 
 	.VPWR(vccd1), 
@@ -398248,7 +398259,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g827043 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[24]),
 	.Y(n_1880), 
 	.VPWR(vccd1), 
@@ -398272,7 +398283,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g827046 (
-	.A(n_31905),
+	.A(FE_DBTN0_brqrv_top_core_rst),
 	.B(brqrv_top_iccm_instr_wdata[25]),
 	.Y(n_1877), 
 	.VPWR(vccd1), 
@@ -399288,7 +399299,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g827180 (
-	.A(brqrv_top_brqrv_dma_dccm_req),
+	.A(n_8316),
 	.B(brqrv_top_brqrv_dma_mem_write),
 	.Y(n_1735), 
 	.VPWR(vccd1), 
@@ -406534,13 +406545,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g828163 (
-	.A(n_30894),
-	.Y(n_475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g828168 (
 	.A(n_30883),
 	.Y(n_470), 
@@ -406548,20 +406552,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g828169 (
-	.A(n_30882),
-	.Y(n_469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g828172 (
-	.A(n_30893),
-	.Y(n_466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g828180 (
 	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
 	.Y(n_458), 
@@ -406835,13 +406825,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g828222 (
-	.A(brqrv_top_core_rst),
-	.Y(n_31905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g828223 (
 	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[1]),
 	.Y(n_422), 
@@ -407983,13 +407966,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g828437 (
-	.A(n_31546),
-	.Y(n_239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g828438 (
 	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[11]),
 	.Y(n_238), 
@@ -408158,13 +408134,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g828472 (
-	.A(n_31937),
-	.Y(brqrv_top_brqrv_dma_dccm_req), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g828473 (
 	.A(n_33701),
 	.Y(n_205), 
@@ -408186,13 +408155,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g828476 (
-	.A(n_31458),
-	.Y(n_202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g828477 (
 	.A(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
 	.Y(n_201), 
@@ -408242,27 +408204,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g828485 (
-	.A(n_30892),
-	.Y(n_193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g828487 (
-	.A(n_30888),
-	.Y(n_191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g828488 (
-	.A(n_30901),
-	.Y(n_190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g828489 (
 	.A(n_32146),
 	.Y(n_189), 
@@ -408585,20 +408526,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 g828542 (
-	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
-	.Y(brqrv_top_brqrv_dec_tlu_n_2928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 g828543 (
-	.A(n_31797),
-	.Y(n_141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_2 g828544 (
 	.A(n_31798),
 	.Y(n_140), 
@@ -408627,13 +408554,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828553 (
-	.A(n_134),
-	.Y(n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 drc_bufs828554 (
 	.A(n_5290),
 	.Y(n_134), 
@@ -408648,13 +408568,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828624 (
-	.A(n_137),
-	.Y(n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 drc_bufs828625 (
 	.A(n_5293),
 	.Y(n_137), 
@@ -408662,13 +408575,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828632 (
-	.A(n_136),
-	.Y(n_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 drc_bufs828633 (
 	.A(n_5292),
 	.Y(n_136), 
@@ -408676,265 +408582,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828640 (
-	.A(n_99),
-	.Y(n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828641 (
-	.A(n_4019),
-	.Y(n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828648 (
-	.A(n_97),
-	.Y(n_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828649 (
-	.A(n_4018),
-	.Y(n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828656 (
-	.A(n_95),
-	.Y(n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828657 (
-	.A(n_4142),
-	.Y(n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828664 (
-	.A(n_93),
-	.Y(n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828665 (
-	.A(n_4016),
-	.Y(n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828672 (
-	.A(n_91),
-	.Y(n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828673 (
-	.A(n_4000),
-	.Y(n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828680 (
-	.A(n_89),
-	.Y(n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828681 (
-	.A(n_4006),
-	.Y(n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828692 (
-	.A(n_85),
-	.Y(n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828693 (
-	.A(n_4014),
-	.Y(n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828700 (
-	.A(n_83),
-	.Y(n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828701 (
-	.A(n_4012),
-	.Y(n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828708 (
-	.A(n_81),
-	.Y(n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828709 (
-	.A(n_4015),
-	.Y(n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828716 (
-	.A(n_79),
-	.Y(n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828717 (
-	.A(n_4008),
-	.Y(n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828724 (
-	.A(n_77),
-	.Y(n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828725 (
-	.A(n_4001),
-	.Y(n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828732 (
-	.A(n_75),
-	.Y(n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828733 (
-	.A(n_4020),
-	.Y(n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828740 (
-	.A(n_73),
-	.Y(n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828741 (
-	.A(n_4685),
-	.Y(n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828748 (
-	.A(n_71),
-	.Y(n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828749 (
-	.A(n_4003),
-	.Y(n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828756 (
-	.A(n_69),
-	.Y(n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828757 (
-	.A(n_4007),
-	.Y(n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828764 (
-	.A(n_67),
-	.Y(n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828765 (
-	.A(n_4013),
-	.Y(n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828772 (
-	.A(n_65),
-	.Y(n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828773 (
-	.A(n_4009),
-	.Y(n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828780 (
-	.A(n_63),
-	.Y(n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828781 (
-	.A(n_4017),
-	.Y(n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828788 (
-	.A(n_135),
-	.Y(n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 drc_bufs828789 (
 	.A(n_5291),
 	.Y(n_135), 
@@ -408942,34 +408589,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828796 (
-	.A(n_60),
-	.Y(n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828797 (
-	.A(n_4005),
-	.Y(n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828804 (
-	.A(n_58),
-	.Y(n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs828805 (
-	.A(n_4010),
-	.Y(n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nor3b_1 g840733 (
 	.A(n_5611),
 	.B(n_5930),
@@ -444936,34 +444555,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 hi_fo_buf840960 (
-	.A(n_30258),
-	.Y(brqrv_top_brqrv_exu_mp_btag[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 hi_fo_buf840961 (
-	.A(n_30258),
-	.Y(n_36398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 hi_fo_buf841180 (
-	.A(n_30261),
-	.Y(brqrv_top_brqrv_exu_mp_btag[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 hi_fo_buf841181 (
-	.A(n_30261),
-	.Y(n_37916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__mux2_1 g841346 (
 	.A0(n_34466),
 	.A1(n_32844),
@@ -445697,496 +445288,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs841430 (
-	.A(n_38904),
-	.Y(n_38903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 drc_bufs841431 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [18]),
-	.Y(n_38904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs841436 (
-	.A(n_38910),
-	.Y(n_38909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 drc_bufs841437 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [19]),
-	.Y(n_38910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 drc_bufs841442 (
-	.A(n_38916),
-	.Y(n_38915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 drc_bufs841443 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [17]),
-	.Y(n_38916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 drc_bufs841448 (
-	.A(n_38922),
-	.Y(n_38921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 drc_bufs841449 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [12]),
-	.Y(n_38922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 drc_bufs841454 (
-	.A(n_38928),
-	.Y(n_38927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 drc_bufs841455 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [13]),
-	.Y(n_38928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 drc_bufs841460 (
-	.A(n_38934),
-	.Y(n_38933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 drc_bufs841461 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [14]),
-	.Y(n_38934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 drc_bufs841466 (
-	.A(n_38940),
-	.Y(n_38939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 drc_bufs841467 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [16]),
-	.Y(n_38940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 drc_bufs841472 (
-	.A(n_38946),
-	.Y(n_38945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 drc_bufs841473 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [15]),
-	.Y(n_38946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs841657 (
-	.A(n_39132),
-	.Y(n_39130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 drc_bufs841659 (
-	.A(n_38945),
-	.Y(n_39132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs841663 (
-	.A(n_39138),
-	.Y(n_39136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 drc_bufs841665 (
-	.A(n_38921),
-	.Y(n_39138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs841669 (
-	.A(n_39144),
-	.Y(n_39142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 drc_bufs841671 (
-	.A(n_38927),
-	.Y(n_39144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs841675 (
-	.A(n_39150),
-	.Y(n_39148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 drc_bufs841677 (
-	.A(n_38933),
-	.Y(n_39150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs841681 (
-	.A(n_39156),
-	.Y(n_39154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 drc_bufs841683 (
-	.A(n_38915),
-	.Y(n_39156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 drc_bufs841687 (
-	.A(n_39162),
-	.Y(n_39160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 drc_bufs841689 (
-	.A(n_38939),
-	.Y(n_39162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841746 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [9]),
-	.X(n_39220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841750 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [7]),
-	.X(n_39224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841754 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [8]),
-	.X(n_39228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841758 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [6]),
-	.X(n_39232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841762 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [5]),
-	.X(n_39236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841766 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [4]),
-	.X(n_39240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841770 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [10]),
-	.X(n_39244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841774 (
-	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [18]),
-	.X(n_39248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841778 (
-	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [17]),
-	.X(n_39252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841782 (
-	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [16]),
-	.X(n_39256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841786 (
-	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [15]),
-	.X(n_39260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841790 (
-	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [12]),
-	.X(n_39264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841794 (
-	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [14]),
-	.X(n_39268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841798 (
-	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [13]),
-	.X(n_39272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841802 (
-	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [19]),
-	.X(n_39276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841806 (
-	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [29]),
-	.X(n_39280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841810 (
-	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [35]),
-	.X(n_39284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841814 (
-	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [30]),
-	.X(n_39288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841818 (
-	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [34]),
-	.X(n_39292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841822 (
-	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [31]),
-	.X(n_39296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841826 (
-	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [32]),
-	.X(n_39300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841830 (
-	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [28]),
-	.X(n_39304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841838 (
-	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [33]),
-	.X(n_39312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841904 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [14]),
-	.X(n_39378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841908 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [13]),
-	.X(n_39382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841912 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [15]),
-	.X(n_39386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841916 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [15]),
-	.X(n_39390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841920 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [12]),
-	.X(n_39394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841924 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [12]),
-	.X(n_39398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841928 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [16]),
-	.X(n_39402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841932 (
-	.A(n_38909),
-	.X(n_39406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841936 (
-	.A(n_38903),
-	.X(n_39410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841940 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [17]),
-	.X(n_39414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841944 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [19]),
-	.X(n_39418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841948 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [13]),
-	.X(n_39422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841952 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [17]),
-	.X(n_39426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841956 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [16]),
-	.X(n_39430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841960 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [14]),
-	.X(n_39434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841964 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [18]),
-	.X(n_39438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841968 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [11]),
-	.X(n_39442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841976 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [19]),
-	.X(n_39450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 drc841980 (
-	.A(\brqrv_top_mem_iccm.iccm_addr_bank [18]),
-	.X(n_39454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g842001 (
 	.A(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
 	.B(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
@@ -450146,391 +449247,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_35 (
-	.A(la_data_out[27]),
-	.X(io_out[35]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_34 (
-	.A(la_data_out[26]),
-	.X(io_out[34]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_33 (
-	.A(la_data_out[25]),
-	.X(io_out[33]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_32 (
-	.A(la_data_out[24]),
-	.X(io_out[32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_31 (
-	.A(la_data_out[23]),
-	.X(io_out[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_30 (
-	.A(la_data_out[22]),
-	.X(io_out[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_29 (
-	.A(la_data_out[21]),
-	.X(io_out[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_28 (
-	.A(la_data_out[20]),
-	.X(io_out[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_27 (
-	.A(la_data_out[19]),
-	.X(io_out[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_26 (
-	.A(la_data_out[18]),
-	.X(io_out[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_25 (
-	.A(la_data_out[17]),
-	.X(io_out[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_24 (
-	.A(la_data_out[16]),
-	.X(io_out[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_23 (
-	.A(la_data_out[15]),
-	.X(io_out[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_22 (
-	.A(la_data_out[14]),
-	.X(io_out[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_21 (
-	.A(la_data_out[13]),
-	.X(io_out[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_20 (
-	.A(la_data_out[12]),
-	.X(io_out[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_19 (
-	.A(la_data_out[11]),
-	.X(io_out[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_18 (
-	.A(la_data_out[10]),
-	.X(io_out[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_17 (
-	.A(la_data_out[9]),
-	.X(io_out[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_16 (
-	.A(la_data_out[8]),
-	.X(io_out[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_15 (
-	.A(la_data_out[7]),
-	.X(io_out[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_14 (
-	.A(la_data_out[6]),
-	.X(io_out[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_13 (
-	.A(la_data_out[5]),
-	.X(io_out[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_12 (
-	.A(la_data_out[4]),
-	.X(io_out[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_11 (
-	.A(la_data_out[3]),
-	.X(io_out[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_10 (
-	.A(la_data_out[2]),
-	.X(io_out[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_9 (
-	.A(la_data_out[1]),
-	.X(io_out[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_8 (
-	.A(la_data_out[0]),
-	.X(io_out[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_34 (
-	.A(io_oeb[35]),
-	.X(io_oeb[34]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_33 (
-	.A(io_oeb[35]),
-	.X(io_oeb[33]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_32 (
-	.A(io_oeb[35]),
-	.X(io_oeb[32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_31 (
-	.A(io_oeb[35]),
-	.X(io_oeb[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_30 (
-	.A(io_oeb[35]),
-	.X(io_oeb[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_29 (
-	.A(io_oeb[35]),
-	.X(io_oeb[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_28 (
-	.A(io_oeb[35]),
-	.X(io_oeb[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_27 (
-	.A(io_oeb[35]),
-	.X(io_oeb[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_26 (
-	.A(io_oeb[35]),
-	.X(io_oeb[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_25 (
-	.A(io_oeb[35]),
-	.X(io_oeb[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_24 (
-	.A(io_oeb[35]),
-	.X(io_oeb[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_23 (
-	.A(io_oeb[35]),
-	.X(io_oeb[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_22 (
-	.A(io_oeb[35]),
-	.X(io_oeb[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_21 (
-	.A(io_oeb[35]),
-	.X(io_oeb[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_20 (
-	.A(io_oeb[35]),
-	.X(io_oeb[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_19 (
-	.A(io_oeb[35]),
-	.X(io_oeb[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_18 (
-	.A(io_oeb[35]),
-	.X(io_oeb[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_17 (
-	.A(io_oeb[35]),
-	.X(io_oeb[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_16 (
-	.A(io_oeb[35]),
-	.X(io_oeb[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_15 (
-	.A(io_oeb[35]),
-	.X(io_oeb[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_14 (
-	.A(io_oeb[35]),
-	.X(io_oeb[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_13 (
-	.A(io_oeb[35]),
-	.X(io_oeb[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_12 (
-	.A(io_oeb[35]),
-	.X(io_oeb[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_11 (
-	.A(io_oeb[35]),
-	.X(io_oeb[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_10 (
-	.A(io_oeb[35]),
-	.X(io_oeb[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_9 (
-	.A(io_oeb[35]),
-	.X(io_oeb[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_oeb_8 (
-	.A(io_oeb[35]),
-	.X(io_oeb[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_active_cg1_clkhdr (
 	.CLK(brqrv_top_active_l2clk),
 	.GATE(brqrv_top_brqrv_active_cg1_logic_1_1_net),
@@ -452473,7 +451189,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_dmcontrolff_g81__4319 (
 	.A1(brqrv_top_brqrv_dbg_dmcontrol_reg[31]),
-	.A2(brqrv_top_brqrv_dbg_dmcontrolff_n_0),
+	.A2(n_32784),
 	.B1(brqrv_top_brqrv_dbg_dmcontrol_wren),
 	.B2(brqrv_top_dmi_reg_wdata[31]),
 	.X(brqrv_top_brqrv_dbg_dmcontrolff_n_4), 
@@ -452483,7 +451199,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_dmcontrolff_g82__8428 (
 	.A1(brqrv_top_brqrv_dbg_dmcontrol_reg[30]),
-	.A2(brqrv_top_brqrv_dbg_dmcontrolff_n_0),
+	.A2(n_32784),
 	.B1(brqrv_top_brqrv_dbg_dmcontrol_wren),
 	.B2(brqrv_top_dmi_reg_wdata[30]),
 	.X(brqrv_top_brqrv_dbg_dmcontrolff_n_5), 
@@ -452493,7 +451209,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_dmcontrolff_g83__5526 (
 	.A1(brqrv_top_brqrv_dbg_dmcontrol_reg[1]),
-	.A2(brqrv_top_brqrv_dbg_dmcontrolff_n_0),
+	.A2(n_32784),
 	.B1(brqrv_top_brqrv_dbg_dmcontrol_wren),
 	.B2(brqrv_top_dmi_reg_wdata[1]),
 	.X(brqrv_top_brqrv_dbg_dmcontrolff_n_6), 
@@ -452501,13 +451217,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_dbg_dmcontrolff_g84 (
-	.A(brqrv_top_brqrv_dbg_dmcontrol_wren),
-	.Y(brqrv_top_brqrv_dbg_dmcontrolff_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcontrolff_genblock.dffs_dout_reg[0]  (
 	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
 	.D(brqrv_top_brqrv_dbg_dmcontrolff_n_6),
@@ -462606,7 +461315,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6107__4733  (
-	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_0 ),
+	.A1(FE_DBTN4_brqrv_top_brqrv_trigger_pkt_any_3),
 	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_69 ),
 	.B1(brqrv_top_brqrv_trigger_pkt_any[6]),
 	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[6]),
@@ -463275,13 +461984,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6185  (
-	.A(brqrv_top_brqrv_trigger_pkt_any[3]),
-	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6080__1705  (
 	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_83 ),
 	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_100 ),
@@ -463536,7 +462238,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6107__1617  (
-	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_0 ),
+	.A1(FE_DBTN5_brqrv_top_brqrv_trigger_pkt_any_41),
 	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_69 ),
 	.B1(brqrv_top_brqrv_trigger_pkt_any[44]),
 	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[38]),
@@ -464205,13 +462907,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6185  (
-	.A(brqrv_top_brqrv_trigger_pkt_any[41]),
-	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6080__2398  (
 	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_83 ),
 	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_100 ),
@@ -464466,7 +463161,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6107__6417  (
-	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_0 ),
+	.A1(FE_DBTN6_brqrv_top_brqrv_trigger_pkt_any_79),
 	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_69 ),
 	.B1(brqrv_top_brqrv_trigger_pkt_any[82]),
 	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[70]),
@@ -465135,13 +463830,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6185  (
-	.A(brqrv_top_brqrv_trigger_pkt_any[79]),
-	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6080__4733  (
 	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_83 ),
 	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_100 ),
@@ -465396,7 +464084,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6107__5115  (
-	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_0 ),
+	.A1(FE_DBTN7_brqrv_top_brqrv_trigger_pkt_any_117),
 	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_69 ),
 	.B1(brqrv_top_brqrv_trigger_pkt_any[120]),
 	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[102]),
@@ -466065,13 +464753,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6185  (
-	.A(brqrv_top_brqrv_trigger_pkt_any[117]),
-	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_clkhdr_clkhdr  (
 	.CLK(brqrv_top_active_l2clk),
 	.GATE(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_en ),
@@ -468042,7 +466723,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dec_decode_i0_x_c_ff_g80__1617 (
-	.A1(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_0),
+	.A1(n_2796),
 	.A2(brqrv_top_brqrv_dec_decode_i0_x_c[2]),
 	.B1(brqrv_top_brqrv_dec_ctl_en[1]),
 	.B2(brqrv_top_brqrv_mul_p[19]),
@@ -468052,7 +466733,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dec_decode_i0_x_c_ff_g81__2802 (
-	.A1(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_0),
+	.A1(n_2796),
 	.A2(brqrv_top_brqrv_dec_decode_i0_x_c[1]),
 	.B1(brqrv_top_brqrv_dec_ctl_en[1]),
 	.B2(brqrv_top_brqrv_dec_decode_i0_d_c[1]),
@@ -468062,7 +466743,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dec_decode_i0_x_c_ff_g82__1705 (
-	.A1(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_0),
+	.A1(n_2796),
 	.A2(brqrv_top_brqrv_dec_decode_i0_x_c[0]),
 	.B1(brqrv_top_brqrv_dec_ctl_en[1]),
 	.B2(brqrv_top_brqrv_dec_i0_alu_decode_d),
@@ -468071,13 +466752,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_dec_decode_i0_x_c_ff_g83 (
-	.A(brqrv_top_brqrv_dec_ctl_en[1]),
-	.Y(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_x_c_ff_genblock.dffs_dout_reg[0]  (
 	.CLK(brqrv_top_brqrv_active_clk),
 	.D(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_5),
@@ -472578,7 +471252,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1029__6260 (
 	.A1(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_pause_r_d1),
-	.A2(brqrv_top_brqrv_dec_tlu_halt_ff_n_0),
+	.A2(n_30861),
 	.B1(brqrv_top_brqrv_dec_tlu_halt_ff_n_3),
 	.C1(brqrv_top_brqrv_dec_tlu_halt_ff_n_19),
 	.D1(brqrv_top_brqrv_dec_tlu_halt_ff_n_22),
@@ -472588,7 +471262,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a2111oi_0 brqrv_top_brqrv_dec_tlu_halt_ff_g1030__4319 (
-	.A1(brqrv_top_brqrv_dec_tlu_halt_ff_n_0),
+	.A1(n_30861),
 	.A2(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_pause_r_d1),
 	.B1(brqrv_top_brqrv_dec_tlu_halt_ff_n_20),
 	.C1(brqrv_top_brqrv_dec_tlu_halt_ff_n_21),
@@ -472786,13 +471460,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1052 (
-	.A(brqrv_top_brqrv_dec_dec_tlu_flush_pause_r),
-	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_halt_ff_clkhdr_clkhdr (
 	.CLK(brqrv_top_brqrv_n_7),
 	.GATE(brqrv_top_brqrv_dec_tlu_halt_ff_en),
@@ -472982,7 +471649,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[1]  (
 	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
-	.D(brqrv_top_brqrv_dec_tlu_n_2928),
+	.D(n_7983),
 	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[1]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -473278,7 +471945,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[1]  (
 	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
-	.D(brqrv_top_brqrv_dec_tlu_n_2928),
+	.D(n_7983),
 	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[1]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -505445,7 +504112,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [21]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -505481,7 +504148,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [22]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -505660,7 +504327,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [21]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -505696,7 +504363,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [22]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -505875,7 +504542,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [44]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -505911,7 +504578,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [45]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -506090,7 +504757,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [44]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -506126,7 +504793,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [45]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -506305,7 +504972,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [67]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -506341,7 +505008,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [68]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -506520,7 +505187,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [67]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -506556,7 +505223,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [68]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -506735,7 +505402,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [90]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -506771,7 +505438,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [91]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -506950,7 +505617,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [90]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -506986,7 +505653,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [91]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -507165,7 +505832,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [113]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -507201,7 +505868,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [114]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -507380,7 +506047,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [113]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -507416,7 +506083,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [114]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -507595,7 +506262,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [136]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -507631,7 +506298,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [137]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -507810,7 +506477,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [136]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -507846,7 +506513,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [137]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -508025,7 +506692,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [159]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -508061,7 +506728,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [160]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -508240,7 +506907,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [159]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -508276,7 +506943,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [160]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -508455,7 +507122,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [182]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -508491,7 +507158,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [183]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -508670,7 +507337,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [182]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -508706,7 +507373,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [183]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -508885,7 +507552,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [205]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -508921,7 +507588,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [206]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -509100,7 +507767,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [205]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -509136,7 +507803,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [206]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -509315,7 +507982,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [228]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -509351,7 +508018,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [229]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -509530,7 +508197,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [228]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -509566,7 +508233,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [229]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -509745,7 +508412,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [251]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -509781,7 +508448,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [252]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -509960,7 +508627,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [251]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -509996,7 +508663,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [252]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -510175,7 +508842,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [274]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -510211,7 +508878,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [275]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -510390,7 +509057,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [274]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -510426,7 +509093,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [275]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -510605,7 +509272,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [297]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -510641,7 +509308,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [298]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -510820,7 +509487,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [297]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -510856,7 +509523,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [298]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -511035,7 +509702,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [320]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -511071,7 +509738,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [321]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -511250,7 +509917,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [320]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -511286,7 +509953,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [321]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -511465,7 +510132,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [343]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -511501,7 +510168,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [344]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -511680,7 +510347,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [343]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -511716,7 +510383,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [344]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -511895,7 +510562,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [366]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -511931,7 +510598,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [367]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -512110,7 +510777,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [366]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -512146,7 +510813,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [367]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -512325,7 +510992,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [389]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -512361,7 +511028,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [390]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -512540,7 +511207,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [389]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -512576,7 +511243,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [390]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -512755,7 +511422,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [412]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -512791,7 +511458,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [413]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -512970,7 +511637,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [412]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -513006,7 +511673,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [413]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -513185,7 +511852,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [435]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -513221,7 +511888,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [436]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -513400,7 +512067,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [435]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -513436,7 +512103,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [436]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -513615,7 +512282,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [458]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -513651,7 +512318,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [459]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -513830,7 +512497,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [458]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -513866,7 +512533,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [459]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -514045,7 +512712,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [481]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -514081,7 +512748,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [482]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -514260,7 +512927,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [481]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -514296,7 +512963,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [482]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -514475,7 +513142,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [504]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -514511,7 +513178,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [505]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -514690,7 +513357,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [504]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -514726,7 +513393,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [505]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -514905,7 +513572,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [527]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -514941,7 +513608,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [528]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -515120,7 +513787,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [527]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -515156,7 +513823,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [528]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -515335,7 +514002,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [550]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -515371,7 +514038,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [551]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -515550,7 +514217,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [550]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -515586,7 +514253,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [551]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -515765,7 +514432,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [573]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -515801,7 +514468,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [574]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -515980,7 +514647,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [573]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -516016,7 +514683,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [574]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -516195,7 +514862,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [596]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -516231,7 +514898,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [597]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -516410,7 +515077,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [596]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -516446,7 +515113,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [597]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -516625,7 +515292,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [619]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -516661,7 +515328,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [620]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -516840,7 +515507,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [619]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -516876,7 +515543,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [620]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -517055,7 +515722,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [642]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -517091,7 +515758,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [643]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -517270,7 +515937,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [642]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -517306,7 +515973,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [643]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -517485,7 +516152,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [665]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -517521,7 +516188,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [666]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -517700,7 +516367,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [665]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -517736,7 +516403,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [666]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -517915,7 +516582,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [688]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -517951,7 +516618,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [689]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -518130,7 +516797,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [688]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -518166,7 +516833,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [689]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -518345,7 +517012,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [711]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -518381,7 +517048,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [712]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -518560,7 +517227,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [711]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -518596,7 +517263,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [712]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -518775,7 +517442,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [734]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -518811,7 +517478,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [735]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -518990,7 +517657,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [734]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -519026,7 +517693,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [735]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -519205,7 +517872,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [757]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -519241,7 +517908,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [758]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -519420,7 +518087,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [757]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -519456,7 +518123,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [758]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -519635,7 +518302,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [780]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -519671,7 +518338,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [781]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -519850,7 +518517,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [780]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -519886,7 +518553,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [781]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -520065,7 +518732,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [803]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -520101,7 +518768,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [804]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -520280,7 +518947,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [803]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -520316,7 +518983,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [804]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -520495,7 +519162,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [826]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -520531,7 +519198,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [827]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -520710,7 +519377,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [826]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -520746,7 +519413,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [827]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -520925,7 +519592,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [849]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -520961,7 +519628,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [850]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -521140,7 +519807,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [849]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -521176,7 +519843,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [850]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -521355,7 +520022,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [872]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -521391,7 +520058,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [873]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -521570,7 +520237,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [872]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -521606,7 +520273,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [873]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -521785,7 +520452,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [895]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -521821,7 +520488,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [896]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -522000,7 +520667,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [895]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -522036,7 +520703,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [896]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -522215,7 +520882,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [918]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -522251,7 +520918,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [919]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -522430,7 +521097,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [918]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -522466,7 +521133,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [919]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -522645,7 +521312,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [941]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -522681,7 +521348,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [942]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -522860,7 +521527,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [941]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -522896,7 +521563,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [942]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -523075,7 +521742,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [964]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -523111,7 +521778,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [965]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -523290,7 +521957,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [964]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -523326,7 +521993,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [965]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -523505,7 +522172,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [987]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -523541,7 +522208,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [988]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -523720,7 +522387,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [987]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -523756,7 +522423,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [988]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -523935,7 +522602,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1010]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -523971,7 +522638,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1011]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -524150,7 +522817,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1010]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -524186,7 +522853,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1011]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -524365,7 +523032,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1033]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -524401,7 +523068,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1034]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -524580,7 +523247,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1033]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -524616,7 +523283,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1034]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -524795,7 +523462,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1056]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -524831,7 +523498,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1057]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -525010,7 +523677,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1056]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -525046,7 +523713,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1057]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -525225,7 +523892,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1079]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -525261,7 +523928,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1080]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -525440,7 +524107,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1079]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -525476,7 +524143,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1080]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -525655,7 +524322,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1102]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -525691,7 +524358,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1103]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -525870,7 +524537,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1102]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -525906,7 +524573,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1103]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -526085,7 +524752,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1125]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -526121,7 +524788,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1126]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -526300,7 +524967,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1125]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -526336,7 +525003,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1126]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -526515,7 +525182,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1148]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -526551,7 +525218,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1149]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -526730,7 +525397,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1148]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -526766,7 +525433,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1149]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -526945,7 +525612,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1171]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -526981,7 +525648,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1172]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -527160,7 +525827,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1171]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -527196,7 +525863,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1172]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -527375,7 +526042,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1194]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -527411,7 +526078,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1195]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -527590,7 +526257,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1194]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -527626,7 +526293,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1195]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -527805,7 +526472,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1217]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -527841,7 +526508,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1218]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -528020,7 +526687,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1217]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -528056,7 +526723,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1218]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -528235,7 +526902,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1240]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -528271,7 +526938,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1241]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -528450,7 +527117,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1240]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -528486,7 +527153,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1241]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -528665,7 +527332,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1263]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -528701,7 +527368,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1264]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -528880,7 +527547,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1263]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -528916,7 +527583,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1264]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -529095,7 +527762,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1286]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -529131,7 +527798,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1287]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -529310,7 +527977,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1286]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -529346,7 +528013,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1287]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -529525,7 +528192,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1309]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -529561,7 +528228,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1310]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -529740,7 +528407,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1309]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -529776,7 +528443,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1310]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -529955,7 +528622,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1332]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -529991,7 +528658,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1333]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -530170,7 +528837,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1332]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -530206,7 +528873,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1333]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -530385,7 +529052,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1355]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -530421,7 +529088,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1356]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -530600,7 +529267,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1355]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -530636,7 +529303,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1356]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -530815,7 +529482,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1378]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -530851,7 +529518,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1379]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -531030,7 +529697,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1378]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -531066,7 +529733,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1379]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -531245,7 +529912,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1401]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -531281,7 +529948,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1402]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -531460,7 +530127,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1401]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -531496,7 +530163,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1402]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -531675,7 +530342,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1424]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -531711,7 +530378,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1425]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -531890,7 +530557,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1424]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -531926,7 +530593,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1425]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -532105,7 +530772,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1447]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -532141,7 +530808,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1448]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -532320,7 +530987,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1447]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -532356,7 +531023,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1448]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -532535,7 +531202,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1470]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -532571,7 +531238,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1471]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -532750,7 +531417,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1470]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -532786,7 +531453,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1471]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -532965,7 +531632,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1493]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -533001,7 +531668,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1494]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -533180,7 +531847,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1493]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -533216,7 +531883,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1494]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -533395,7 +532062,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1516]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -533431,7 +532098,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1517]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -533610,7 +532277,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1516]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -533646,7 +532313,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1517]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -533825,7 +532492,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1539]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -533861,7 +532528,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1540]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -534040,7 +532707,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1539]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -534076,7 +532743,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1540]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -534255,7 +532922,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1562]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -534291,7 +532958,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1563]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -534470,7 +533137,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1562]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -534506,7 +533173,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1563]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -534685,7 +533352,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1585]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -534721,7 +533388,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1586]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -534900,7 +533567,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1585]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -534936,7 +533603,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1586]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -535115,7 +533782,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1608]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -535151,7 +533818,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1609]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -535330,7 +533997,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1608]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -535366,7 +534033,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1609]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -535545,7 +534212,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1631]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -535581,7 +534248,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1632]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -535760,7 +534427,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1631]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -535796,7 +534463,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1632]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -535975,7 +534642,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1654]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -536011,7 +534678,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1655]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -536190,7 +534857,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1654]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -536226,7 +534893,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1655]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -536405,7 +535072,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1677]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -536441,7 +535108,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1678]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -536620,7 +535287,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1677]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -536656,7 +535323,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1678]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -536835,7 +535502,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1700]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -536871,7 +535538,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1701]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -537050,7 +535717,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1700]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -537086,7 +535753,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1701]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -537265,7 +535932,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1723]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -537301,7 +535968,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1724]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -537480,7 +536147,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1723]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -537516,7 +536183,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1724]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -537695,7 +536362,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1746]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -537731,7 +536398,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1747]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -537910,7 +536577,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1746]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -537946,7 +536613,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1747]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -538125,7 +536792,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1769]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -538161,7 +536828,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1770]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -538340,7 +537007,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1769]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -538376,7 +537043,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1770]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -538555,7 +537222,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1792]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -538591,7 +537258,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1793]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -538770,7 +537437,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1792]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -538806,7 +537473,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1793]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -538985,7 +537652,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1815]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -539021,7 +537688,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1816]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -539200,7 +537867,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1815]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -539236,7 +537903,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1816]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -539415,7 +538082,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1838]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -539451,7 +538118,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1839]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -539630,7 +538297,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1838]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -539666,7 +538333,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1839]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -539845,7 +538512,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1861]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -539881,7 +538548,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1862]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -540060,7 +538727,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1861]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -540096,7 +538763,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1862]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -540275,7 +538942,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1884]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -540311,7 +538978,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1885]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -540490,7 +539157,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1884]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -540526,7 +539193,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1885]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -540705,7 +539372,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1907]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -540741,7 +539408,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1908]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -540920,7 +539587,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1907]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -540956,7 +539623,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1908]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -541135,7 +539802,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1930]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -541171,7 +539838,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1931]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -541350,7 +540017,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1930]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -541386,7 +540053,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1931]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -541565,7 +540232,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1953]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -541601,7 +540268,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1954]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -541780,7 +540447,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1953]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -541816,7 +540483,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1954]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -541995,7 +540662,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1976]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -542031,7 +540698,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1977]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -542210,7 +540877,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1976]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -542246,7 +540913,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1977]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -542425,7 +541092,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1999]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -542461,7 +541128,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2000]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -542640,7 +541307,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1999]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -542676,7 +541343,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2000]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -542855,7 +541522,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2022]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -542891,7 +541558,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2023]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -543070,7 +541737,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2022]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -543106,7 +541773,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2023]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -543285,7 +541952,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2045]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -543321,7 +541988,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2046]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -543500,7 +542167,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2045]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -543536,7 +542203,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2046]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -543715,7 +542382,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2068]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -543751,7 +542418,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2069]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -543930,7 +542597,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2068]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -543966,7 +542633,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2069]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -544145,7 +542812,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2091]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -544181,7 +542848,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2092]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -544360,7 +543027,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2091]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -544396,7 +543063,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2092]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -544575,7 +543242,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2114]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -544611,7 +543278,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2115]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -544790,7 +543457,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2114]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -544826,7 +543493,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2115]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -545005,7 +543672,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2137]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -545041,7 +543708,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2138]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -545220,7 +543887,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2137]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -545256,7 +543923,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2138]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -545435,7 +544102,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2160]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -545471,7 +544138,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2161]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -545650,7 +544317,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2160]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -545686,7 +544353,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2161]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -545865,7 +544532,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2183]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -545901,7 +544568,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2184]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -546080,7 +544747,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2183]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -546116,7 +544783,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2184]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -546295,7 +544962,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2206]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -546331,7 +544998,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2207]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -546510,7 +545177,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2206]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -546546,7 +545213,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2207]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -546725,7 +545392,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2229]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -546761,7 +545428,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2230]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -546940,7 +545607,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2229]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -546976,7 +545643,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2230]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -547155,7 +545822,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2252]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -547191,7 +545858,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2253]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -547370,7 +546037,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2252]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -547406,7 +546073,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2253]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -547585,7 +546252,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2275]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -547621,7 +546288,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2276]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -547800,7 +546467,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2275]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -547836,7 +546503,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2276]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -548015,7 +546682,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2298]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -548051,7 +546718,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2299]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -548230,7 +546897,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2298]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -548266,7 +546933,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2299]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -548445,7 +547112,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2321]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -548481,7 +547148,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2322]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -548660,7 +547327,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2321]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -548696,7 +547363,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2322]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -548875,7 +547542,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2344]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -548911,7 +547578,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2345]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -549090,7 +547757,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2344]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -549126,7 +547793,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2345]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -549305,7 +547972,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2367]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -549341,7 +548008,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2368]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -549520,7 +548187,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2367]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -549556,7 +548223,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2368]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -549735,7 +548402,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2390]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -549771,7 +548438,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2391]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -549950,7 +548617,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2390]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -549986,7 +548653,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2391]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -550165,7 +548832,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2413]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -550201,7 +548868,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2414]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -550380,7 +549047,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2413]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -550416,7 +549083,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2414]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -550595,7 +549262,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2436]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -550631,7 +549298,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2437]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -550810,7 +549477,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2436]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -550846,7 +549513,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2437]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -551025,7 +549692,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2459]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -551061,7 +549728,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2460]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -551240,7 +549907,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2459]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -551276,7 +549943,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2460]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -551455,7 +550122,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2482]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -551491,7 +550158,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2483]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -551670,7 +550337,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2482]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -551706,7 +550373,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2483]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -551885,7 +550552,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2505]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -551921,7 +550588,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2506]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -552100,7 +550767,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2505]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -552136,7 +550803,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2506]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -552315,7 +550982,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2528]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -552351,7 +551018,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2529]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -552530,7 +551197,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2528]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -552566,7 +551233,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2529]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -552745,7 +551412,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2551]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -552781,7 +551448,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2552]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -552960,7 +551627,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2551]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -552996,7 +551663,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2552]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -553175,7 +551842,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2574]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -553211,7 +551878,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2575]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -553390,7 +552057,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2574]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -553426,7 +552093,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2575]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -553605,7 +552272,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2597]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -553641,7 +552308,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2598]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -553820,7 +552487,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2597]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -553856,7 +552523,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2598]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -554035,7 +552702,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2620]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -554071,7 +552738,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2621]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -554250,7 +552917,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2620]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -554286,7 +552953,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2621]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -554465,7 +553132,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2643]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -554501,7 +553168,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2644]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -554680,7 +553347,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2643]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -554716,7 +553383,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2644]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -554895,7 +553562,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2666]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -554931,7 +553598,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2667]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -555110,7 +553777,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2666]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -555146,7 +553813,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2667]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -555325,7 +553992,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2689]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -555361,7 +554028,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2690]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -555540,7 +554207,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2689]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -555576,7 +554243,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2690]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -555755,7 +554422,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2712]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -555791,7 +554458,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2713]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -555970,7 +554637,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2712]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -556006,7 +554673,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2713]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -556185,7 +554852,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2735]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -556221,7 +554888,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2736]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -556400,7 +555067,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2735]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -556436,7 +555103,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2736]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -556615,7 +555282,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2758]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -556651,7 +555318,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2759]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -556830,7 +555497,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2758]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -556866,7 +555533,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2759]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -557045,7 +555712,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2781]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -557081,7 +555748,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2782]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -557260,7 +555927,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2781]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -557296,7 +555963,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2782]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -557475,7 +556142,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2804]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -557511,7 +556178,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2805]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -557690,7 +556357,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2804]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -557726,7 +556393,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2805]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -557905,7 +556572,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2827]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -557941,7 +556608,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2828]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -558120,7 +556787,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2827]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -558156,7 +556823,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2828]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -558335,7 +557002,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2850]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -558371,7 +557038,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2851]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -558550,7 +557217,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2850]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -558586,7 +557253,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2851]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -558765,7 +557432,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2873]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -558801,7 +557468,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2874]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -558980,7 +557647,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2873]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -559016,7 +557683,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2874]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -559195,7 +557862,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2896]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -559231,7 +557898,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2897]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -559410,7 +558077,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2896]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -559446,7 +558113,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2897]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -559625,7 +558292,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2919]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -559661,7 +558328,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2920]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -559840,7 +558507,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2919]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -559876,7 +558543,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2920]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -560055,7 +558722,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
-	.D(n_36398),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2942]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -560091,7 +558758,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
-	.D(n_37916),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2943]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -560423,7 +559090,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[21]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[4]),
+	.D(FE_DBTN2_n_30258),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2942]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -560459,7 +559126,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[22]  (
 	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
-	.D(brqrv_top_brqrv_exu_mp_btag[5]),
+	.D(FE_DBTN3_n_30261),
 	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2943]),
 	.RESET_B(brqrv_top_brqrv_n_51), 
 	.VPWR(vccd1), 
@@ -564745,7 +563412,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g314__5115 (
 	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifc_dma_access_ok_prev),
-	.A2(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_2),
+	.A2(n_31922),
 	.B1(\brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout[5]_60 ),
 	.C1(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_3),
 	.D1(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_9),
@@ -564755,7 +563422,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a2111oi_0 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g315__7482 (
-	.A1(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_2),
+	.A1(n_31922),
 	.A2(brqrv_top_brqrv_ifu_mem_ctl_ifc_dma_access_ok_prev),
 	.B1(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_6),
 	.C1(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_7),
@@ -564821,13 +563488,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g322 (
-	.A(brqrv_top_brqrv_ifu_mem_ctl_ifc_dma_access_ok_d),
-	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g323 (
 	.A(brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_in),
 	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_1), 
@@ -569655,7 +568315,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g211__8246  (
-	.A1(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_0 ),
+	.A1(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[1]),
 	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[1]),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
@@ -569665,7 +568325,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g212__7098  (
-	.A1(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_0 ),
+	.A1(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[4]),
 	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[4]),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
@@ -569675,7 +568335,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g213__6131  (
-	.A1(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_0 ),
+	.A1(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[7]),
 	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[7]),
@@ -569685,7 +568345,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g214__1881  (
-	.A1(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_0 ),
+	.A1(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[0]),
 	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[0]),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
@@ -569695,7 +568355,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g215__5115  (
-	.A1(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_0 ),
+	.A1(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[5]),
 	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[5]),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
@@ -569705,7 +568365,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g216__7482  (
-	.A1(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_0 ),
+	.A1(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[6]),
 	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[6]),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
@@ -569715,7 +568375,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g217__4733  (
-	.A1(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_0 ),
+	.A1(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[3]),
 	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[3]),
@@ -569725,7 +568385,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g218__6161  (
-	.A1(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_0 ),
+	.A1(FE_DBTN1_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
 	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[2]),
 	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[2]),
 	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
@@ -569734,13 +568394,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g219  (
-	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
-	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_0 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[1]  (
 	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
 	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_9 ),
@@ -570751,7 +569404,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__or3_1 brqrv_top_brqrv_lsu_clkdomain_g291__1617 (
 	.A(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
-	.B(brqrv_top_brqrv_dma_dccm_req),
+	.B(n_8316),
 	.C(brqrv_top_brqrv_lsu_p[0]),
 	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken), 
 	.VPWR(vccd1), 
@@ -576634,7 +575287,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6147__7482  (
-	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_4 ),
+	.A1(FE_DBTN4_brqrv_top_brqrv_trigger_pkt_any_3),
 	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_83 ),
 	.B1(brqrv_top_brqrv_trigger_pkt_any[6]),
 	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[6]),
@@ -576934,7 +575587,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6181__6161  (
-	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_4 ),
+	.A(FE_DBTN4_brqrv_top_brqrv_trigger_pkt_any_3),
 	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_58 ),
 	.C_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_39 ),
 	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_63 ), 
@@ -577416,13 +576069,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6241  (
-	.A(brqrv_top_brqrv_trigger_pkt_any[3]),
-	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6242  (
 	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[16]),
 	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_3 ), 
@@ -577630,7 +576276,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6147__2802  (
-	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_4 ),
+	.A1(FE_DBTN5_brqrv_top_brqrv_trigger_pkt_any_41),
 	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_83 ),
 	.B1(brqrv_top_brqrv_trigger_pkt_any[44]),
 	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[38]),
@@ -577930,7 +576576,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6181__5122  (
-	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_4 ),
+	.A(FE_DBTN5_brqrv_top_brqrv_trigger_pkt_any_41),
 	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_58 ),
 	.C_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_39 ),
 	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_63 ), 
@@ -578412,13 +577058,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6241  (
-	.A(brqrv_top_brqrv_trigger_pkt_any[41]),
-	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6242  (
 	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[48]),
 	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_3 ), 
@@ -578626,7 +577265,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6147  (
-	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_4 ),
+	.A1(FE_DBTN6_brqrv_top_brqrv_trigger_pkt_any_79),
 	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_83 ),
 	.B1(brqrv_top_brqrv_trigger_pkt_any[82]),
 	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[70]),
@@ -578926,7 +577565,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6181  (
-	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_4 ),
+	.A(FE_DBTN6_brqrv_top_brqrv_trigger_pkt_any_79),
 	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_58 ),
 	.C_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_39 ),
 	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_63 ), 
@@ -579408,13 +578047,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6241  (
-	.A(brqrv_top_brqrv_trigger_pkt_any[79]),
-	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6242  (
 	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[80]),
 	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_3 ), 
@@ -579622,7 +578254,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6147  (
-	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_4 ),
+	.A1(FE_DBTN7_brqrv_top_brqrv_trigger_pkt_any_117),
 	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_83 ),
 	.B1(brqrv_top_brqrv_trigger_pkt_any[120]),
 	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[102]),
@@ -579922,7 +578554,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6181  (
-	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_4 ),
+	.A(FE_DBTN7_brqrv_top_brqrv_trigger_pkt_any_117),
 	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_58 ),
 	.C_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_39 ),
 	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_63 ), 
@@ -580404,13 +579036,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6241  (
-	.A(brqrv_top_brqrv_trigger_pkt_any[117]),
-	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_4 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6242  (
 	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[112]),
 	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_3 ),