1. a464881 Point at the efabless/caravel repo by Ahmed Ghazy · 3 years, 3 months ago
  2. 12208af Update README.md by Amr A. Gouhar · 3 years, 3 months ago
  3. 562499c Remove simulation CI by agorararmard · 3 years, 3 months ago
  4. e49431b Add info.yaml by agorararmard · 3 years, 3 months ago
  5. 4a26e1e Create LICENSE by Amr A. Gouhar · 3 years, 3 months ago
  6. b5367e2 Add Github Actions CI by agorararmard · 3 years, 3 months ago
  7. d4ec2f0 Example of a full run of user_project_wrapper by Ahmed Ghazy · 3 years, 3 months ago
  8. 2f8702e Update caravel by Ahmed Ghazy · 3 years, 3 months ago
  9. 6d389c6 Initial commit, submoduling the Caravel repo by Ahmed Ghazy · 3 years, 3 months ago
  10. 7060959 first commit by Ahmed Ghazy · 3 years, 3 months ago