blob: 8ee0c8d91f64ff512c8edd3a69a80d15fb9edae6 [file] [log] [blame]
/* Generated by Yosys 0.9+4052 (git sha1 d061b0e, gcc 8.3.1 -fPIC -Os) */
module axmul(a, b, c);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
wire _055_;
wire _056_;
wire _057_;
wire _058_;
wire _059_;
wire _060_;
wire _061_;
wire _062_;
wire _063_;
wire _064_;
wire _065_;
wire _066_;
wire _067_;
wire _068_;
wire _069_;
wire _070_;
wire _071_;
wire _072_;
wire _073_;
wire _074_;
wire _075_;
wire _076_;
wire _077_;
wire _078_;
wire _079_;
wire _080_;
wire _081_;
wire _082_;
wire _083_;
wire _084_;
wire _085_;
wire _086_;
wire _087_;
wire _088_;
wire _089_;
wire _090_;
wire _091_;
wire _092_;
wire _093_;
wire _094_;
wire _095_;
wire _096_;
wire _097_;
wire _098_;
wire _099_;
wire _100_;
wire _101_;
wire _102_;
wire _103_;
wire _104_;
wire _105_;
wire _106_;
wire _107_;
wire _108_;
wire _109_;
wire _110_;
wire _111_;
wire _112_;
wire _113_;
wire _114_;
wire _115_;
wire _116_;
wire _117_;
wire _118_;
wire _119_;
wire _120_;
wire _121_;
wire _122_;
wire _123_;
wire _124_;
wire _125_;
wire _126_;
wire _127_;
wire _128_;
wire _129_;
wire _130_;
wire _131_;
wire _132_;
wire _133_;
wire _134_;
wire _135_;
wire _136_;
wire _137_;
wire _138_;
wire _139_;
wire _140_;
wire _141_;
wire _142_;
wire _143_;
wire _144_;
wire _145_;
wire _146_;
wire _147_;
wire _148_;
wire _149_;
wire _150_;
wire _151_;
wire _152_;
wire _153_;
wire _154_;
wire _155_;
wire _156_;
wire _157_;
wire _158_;
wire _159_;
wire _160_;
wire _161_;
wire _162_;
wire _163_;
wire _164_;
wire _165_;
wire _166_;
wire _167_;
wire _168_;
wire _169_;
wire _170_;
wire _171_;
wire _172_;
wire _173_;
wire _174_;
wire _175_;
wire _176_;
wire _177_;
wire _178_;
wire _179_;
wire _180_;
wire _181_;
wire _182_;
wire _183_;
wire _184_;
wire _185_;
wire _186_;
wire _187_;
wire _188_;
wire _189_;
wire _190_;
wire _191_;
wire _192_;
wire _193_;
wire _194_;
wire _195_;
wire _196_;
wire _197_;
wire _198_;
wire _199_;
wire _200_;
wire _201_;
wire _202_;
wire _203_;
wire _204_;
wire _205_;
wire _206_;
wire _207_;
wire _208_;
wire _209_;
wire _210_;
wire _211_;
wire _212_;
wire _213_;
wire _214_;
wire _215_;
wire _216_;
wire _217_;
wire _218_;
wire _219_;
wire _220_;
wire _221_;
wire _222_;
wire _223_;
wire _224_;
wire _225_;
wire _226_;
wire _227_;
wire _228_;
wire _229_;
wire _230_;
wire _231_;
wire _232_;
wire _233_;
wire _234_;
wire _235_;
wire _236_;
wire _237_;
wire _238_;
wire _239_;
wire _240_;
wire _241_;
wire _242_;
wire _243_;
wire _244_;
wire _245_;
wire _246_;
wire _247_;
wire _248_;
wire _249_;
wire _250_;
wire _251_;
wire _252_;
wire _253_;
wire _254_;
wire _255_;
wire _256_;
wire _257_;
wire _258_;
wire _259_;
wire _260_;
wire _261_;
wire _262_;
wire _263_;
wire _264_;
wire _265_;
wire _266_;
wire _267_;
wire _268_;
input [7:0] a;
input [7:0] b;
output [15:0] c;
sky130_fd_sc_hd__buf_1 _269_ (
.A(b[0]),
.X(_212_)
);
sky130_fd_sc_hd__buf_1 _270_ (
.A(a[3]),
.X(_213_)
);
sky130_fd_sc_hd__buf_1 _271_ (
.A(b[1]),
.X(_214_)
);
sky130_fd_sc_hd__buf_1 _272_ (
.A(a[2]),
.X(_215_)
);
sky130_fd_sc_hd__buf_1 _273_ (
.A(b[2]),
.X(_216_)
);
sky130_fd_sc_hd__buf_1 _274_ (
.A(a[1]),
.X(_217_)
);
sky130_fd_sc_hd__buf_1 _275_ (
.A(b[3]),
.X(_218_)
);
sky130_fd_sc_hd__buf_1 _276_ (
.A(a[0]),
.X(_219_)
);
sky130_fd_sc_hd__a22o_2 _277_ (
.A1(_216_),
.A2(_217_),
.B1(_218_),
.B2(_219_),
.X(_220_)
);
sky130_fd_sc_hd__a221o_2 _278_ (
.A1(_212_),
.A2(_213_),
.B1(_214_),
.B2(_215_),
.C1(_220_),
.X(c[3])
);
sky130_fd_sc_hd__inv_2 _279_ (
.A(_212_),
.Y(_221_)
);
sky130_fd_sc_hd__inv_2 _280_ (
.A(a[2]),
.Y(_222_)
);
sky130_fd_sc_hd__nor2_2 _281_ (
.A(_221_),
.B(_222_),
.Y(_223_)
);
sky130_fd_sc_hd__a221o_2 _282_ (
.A1(_214_),
.A2(_217_),
.B1(_216_),
.B2(_219_),
.C1(_223_),
.X(c[2])
);
sky130_fd_sc_hd__nand2_2 _283_ (
.A(_212_),
.B(_217_),
.Y(_224_)
);
sky130_fd_sc_hd__nand2_2 _284_ (
.A(_214_),
.B(_219_),
.Y(_225_)
);
sky130_fd_sc_hd__xor2_2 _285_ (
.A(_224_),
.B(_225_),
.X(c[1])
);
sky130_fd_sc_hd__inv_2 _286_ (
.A(_219_),
.Y(_226_)
);
sky130_fd_sc_hd__nor2_2 _287_ (
.A(_221_),
.B(_226_),
.Y(c[0])
);
sky130_fd_sc_hd__buf_1 _288_ (
.A(b[4]),
.X(_227_)
);
sky130_fd_sc_hd__inv_2 _289_ (
.A(_227_),
.Y(_228_)
);
sky130_fd_sc_hd__nor2_2 _290_ (
.A(_226_),
.B(_228_),
.Y(_229_)
);
sky130_fd_sc_hd__buf_1 _291_ (
.A(a[4]),
.X(_230_)
);
sky130_fd_sc_hd__nand2_2 _292_ (
.A(_212_),
.B(_230_),
.Y(_231_)
);
sky130_fd_sc_hd__inv_2 _293_ (
.A(_231_),
.Y(_232_)
);
sky130_fd_sc_hd__inv_2 _294_ (
.A(a[3]),
.Y(_233_)
);
sky130_fd_sc_hd__buf_1 _295_ (
.A(b[1]),
.X(_234_)
);
sky130_fd_sc_hd__inv_2 _296_ (
.A(_234_),
.Y(_235_)
);
sky130_fd_sc_hd__inv_2 _297_ (
.A(b[2]),
.Y(_236_)
);
sky130_fd_sc_hd__nand2_2 _298_ (
.A(_217_),
.B(_218_),
.Y(_237_)
);
sky130_fd_sc_hd__o221ai_2 _299_ (
.A1(_233_),
.A2(_235_),
.B1(_222_),
.B2(_236_),
.C1(_237_),
.Y(_238_)
);
sky130_fd_sc_hd__or2_2 _300_ (
.A(_232_),
.B(_238_),
.X(_239_)
);
sky130_fd_sc_hd__nand2_2 _301_ (
.A(_238_),
.B(_232_),
.Y(_240_)
);
sky130_fd_sc_hd__nand2_2 _302_ (
.A(_239_),
.B(_240_),
.Y(_241_)
);
sky130_fd_sc_hd__xnor2_2 _303_ (
.A(_229_),
.B(_241_),
.Y(c[4])
);
sky130_fd_sc_hd__buf_1 _304_ (
.A(b[7]),
.X(_242_)
);
sky130_fd_sc_hd__buf_1 _305_ (
.A(a[7]),
.X(_243_)
);
sky130_fd_sc_hd__nand2_2 _306_ (
.A(_242_),
.B(_243_),
.Y(_244_)
);
sky130_fd_sc_hd__buf_1 _307_ (
.A(_244_),
.X(_245_)
);
sky130_fd_sc_hd__inv_2 _308_ (
.A(_245_),
.Y(_003_)
);
sky130_fd_sc_hd__buf_1 _309_ (
.A(b[6]),
.X(_246_)
);
sky130_fd_sc_hd__nand2_2 _310_ (
.A(_246_),
.B(a[7]),
.Y(_247_)
);
sky130_fd_sc_hd__nand2_2 _311_ (
.A(a[6]),
.B(b[7]),
.Y(_248_)
);
sky130_fd_sc_hd__nor2_2 _312_ (
.A(_247_),
.B(_248_),
.Y(_249_)
);
sky130_fd_sc_hd__buf_1 _313_ (
.A(_249_),
.X(_004_)
);
sky130_fd_sc_hd__inv_2 _314_ (
.A(b[7]),
.Y(_250_)
);
sky130_fd_sc_hd__nor2_2 _315_ (
.A(_233_),
.B(_250_),
.Y(_251_)
);
sky130_fd_sc_hd__buf_1 _316_ (
.A(a[5]),
.X(_252_)
);
sky130_fd_sc_hd__buf_1 _317_ (
.A(b[5]),
.X(_253_)
);
sky130_fd_sc_hd__nand2_2 _318_ (
.A(_252_),
.B(_253_),
.Y(_254_)
);
sky130_fd_sc_hd__buf_1 _319_ (
.A(a[6]),
.X(_255_)
);
sky130_fd_sc_hd__nand2_2 _320_ (
.A(_227_),
.B(_255_),
.Y(_256_)
);
sky130_fd_sc_hd__buf_1 _321_ (
.A(a[4]),
.X(_257_)
);
sky130_fd_sc_hd__nand2_2 _322_ (
.A(_257_),
.B(_246_),
.Y(_258_)
);
sky130_fd_sc_hd__nand3_2 _323_ (
.A(_254_),
.B(_256_),
.C(_258_),
.Y(_259_)
);
sky130_fd_sc_hd__nand2_2 _324_ (
.A(_251_),
.B(_259_),
.Y(_260_)
);
sky130_fd_sc_hd__a22oi_2 _325_ (
.A1(a[4]),
.A2(_246_),
.B1(_227_),
.B2(a[6]),
.Y(_261_)
);
sky130_fd_sc_hd__o211ai_2 _326_ (
.A1(_233_),
.A2(_250_),
.B1(_254_),
.C1(_261_),
.Y(_262_)
);
sky130_fd_sc_hd__a21oi_2 _327_ (
.A1(_260_),
.A2(_262_),
.B1(_244_),
.Y(_263_)
);
sky130_fd_sc_hd__and3_2 _328_ (
.A(_260_),
.B(_262_),
.C(_244_),
.X(_264_)
);
sky130_fd_sc_hd__nor2_2 _329_ (
.A(_263_),
.B(_264_),
.Y(_265_)
);
sky130_fd_sc_hd__and2_2 _330_ (
.A(_247_),
.B(_248_),
.X(_266_)
);
sky130_fd_sc_hd__nor2_2 _331_ (
.A(_004_),
.B(_266_),
.Y(_267_)
);
sky130_fd_sc_hd__buf_1 _332_ (
.A(a[2]),
.X(_268_)
);
sky130_fd_sc_hd__buf_1 _333_ (
.A(b[6]),
.X(_005_)
);
sky130_fd_sc_hd__nand3_2 _334_ (
.A(_213_),
.B(_268_),
.C(_005_),
.Y(_006_)
);
sky130_fd_sc_hd__nand2_2 _335_ (
.A(a[3]),
.B(b[6]),
.Y(_007_)
);
sky130_fd_sc_hd__nand2_2 _336_ (
.A(_268_),
.B(b[7]),
.Y(_008_)
);
sky130_fd_sc_hd__nand2_2 _337_ (
.A(_007_),
.B(_008_),
.Y(_009_)
);
sky130_fd_sc_hd__o21ai_2 _338_ (
.A1(_250_),
.A2(_006_),
.B1(_009_),
.Y(_010_)
);
sky130_fd_sc_hd__nand2_2 _339_ (
.A(_257_),
.B(_253_),
.Y(_011_)
);
sky130_fd_sc_hd__buf_1 _340_ (
.A(b[4]),
.X(_012_)
);
sky130_fd_sc_hd__buf_1 _341_ (
.A(a[5]),
.X(_013_)
);
sky130_fd_sc_hd__nand3_2 _342_ (
.A(_011_),
.B(_012_),
.C(_013_),
.Y(_014_)
);
sky130_fd_sc_hd__nand2_2 _343_ (
.A(_227_),
.B(_252_),
.Y(_015_)
);
sky130_fd_sc_hd__buf_1 _344_ (
.A(b[5]),
.X(_016_)
);
sky130_fd_sc_hd__nand3_2 _345_ (
.A(_015_),
.B(_230_),
.C(_016_),
.Y(_017_)
);
sky130_fd_sc_hd__nand3_2 _346_ (
.A(_010_),
.B(_014_),
.C(_017_),
.Y(_018_)
);
sky130_fd_sc_hd__nand2_2 _347_ (
.A(_014_),
.B(_017_),
.Y(_019_)
);
sky130_fd_sc_hd__nand3b_2 _348_ (
.A_N(_007_),
.B(_215_),
.C(_242_),
.Y(_020_)
);
sky130_fd_sc_hd__nand3_2 _349_ (
.A(_019_),
.B(_020_),
.C(_009_),
.Y(_021_)
);
sky130_fd_sc_hd__a21boi_2 _350_ (
.A1(_267_),
.A2(_018_),
.B1_N(_021_),
.Y(_022_)
);
sky130_fd_sc_hd__nand2_2 _351_ (
.A(_265_),
.B(_022_),
.Y(_023_)
);
sky130_fd_sc_hd__inv_2 _352_ (
.A(_023_),
.Y(_024_)
);
sky130_fd_sc_hd__inv_2 _353_ (
.A(_262_),
.Y(_025_)
);
sky130_fd_sc_hd__o21a_2 _354_ (
.A1(_244_),
.A2(_025_),
.B1(_260_),
.X(_026_)
);
sky130_fd_sc_hd__inv_2 _355_ (
.A(_026_),
.Y(_027_)
);
sky130_fd_sc_hd__a22oi_2 _356_ (
.A1(_257_),
.A2(_242_),
.B1(_012_),
.B2(_243_),
.Y(_028_)
);
sky130_fd_sc_hd__a22oi_2 _357_ (
.A1(_005_),
.A2(_252_),
.B1(_255_),
.B2(_016_),
.Y(_029_)
);
sky130_fd_sc_hd__nand2_2 _358_ (
.A(_028_),
.B(_029_),
.Y(_030_)
);
sky130_fd_sc_hd__nor2_2 _359_ (
.A(_007_),
.B(_008_),
.Y(_031_)
);
sky130_fd_sc_hd__nand2_2 _360_ (
.A(_030_),
.B(_031_),
.Y(_032_)
);
sky130_fd_sc_hd__nand3_2 _361_ (
.A(_020_),
.B(_028_),
.C(_029_),
.Y(_033_)
);
sky130_fd_sc_hd__a21oi_2 _362_ (
.A1(_032_),
.A2(_033_),
.B1(_004_),
.Y(_034_)
);
sky130_fd_sc_hd__inv_2 _363_ (
.A(_249_),
.Y(_035_)
);
sky130_fd_sc_hd__nand2_2 _364_ (
.A(_032_),
.B(_033_),
.Y(_036_)
);
sky130_fd_sc_hd__nor2_2 _365_ (
.A(_035_),
.B(_036_),
.Y(_037_)
);
sky130_fd_sc_hd__nor2_2 _366_ (
.A(_034_),
.B(_037_),
.Y(_038_)
);
sky130_fd_sc_hd__nand2_2 _367_ (
.A(_027_),
.B(_038_),
.Y(_039_)
);
sky130_fd_sc_hd__nor2_2 _368_ (
.A(_038_),
.B(_027_),
.Y(_040_)
);
sky130_fd_sc_hd__a21o_2 _369_ (
.A1(_024_),
.A2(_039_),
.B1(_040_),
.X(_041_)
);
sky130_fd_sc_hd__inv_2 _370_ (
.A(_246_),
.Y(_042_)
);
sky130_fd_sc_hd__inv_2 _371_ (
.A(a[6]),
.Y(_043_)
);
sky130_fd_sc_hd__inv_2 _372_ (
.A(_253_),
.Y(_044_)
);
sky130_fd_sc_hd__inv_2 _373_ (
.A(_243_),
.Y(_045_)
);
sky130_fd_sc_hd__nand2_2 _374_ (
.A(_242_),
.B(_013_),
.Y(_046_)
);
sky130_fd_sc_hd__o221ai_2 _375_ (
.A1(_042_),
.A2(_043_),
.B1(_044_),
.B2(_045_),
.C1(_046_),
.Y(_047_)
);
sky130_fd_sc_hd__nand2_2 _376_ (
.A(a[1]),
.B(b[7]),
.Y(_048_)
);
sky130_fd_sc_hd__nand2_2 _377_ (
.A(_213_),
.B(_253_),
.Y(_049_)
);
sky130_fd_sc_hd__nand2_2 _378_ (
.A(_268_),
.B(_246_),
.Y(_050_)
);
sky130_fd_sc_hd__nand3_2 _379_ (
.A(_048_),
.B(_049_),
.C(_050_),
.Y(_051_)
);
sky130_fd_sc_hd__nand2_2 _380_ (
.A(a[4]),
.B(_227_),
.Y(_052_)
);
sky130_fd_sc_hd__inv_2 _381_ (
.A(_052_),
.Y(_053_)
);
sky130_fd_sc_hd__nand2_2 _382_ (
.A(_051_),
.B(_053_),
.Y(_054_)
);
sky130_fd_sc_hd__a22oi_2 _383_ (
.A1(_213_),
.A2(_016_),
.B1(_215_),
.B2(_005_),
.Y(_055_)
);
sky130_fd_sc_hd__nand3_2 _384_ (
.A(_055_),
.B(_048_),
.C(_052_),
.Y(_056_)
);
sky130_fd_sc_hd__nand3_2 _385_ (
.A(_047_),
.B(_054_),
.C(_056_),
.Y(_057_)
);
sky130_fd_sc_hd__nand2_2 _386_ (
.A(_057_),
.B(_054_),
.Y(_058_)
);
sky130_fd_sc_hd__nand2_2 _387_ (
.A(_021_),
.B(_018_),
.Y(_059_)
);
sky130_fd_sc_hd__nand2_2 _388_ (
.A(_059_),
.B(_267_),
.Y(_060_)
);
sky130_fd_sc_hd__inv_2 _389_ (
.A(_266_),
.Y(_061_)
);
sky130_fd_sc_hd__nand2_2 _390_ (
.A(_061_),
.B(_035_),
.Y(_062_)
);
sky130_fd_sc_hd__nand3_2 _391_ (
.A(_062_),
.B(_021_),
.C(_018_),
.Y(_063_)
);
sky130_fd_sc_hd__nand3b_2 _392_ (
.A_N(_058_),
.B(_060_),
.C(_063_),
.Y(_064_)
);
sky130_fd_sc_hd__nand2_2 _393_ (
.A(a[3]),
.B(b[2]),
.Y(_065_)
);
sky130_fd_sc_hd__nand2_2 _394_ (
.A(_268_),
.B(b[3]),
.Y(_066_)
);
sky130_fd_sc_hd__a22oi_2 _395_ (
.A1(b[2]),
.A2(_252_),
.B1(b[3]),
.B2(_257_),
.Y(_067_)
);
sky130_fd_sc_hd__a22oi_2 _396_ (
.A1(b[0]),
.A2(_243_),
.B1(_234_),
.B2(_255_),
.Y(_068_)
);
sky130_fd_sc_hd__o211ai_2 _397_ (
.A1(_065_),
.A2(_066_),
.B1(_067_),
.C1(_068_),
.Y(_069_)
);
sky130_fd_sc_hd__a22oi_2 _398_ (
.A1(a[1]),
.A2(_005_),
.B1(a[0]),
.B2(_242_),
.Y(_070_)
);
sky130_fd_sc_hd__o221ai_2 _399_ (
.A1(_233_),
.A2(_228_),
.B1(_222_),
.B2(_044_),
.C1(_070_),
.Y(_071_)
);
sky130_fd_sc_hd__nand3b_2 _400_ (
.A_N(_065_),
.B(_215_),
.C(_218_),
.Y(_072_)
);
sky130_fd_sc_hd__a21oi_2 _401_ (
.A1(_067_),
.A2(_068_),
.B1(_072_),
.Y(_073_)
);
sky130_fd_sc_hd__a21oi_2 _402_ (
.A1(_069_),
.A2(_071_),
.B1(_073_),
.Y(_074_)
);
sky130_fd_sc_hd__a31oi_2 _403_ (
.A1(_048_),
.A2(_049_),
.A3(_050_),
.B1(_052_),
.Y(_075_)
);
sky130_fd_sc_hd__nor2_2 _404_ (
.A(_053_),
.B(_051_),
.Y(_076_)
);
sky130_fd_sc_hd__o221a_2 _405_ (
.A1(_042_),
.A2(_043_),
.B1(_044_),
.B2(_045_),
.C1(_046_),
.X(_077_)
);
sky130_fd_sc_hd__o21ai_2 _406_ (
.A1(_075_),
.A2(_076_),
.B1(_077_),
.Y(_078_)
);
sky130_fd_sc_hd__nand2_2 _407_ (
.A(_078_),
.B(_057_),
.Y(_079_)
);
sky130_fd_sc_hd__nor2_2 _408_ (
.A(_074_),
.B(_079_),
.Y(_080_)
);
sky130_fd_sc_hd__nand2_2 _409_ (
.A(_064_),
.B(_080_),
.Y(_081_)
);
sky130_fd_sc_hd__nand2_2 _410_ (
.A(_059_),
.B(_062_),
.Y(_082_)
);
sky130_fd_sc_hd__nand3_2 _411_ (
.A(_021_),
.B(_018_),
.C(_267_),
.Y(_083_)
);
sky130_fd_sc_hd__nand3_2 _412_ (
.A(_082_),
.B(_058_),
.C(_083_),
.Y(_084_)
);
sky130_fd_sc_hd__nand2_2 _413_ (
.A(_081_),
.B(_084_),
.Y(_085_)
);
sky130_fd_sc_hd__nand2_2 _414_ (
.A(_018_),
.B(_267_),
.Y(_086_)
);
sky130_fd_sc_hd__o2bb2ai_2 _415_ (
.A1_N(_021_),
.A2_N(_086_),
.B1(_263_),
.B2(_264_),
.Y(_087_)
);
sky130_fd_sc_hd__nand2_2 _416_ (
.A(_039_),
.B(_087_),
.Y(_088_)
);
sky130_fd_sc_hd__nand2_2 _417_ (
.A(_234_),
.B(_252_),
.Y(_089_)
);
sky130_fd_sc_hd__nand2_2 _418_ (
.A(b[2]),
.B(_257_),
.Y(_090_)
);
sky130_fd_sc_hd__nand2_2 _419_ (
.A(b[0]),
.B(_255_),
.Y(_091_)
);
sky130_fd_sc_hd__nand3_2 _420_ (
.A(_089_),
.B(_090_),
.C(_091_),
.Y(_092_)
);
sky130_fd_sc_hd__nand2_2 _421_ (
.A(a[3]),
.B(b[3]),
.Y(_093_)
);
sky130_fd_sc_hd__inv_2 _422_ (
.A(_093_),
.Y(_094_)
);
sky130_fd_sc_hd__nand2_2 _423_ (
.A(_092_),
.B(_094_),
.Y(_095_)
);
sky130_fd_sc_hd__a22oi_2 _424_ (
.A1(_214_),
.A2(_013_),
.B1(_216_),
.B2(_230_),
.Y(_096_)
);
sky130_fd_sc_hd__nand3_2 _425_ (
.A(_096_),
.B(_093_),
.C(_091_),
.Y(_097_)
);
sky130_fd_sc_hd__nand2_2 _426_ (
.A(_268_),
.B(_012_),
.Y(_098_)
);
sky130_fd_sc_hd__nand2_2 _427_ (
.A(a[0]),
.B(_005_),
.Y(_099_)
);
sky130_fd_sc_hd__nand2_2 _428_ (
.A(a[1]),
.B(_253_),
.Y(_100_)
);
sky130_fd_sc_hd__nand3_2 _429_ (
.A(_098_),
.B(_099_),
.C(_100_),
.Y(_101_)
);
sky130_fd_sc_hd__a21o_2 _430_ (
.A1(_095_),
.A2(_097_),
.B1(_101_),
.X(_102_)
);
sky130_fd_sc_hd__o21a_2 _431_ (
.A1(_094_),
.A2(_092_),
.B1(_101_),
.X(_103_)
);
sky130_fd_sc_hd__nand2_2 _432_ (
.A(_103_),
.B(_095_),
.Y(_104_)
);
sky130_fd_sc_hd__nand2_2 _433_ (
.A(_102_),
.B(_104_),
.Y(_105_)
);
sky130_fd_sc_hd__a22oi_2 _434_ (
.A1(_213_),
.A2(_216_),
.B1(_215_),
.B2(_218_),
.Y(_106_)
);
sky130_fd_sc_hd__nor2_2 _435_ (
.A(_065_),
.B(_066_),
.Y(_107_)
);
sky130_fd_sc_hd__nand2_2 _436_ (
.A(b[0]),
.B(a[5]),
.Y(_108_)
);
sky130_fd_sc_hd__nand3_2 _437_ (
.A(_108_),
.B(_234_),
.C(_230_),
.Y(_109_)
);
sky130_fd_sc_hd__nand2_2 _438_ (
.A(_234_),
.B(a[4]),
.Y(_110_)
);
sky130_fd_sc_hd__nand3_2 _439_ (
.A(_110_),
.B(b[0]),
.C(_013_),
.Y(_111_)
);
sky130_fd_sc_hd__o211ai_2 _440_ (
.A1(_106_),
.A2(_107_),
.B1(_109_),
.C1(_111_),
.Y(_112_)
);
sky130_fd_sc_hd__nand2_2 _441_ (
.A(a[1]),
.B(_012_),
.Y(_113_)
);
sky130_fd_sc_hd__nand2_2 _442_ (
.A(a[0]),
.B(_016_),
.Y(_114_)
);
sky130_fd_sc_hd__xor2_2 _443_ (
.A(_113_),
.B(_114_),
.X(_115_)
);
sky130_fd_sc_hd__nand2_2 _444_ (
.A(_109_),
.B(_111_),
.Y(_116_)
);
sky130_fd_sc_hd__nand2_2 _445_ (
.A(_065_),
.B(_066_),
.Y(_117_)
);
sky130_fd_sc_hd__nand3_2 _446_ (
.A(_116_),
.B(_117_),
.C(_072_),
.Y(_118_)
);
sky130_fd_sc_hd__a21boi_2 _447_ (
.A1(_112_),
.A2(_115_),
.B1_N(_118_),
.Y(_119_)
);
sky130_fd_sc_hd__nand2_2 _448_ (
.A(_105_),
.B(_119_),
.Y(_120_)
);
sky130_fd_sc_hd__o21ai_2 _449_ (
.A1(_232_),
.A2(_238_),
.B1(_229_),
.Y(_121_)
);
sky130_fd_sc_hd__nand2_2 _450_ (
.A(_112_),
.B(_118_),
.Y(_122_)
);
sky130_fd_sc_hd__xnor2_2 _451_ (
.A(_113_),
.B(_114_),
.Y(_123_)
);
sky130_fd_sc_hd__a22oi_2 _452_ (
.A1(_121_),
.A2(_240_),
.B1(_122_),
.B2(_123_),
.Y(_124_)
);
sky130_fd_sc_hd__nand3_2 _453_ (
.A(_112_),
.B(_115_),
.C(_118_),
.Y(_125_)
);
sky130_fd_sc_hd__nand3_2 _454_ (
.A(_120_),
.B(_124_),
.C(_125_),
.Y(_126_)
);
sky130_fd_sc_hd__nand2_2 _455_ (
.A(_218_),
.B(_230_),
.Y(_127_)
);
sky130_fd_sc_hd__nand2_2 _456_ (
.A(_216_),
.B(_013_),
.Y(_128_)
);
sky130_fd_sc_hd__nand2_2 _457_ (
.A(_127_),
.B(_128_),
.Y(_129_)
);
sky130_fd_sc_hd__a22o_2 _458_ (
.A1(_212_),
.A2(_243_),
.B1(_214_),
.B2(_255_),
.X(_130_)
);
sky130_fd_sc_hd__o21ai_2 _459_ (
.A1(_129_),
.A2(_130_),
.B1(_107_),
.Y(_131_)
);
sky130_fd_sc_hd__a21o_2 _460_ (
.A1(_131_),
.A2(_069_),
.B1(_071_),
.X(_132_)
);
sky130_fd_sc_hd__and2_2 _461_ (
.A(_092_),
.B(_094_),
.X(_133_)
);
sky130_fd_sc_hd__a21o_2 _462_ (
.A1(_097_),
.A2(_101_),
.B1(_133_),
.X(_134_)
);
sky130_fd_sc_hd__nand3_2 _463_ (
.A(_131_),
.B(_069_),
.C(_071_),
.Y(_135_)
);
sky130_fd_sc_hd__a21oi_2 _464_ (
.A1(_095_),
.A2(_097_),
.B1(_101_),
.Y(_136_)
);
sky130_fd_sc_hd__a21oi_2 _465_ (
.A1(_095_),
.A2(_103_),
.B1(_136_),
.Y(_137_)
);
sky130_fd_sc_hd__nand2_2 _466_ (
.A(_116_),
.B(_072_),
.Y(_138_)
);
sky130_fd_sc_hd__a21oi_2 _467_ (
.A1(_072_),
.A2(_117_),
.B1(_116_),
.Y(_139_)
);
sky130_fd_sc_hd__o22ai_2 _468_ (
.A1(_106_),
.A2(_138_),
.B1(_123_),
.B2(_139_),
.Y(_140_)
);
sky130_fd_sc_hd__a32oi_2 _469_ (
.A1(_132_),
.A2(_134_),
.A3(_135_),
.B1(_137_),
.B2(_140_),
.Y(_141_)
);
sky130_fd_sc_hd__a21oi_2 _470_ (
.A1(_131_),
.A2(_069_),
.B1(_071_),
.Y(_142_)
);
sky130_fd_sc_hd__nand2_2 _471_ (
.A(_071_),
.B(_069_),
.Y(_143_)
);
sky130_fd_sc_hd__nor2_2 _472_ (
.A(_073_),
.B(_143_),
.Y(_144_)
);
sky130_fd_sc_hd__nor2_2 _473_ (
.A(_133_),
.B(_103_),
.Y(_145_)
);
sky130_fd_sc_hd__o21ai_2 _474_ (
.A1(_142_),
.A2(_144_),
.B1(_145_),
.Y(_146_)
);
sky130_fd_sc_hd__nand2_2 _475_ (
.A(_079_),
.B(_074_),
.Y(_147_)
);
sky130_fd_sc_hd__nand3_2 _476_ (
.A(_064_),
.B(_146_),
.C(_147_),
.Y(_148_)
);
sky130_fd_sc_hd__a21oi_2 _477_ (
.A1(_126_),
.A2(_141_),
.B1(_148_),
.Y(_149_)
);
sky130_fd_sc_hd__nor3_2 _478_ (
.A(_085_),
.B(_088_),
.C(_149_),
.Y(_150_)
);
sky130_fd_sc_hd__a21oi_2 _479_ (
.A1(_028_),
.A2(_029_),
.B1(_020_),
.Y(_151_)
);
sky130_fd_sc_hd__a21o_2 _480_ (
.A1(_033_),
.A2(_004_),
.B1(_151_),
.X(_152_)
);
sky130_fd_sc_hd__nand2_2 _481_ (
.A(_152_),
.B(_047_),
.Y(_153_)
);
sky130_fd_sc_hd__a21oi_2 _482_ (
.A1(_033_),
.A2(_004_),
.B1(_151_),
.Y(_154_)
);
sky130_fd_sc_hd__nand2_2 _483_ (
.A(_154_),
.B(_077_),
.Y(_155_)
);
sky130_fd_sc_hd__nand2_2 _484_ (
.A(_153_),
.B(_155_),
.Y(_156_)
);
sky130_fd_sc_hd__o21bai_2 _485_ (
.A1(_041_),
.A2(_150_),
.B1_N(_156_),
.Y(_157_)
);
sky130_fd_sc_hd__a21o_2 _486_ (
.A1(_126_),
.A2(_141_),
.B1(_148_),
.X(_158_)
);
sky130_fd_sc_hd__and2_2 _487_ (
.A(_081_),
.B(_084_),
.X(_159_)
);
sky130_fd_sc_hd__nand3b_2 _488_ (
.A_N(_088_),
.B(_158_),
.C(_159_),
.Y(_160_)
);
sky130_fd_sc_hd__nand3b_2 _489_ (
.A_N(_041_),
.B(_160_),
.C(_156_),
.Y(_161_)
);
sky130_fd_sc_hd__nand2_2 _490_ (
.A(_157_),
.B(_161_),
.Y(c[12])
);
sky130_fd_sc_hd__a21o_2 _491_ (
.A1(_260_),
.A2(_262_),
.B1(_245_),
.X(_162_)
);
sky130_fd_sc_hd__nand3_2 _492_ (
.A(_260_),
.B(_262_),
.C(_245_),
.Y(_163_)
);
sky130_fd_sc_hd__a21oi_2 _493_ (
.A1(_162_),
.A2(_163_),
.B1(_022_),
.Y(_164_)
);
sky130_fd_sc_hd__nand2_2 _494_ (
.A(_143_),
.B(_131_),
.Y(_165_)
);
sky130_fd_sc_hd__nand3_2 _495_ (
.A(_165_),
.B(_057_),
.C(_078_),
.Y(_166_)
);
sky130_fd_sc_hd__o211ai_2 _496_ (
.A1(_142_),
.A2(_144_),
.B1(_145_),
.C1(_166_),
.Y(_167_)
);
sky130_fd_sc_hd__and3_2 _497_ (
.A(_167_),
.B(_023_),
.C(_147_),
.X(_168_)
);
sky130_fd_sc_hd__a21oi_2 _498_ (
.A1(_078_),
.A2(_057_),
.B1(_165_),
.Y(_169_)
);
sky130_fd_sc_hd__nand3_2 _499_ (
.A(_132_),
.B(_134_),
.C(_135_),
.Y(_170_)
);
sky130_fd_sc_hd__o21a_2 _500_ (
.A1(_169_),
.A2(_170_),
.B1(_166_),
.X(_171_)
);
sky130_fd_sc_hd__nand3_2 _501_ (
.A(_140_),
.B(_102_),
.C(_104_),
.Y(_172_)
);
sky130_fd_sc_hd__nand3_2 _502_ (
.A(_171_),
.B(_126_),
.C(_172_),
.Y(_173_)
);
sky130_fd_sc_hd__o211ai_2 _503_ (
.A1(_164_),
.A2(_064_),
.B1(_168_),
.C1(_173_),
.Y(_174_)
);
sky130_fd_sc_hd__nand2_2 _504_ (
.A(_084_),
.B(_087_),
.Y(_175_)
);
sky130_fd_sc_hd__nand2_2 _505_ (
.A(_175_),
.B(_023_),
.Y(_176_)
);
sky130_fd_sc_hd__and2_2 _506_ (
.A(_027_),
.B(_038_),
.X(_177_)
);
sky130_fd_sc_hd__nor2_2 _507_ (
.A(_040_),
.B(_177_),
.Y(_178_)
);
sky130_fd_sc_hd__a21o_2 _508_ (
.A1(_174_),
.A2(_176_),
.B1(_178_),
.X(_179_)
);
sky130_fd_sc_hd__nand3_2 _509_ (
.A(_174_),
.B(_178_),
.C(_176_),
.Y(_180_)
);
sky130_fd_sc_hd__nand2_2 _510_ (
.A(_179_),
.B(_180_),
.Y(c[11])
);
sky130_fd_sc_hd__nand2_2 _511_ (
.A(_023_),
.B(_087_),
.Y(_181_)
);
sky130_fd_sc_hd__nor2_2 _512_ (
.A(_085_),
.B(_149_),
.Y(_182_)
);
sky130_fd_sc_hd__xor2_2 _513_ (
.A(_181_),
.B(_182_),
.X(c[10])
);
sky130_fd_sc_hd__nand2_2 _514_ (
.A(_064_),
.B(_084_),
.Y(_183_)
);
sky130_fd_sc_hd__a21oi_2 _515_ (
.A1(_112_),
.A2(_118_),
.B1(_115_),
.Y(_184_)
);
sky130_fd_sc_hd__and3_2 _516_ (
.A(_114_),
.B(_217_),
.C(_012_),
.X(_185_)
);
sky130_fd_sc_hd__and3_2 _517_ (
.A(_113_),
.B(_219_),
.C(_016_),
.X(_186_)
);
sky130_fd_sc_hd__o211a_2 _518_ (
.A1(_185_),
.A2(_186_),
.B1(_118_),
.C1(_112_),
.X(_187_)
);
sky130_fd_sc_hd__and2_2 _519_ (
.A(_121_),
.B(_240_),
.X(_188_)
);
sky130_fd_sc_hd__o211ai_2 _520_ (
.A1(_184_),
.A2(_187_),
.B1(_188_),
.C1(_172_),
.Y(_189_)
);
sky130_fd_sc_hd__a22oi_2 _521_ (
.A1(_079_),
.A2(_074_),
.B1(_105_),
.B2(_119_),
.Y(_190_)
);
sky130_fd_sc_hd__nand3_2 _522_ (
.A(_189_),
.B(_167_),
.C(_190_),
.Y(_191_)
);
sky130_fd_sc_hd__nand2_2 _523_ (
.A(_191_),
.B(_171_),
.Y(_192_)
);
sky130_fd_sc_hd__nand2_2 _524_ (
.A(_192_),
.B(_173_),
.Y(_193_)
);
sky130_fd_sc_hd__xor2_2 _525_ (
.A(_183_),
.B(_193_),
.X(c[9])
);
sky130_fd_sc_hd__nand2_2 _526_ (
.A(_147_),
.B(_166_),
.Y(_194_)
);
sky130_fd_sc_hd__nand2_2 _527_ (
.A(_126_),
.B(_141_),
.Y(_195_)
);
sky130_fd_sc_hd__nand2_2 _528_ (
.A(_195_),
.B(_146_),
.Y(_196_)
);
sky130_fd_sc_hd__xor2_2 _529_ (
.A(_194_),
.B(_196_),
.X(c[8])
);
sky130_fd_sc_hd__nand2_2 _530_ (
.A(_146_),
.B(_170_),
.Y(_197_)
);
sky130_fd_sc_hd__nand2_2 _531_ (
.A(_126_),
.B(_172_),
.Y(_198_)
);
sky130_fd_sc_hd__xnor2_2 _532_ (
.A(_197_),
.B(_198_),
.Y(c[7])
);
sky130_fd_sc_hd__nand2_2 _533_ (
.A(_120_),
.B(_172_),
.Y(_199_)
);
sky130_fd_sc_hd__and2_2 _534_ (
.A(_124_),
.B(_125_),
.X(_200_)
);
sky130_fd_sc_hd__xnor2_2 _535_ (
.A(_199_),
.B(_200_),
.Y(c[6])
);
sky130_fd_sc_hd__o21a_2 _536_ (
.A1(_187_),
.A2(_184_),
.B1(_188_),
.X(_201_)
);
sky130_fd_sc_hd__nor2_2 _537_ (
.A(_201_),
.B(_200_),
.Y(c[5])
);
sky130_fd_sc_hd__nand3_2 _538_ (
.A(_191_),
.B(_176_),
.C(_171_),
.Y(_202_)
);
sky130_fd_sc_hd__o211ai_2 _539_ (
.A1(_034_),
.A2(_037_),
.B1(_026_),
.C1(_153_),
.Y(_203_)
);
sky130_fd_sc_hd__a21boi_2 _540_ (
.A1(_265_),
.A2(_022_),
.B1_N(_155_),
.Y(_204_)
);
sky130_fd_sc_hd__o211a_2 _541_ (
.A1(_164_),
.A2(_064_),
.B1(_203_),
.C1(_204_),
.X(_205_)
);
sky130_fd_sc_hd__nand2_2 _542_ (
.A(_202_),
.B(_205_),
.Y(_206_)
);
sky130_fd_sc_hd__inv_2 _543_ (
.A(_153_),
.Y(_207_)
);
sky130_fd_sc_hd__a31o_2 _544_ (
.A1(_027_),
.A2(_038_),
.A3(_155_),
.B1(_207_),
.X(_208_)
);
sky130_fd_sc_hd__inv_2 _545_ (
.A(_208_),
.Y(_209_)
);
sky130_fd_sc_hd__and2_2 _546_ (
.A(_206_),
.B(_209_),
.X(_000_)
);
sky130_fd_sc_hd__a21oi_2 _547_ (
.A1(_042_),
.A2(_043_),
.B1(_245_),
.Y(_001_)
);
sky130_fd_sc_hd__mux2_2 _548_ (
.A0(_062_),
.A1(_061_),
.S(_245_),
.X(_002_)
);
sky130_fd_sc_hd__a211oi_2 _549_ (
.A1(_202_),
.A2(_205_),
.B1(_267_),
.C1(_208_),
.Y(_210_)
);
sky130_fd_sc_hd__a21oi_2 _550_ (
.A1(_206_),
.A2(_209_),
.B1(_062_),
.Y(_211_)
);
sky130_fd_sc_hd__nor2_2 _551_ (
.A(_210_),
.B(_211_),
.Y(c[13])
);
sky130_fd_sc_hd__mux2_1 _552_ (
.A0(_002_),
.A1(_003_),
.S(_000_),
.X(c[14])
);
sky130_fd_sc_hd__mux2_1 _553_ (
.A0(_001_),
.A1(_004_),
.S(_000_),
.X(c[15])
);
endmodule