blob: b27b62d7f2cef455b2a2e97a548541fc2f5d5679 [file] [log] [blame]
/* Generated by Yosys 0.9+4052 (git sha1 d061b0e, gcc 8.3.1 -fPIC -Os) */
module axmul(a, b, c);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
wire _055_;
wire _056_;
wire _057_;
wire _058_;
wire _059_;
wire _060_;
wire _061_;
wire _062_;
wire _063_;
wire _064_;
wire _065_;
wire _066_;
wire _067_;
wire _068_;
wire _069_;
wire _070_;
wire _071_;
wire _072_;
wire _073_;
wire _074_;
wire _075_;
wire _076_;
wire _077_;
wire _078_;
wire _079_;
wire _080_;
wire _081_;
wire _082_;
wire _083_;
wire _084_;
wire _085_;
wire _086_;
wire _087_;
wire _088_;
wire _089_;
wire _090_;
wire _091_;
wire _092_;
wire _093_;
wire _094_;
wire _095_;
wire _096_;
wire _097_;
wire _098_;
wire _099_;
wire _100_;
wire _101_;
wire _102_;
wire _103_;
wire _104_;
wire _105_;
wire _106_;
wire _107_;
wire _108_;
wire _109_;
wire _110_;
wire _111_;
wire _112_;
wire _113_;
wire _114_;
wire _115_;
wire _116_;
wire _117_;
wire _118_;
wire _119_;
wire _120_;
wire _121_;
wire _122_;
wire _123_;
wire _124_;
wire _125_;
wire _126_;
wire _127_;
wire _128_;
wire _129_;
wire _130_;
wire _131_;
wire _132_;
wire _133_;
wire _134_;
wire _135_;
wire _136_;
wire _137_;
wire _138_;
wire _139_;
wire _140_;
wire _141_;
wire _142_;
wire _143_;
wire _144_;
wire _145_;
wire _146_;
wire _147_;
wire _148_;
wire _149_;
wire _150_;
wire _151_;
wire _152_;
wire _153_;
wire _154_;
wire _155_;
wire _156_;
wire _157_;
wire _158_;
wire _159_;
wire _160_;
wire _161_;
wire _162_;
wire _163_;
wire _164_;
wire _165_;
wire _166_;
wire _167_;
wire _168_;
wire _169_;
wire _170_;
wire _171_;
wire _172_;
wire _173_;
wire _174_;
wire _175_;
wire _176_;
wire _177_;
wire _178_;
wire _179_;
wire _180_;
wire _181_;
wire _182_;
wire _183_;
wire _184_;
wire _185_;
wire _186_;
wire _187_;
wire _188_;
wire _189_;
wire _190_;
wire _191_;
wire _192_;
wire _193_;
wire _194_;
wire _195_;
wire _196_;
wire _197_;
wire _198_;
wire _199_;
wire _200_;
wire _201_;
wire _202_;
wire _203_;
wire _204_;
wire _205_;
wire _206_;
wire _207_;
wire _208_;
wire _209_;
wire _210_;
wire _211_;
wire _212_;
wire _213_;
wire _214_;
wire _215_;
wire _216_;
wire _217_;
wire _218_;
wire _219_;
wire _220_;
wire _221_;
wire _222_;
wire _223_;
wire _224_;
wire _225_;
wire _226_;
wire _227_;
wire _228_;
wire _229_;
wire _230_;
wire _231_;
wire _232_;
wire _233_;
wire _234_;
wire _235_;
wire _236_;
wire _237_;
wire _238_;
wire _239_;
wire _240_;
wire _241_;
wire _242_;
wire _243_;
wire _244_;
wire _245_;
wire _246_;
wire _247_;
wire _248_;
wire _249_;
wire _250_;
wire _251_;
wire _252_;
wire _253_;
wire _254_;
wire _255_;
wire _256_;
wire _257_;
wire _258_;
wire _259_;
wire _260_;
wire _261_;
wire _262_;
wire _263_;
wire _264_;
wire _265_;
wire _266_;
wire _267_;
input [7:0] a;
input [7:0] b;
output [15:0] c;
sky130_fd_sc_hd__buf_1 _268_ (
.A(b[2]),
.X(_211_)
);
sky130_fd_sc_hd__buf_1 _269_ (
.A(a[1]),
.X(_212_)
);
sky130_fd_sc_hd__buf_1 _270_ (
.A(b[3]),
.X(_213_)
);
sky130_fd_sc_hd__buf_1 _271_ (
.A(a[0]),
.X(_214_)
);
sky130_fd_sc_hd__buf_1 _272_ (
.A(b[0]),
.X(_215_)
);
sky130_fd_sc_hd__buf_1 _273_ (
.A(a[3]),
.X(_216_)
);
sky130_fd_sc_hd__buf_1 _274_ (
.A(_216_),
.X(_217_)
);
sky130_fd_sc_hd__buf_1 _275_ (
.A(b[1]),
.X(_218_)
);
sky130_fd_sc_hd__buf_1 _276_ (
.A(_218_),
.X(_219_)
);
sky130_fd_sc_hd__buf_1 _277_ (
.A(a[2]),
.X(_220_)
);
sky130_fd_sc_hd__a22o_2 _278_ (
.A1(_215_),
.A2(_217_),
.B1(_219_),
.B2(_220_),
.X(_221_)
);
sky130_fd_sc_hd__a221o_2 _279_ (
.A1(_211_),
.A2(_212_),
.B1(_213_),
.B2(_214_),
.C1(_221_),
.X(c[3])
);
sky130_fd_sc_hd__inv_2 _280_ (
.A(_215_),
.Y(_222_)
);
sky130_fd_sc_hd__inv_2 _281_ (
.A(a[2]),
.Y(_223_)
);
sky130_fd_sc_hd__nor2_2 _282_ (
.A(_222_),
.B(_223_),
.Y(_224_)
);
sky130_fd_sc_hd__a221o_2 _283_ (
.A1(_219_),
.A2(_212_),
.B1(_211_),
.B2(_214_),
.C1(_224_),
.X(c[2])
);
sky130_fd_sc_hd__nand2_2 _284_ (
.A(_215_),
.B(_212_),
.Y(_225_)
);
sky130_fd_sc_hd__nand2_2 _285_ (
.A(_219_),
.B(_214_),
.Y(_226_)
);
sky130_fd_sc_hd__xor2_2 _286_ (
.A(_225_),
.B(_226_),
.X(c[1])
);
sky130_fd_sc_hd__inv_2 _287_ (
.A(_214_),
.Y(_227_)
);
sky130_fd_sc_hd__nor2_2 _288_ (
.A(_222_),
.B(_227_),
.Y(c[0])
);
sky130_fd_sc_hd__buf_1 _289_ (
.A(b[4]),
.X(_228_)
);
sky130_fd_sc_hd__inv_2 _290_ (
.A(_228_),
.Y(_229_)
);
sky130_fd_sc_hd__nor2_2 _291_ (
.A(_227_),
.B(_229_),
.Y(_230_)
);
sky130_fd_sc_hd__nand2_2 _292_ (
.A(_217_),
.B(_219_),
.Y(_231_)
);
sky130_fd_sc_hd__buf_1 _293_ (
.A(a[1]),
.X(_232_)
);
sky130_fd_sc_hd__nand2_2 _294_ (
.A(_232_),
.B(_213_),
.Y(_233_)
);
sky130_fd_sc_hd__nand2_2 _295_ (
.A(_220_),
.B(_211_),
.Y(_234_)
);
sky130_fd_sc_hd__nand3_2 _296_ (
.A(_231_),
.B(_233_),
.C(_234_),
.Y(_235_)
);
sky130_fd_sc_hd__buf_1 _297_ (
.A(a[4]),
.X(_236_)
);
sky130_fd_sc_hd__nand2_2 _298_ (
.A(b[0]),
.B(_236_),
.Y(_237_)
);
sky130_fd_sc_hd__inv_2 _299_ (
.A(_237_),
.Y(_238_)
);
sky130_fd_sc_hd__and2_2 _300_ (
.A(_235_),
.B(_238_),
.X(_239_)
);
sky130_fd_sc_hd__inv_2 _301_ (
.A(_216_),
.Y(_240_)
);
sky130_fd_sc_hd__inv_2 _302_ (
.A(_219_),
.Y(_241_)
);
sky130_fd_sc_hd__o2111ai_2 _303_ (
.A1(_240_),
.A2(_241_),
.B1(_237_),
.C1(_233_),
.D1(_234_),
.Y(_242_)
);
sky130_fd_sc_hd__or2b_2 _304_ (
.A(_239_),
.B_N(_242_),
.X(_243_)
);
sky130_fd_sc_hd__xnor2_2 _305_ (
.A(_230_),
.B(_243_),
.Y(c[4])
);
sky130_fd_sc_hd__buf_1 _306_ (
.A(b[7]),
.X(_244_)
);
sky130_fd_sc_hd__buf_1 _307_ (
.A(a[7]),
.X(_245_)
);
sky130_fd_sc_hd__nand2_2 _308_ (
.A(_244_),
.B(_245_),
.Y(_246_)
);
sky130_fd_sc_hd__inv_2 _309_ (
.A(_246_),
.Y(_003_)
);
sky130_fd_sc_hd__and4_2 _310_ (
.A(b[6]),
.B(a[6]),
.C(b[7]),
.D(a[7]),
.X(_247_)
);
sky130_fd_sc_hd__buf_1 _311_ (
.A(_247_),
.X(_004_)
);
sky130_fd_sc_hd__and2_2 _312_ (
.A(b[4]),
.B(a[7]),
.X(_248_)
);
sky130_fd_sc_hd__nand2_2 _313_ (
.A(a[4]),
.B(b[7]),
.Y(_249_)
);
sky130_fd_sc_hd__buf_1 _314_ (
.A(a[6]),
.X(_250_)
);
sky130_fd_sc_hd__buf_1 _315_ (
.A(b[5]),
.X(_251_)
);
sky130_fd_sc_hd__nand2_2 _316_ (
.A(_250_),
.B(_251_),
.Y(_252_)
);
sky130_fd_sc_hd__buf_1 _317_ (
.A(b[6]),
.X(_253_)
);
sky130_fd_sc_hd__nand2_2 _318_ (
.A(_253_),
.B(a[5]),
.Y(_254_)
);
sky130_fd_sc_hd__nand3_2 _319_ (
.A(_249_),
.B(_252_),
.C(_254_),
.Y(_255_)
);
sky130_fd_sc_hd__nand2_2 _320_ (
.A(_216_),
.B(_253_),
.Y(_256_)
);
sky130_fd_sc_hd__nand2_2 _321_ (
.A(a[2]),
.B(b[7]),
.Y(_257_)
);
sky130_fd_sc_hd__nor2_2 _322_ (
.A(_256_),
.B(_257_),
.Y(_258_)
);
sky130_fd_sc_hd__o21ai_2 _323_ (
.A1(_248_),
.A2(_255_),
.B1(_258_),
.Y(_259_)
);
sky130_fd_sc_hd__buf_1 _324_ (
.A(a[5]),
.X(_260_)
);
sky130_fd_sc_hd__a22oi_2 _325_ (
.A1(_253_),
.A2(_260_),
.B1(_250_),
.B2(_251_),
.Y(_261_)
);
sky130_fd_sc_hd__buf_1 _326_ (
.A(b[7]),
.X(_262_)
);
sky130_fd_sc_hd__buf_1 _327_ (
.A(b[4]),
.X(_263_)
);
sky130_fd_sc_hd__a22oi_2 _328_ (
.A1(_236_),
.A2(_262_),
.B1(_263_),
.B2(_245_),
.Y(_264_)
);
sky130_fd_sc_hd__o211ai_2 _329_ (
.A1(_256_),
.A2(_257_),
.B1(_261_),
.C1(_264_),
.Y(_265_)
);
sky130_fd_sc_hd__a21oi_2 _330_ (
.A1(_259_),
.A2(_265_),
.B1(_004_),
.Y(_266_)
);
sky130_fd_sc_hd__and3_2 _331_ (
.A(_259_),
.B(_004_),
.C(_265_),
.X(_267_)
);
sky130_fd_sc_hd__nor2_2 _332_ (
.A(_266_),
.B(_267_),
.Y(_005_)
);
sky130_fd_sc_hd__inv_2 _333_ (
.A(_262_),
.Y(_006_)
);
sky130_fd_sc_hd__nand2_2 _334_ (
.A(_260_),
.B(_251_),
.Y(_007_)
);
sky130_fd_sc_hd__buf_1 _335_ (
.A(a[4]),
.X(_008_)
);
sky130_fd_sc_hd__buf_1 _336_ (
.A(_253_),
.X(_009_)
);
sky130_fd_sc_hd__buf_1 _337_ (
.A(a[6]),
.X(_010_)
);
sky130_fd_sc_hd__a22oi_2 _338_ (
.A1(_008_),
.A2(_009_),
.B1(_263_),
.B2(_010_),
.Y(_011_)
);
sky130_fd_sc_hd__o211ai_2 _339_ (
.A1(_240_),
.A2(_006_),
.B1(_007_),
.C1(_011_),
.Y(_012_)
);
sky130_fd_sc_hd__inv_2 _340_ (
.A(_012_),
.Y(_013_)
);
sky130_fd_sc_hd__nand2_2 _341_ (
.A(_236_),
.B(_009_),
.Y(_014_)
);
sky130_fd_sc_hd__nand2_2 _342_ (
.A(_228_),
.B(_250_),
.Y(_015_)
);
sky130_fd_sc_hd__nand3_2 _343_ (
.A(_014_),
.B(_007_),
.C(_015_),
.Y(_016_)
);
sky130_fd_sc_hd__and2_2 _344_ (
.A(_216_),
.B(_262_),
.X(_017_)
);
sky130_fd_sc_hd__nand2_2 _345_ (
.A(_016_),
.B(_017_),
.Y(_018_)
);
sky130_fd_sc_hd__and2_2 _346_ (
.A(_018_),
.B(_246_),
.X(_019_)
);
sky130_fd_sc_hd__nor2_2 _347_ (
.A(_013_),
.B(_019_),
.Y(_020_)
);
sky130_fd_sc_hd__nand2_2 _348_ (
.A(_005_),
.B(_020_),
.Y(_021_)
);
sky130_fd_sc_hd__buf_1 _349_ (
.A(a[2]),
.X(_022_)
);
sky130_fd_sc_hd__buf_1 _350_ (
.A(_253_),
.X(_023_)
);
sky130_fd_sc_hd__nand3_2 _351_ (
.A(_217_),
.B(_022_),
.C(_023_),
.Y(_024_)
);
sky130_fd_sc_hd__nand2_2 _352_ (
.A(_256_),
.B(_257_),
.Y(_025_)
);
sky130_fd_sc_hd__o21ai_2 _353_ (
.A1(_006_),
.A2(_024_),
.B1(_025_),
.Y(_026_)
);
sky130_fd_sc_hd__buf_1 _354_ (
.A(b[5]),
.X(_027_)
);
sky130_fd_sc_hd__nand2_2 _355_ (
.A(_236_),
.B(_027_),
.Y(_028_)
);
sky130_fd_sc_hd__buf_1 _356_ (
.A(a[5]),
.X(_029_)
);
sky130_fd_sc_hd__nand3_2 _357_ (
.A(_028_),
.B(_263_),
.C(_029_),
.Y(_030_)
);
sky130_fd_sc_hd__nand2_2 _358_ (
.A(_228_),
.B(_260_),
.Y(_031_)
);
sky130_fd_sc_hd__nand3_2 _359_ (
.A(_031_),
.B(_008_),
.C(_027_),
.Y(_032_)
);
sky130_fd_sc_hd__nand3_2 _360_ (
.A(_026_),
.B(_030_),
.C(_032_),
.Y(_033_)
);
sky130_fd_sc_hd__a22oi_2 _361_ (
.A1(_009_),
.A2(_245_),
.B1(_010_),
.B2(_262_),
.Y(_034_)
);
sky130_fd_sc_hd__nor2_2 _362_ (
.A(_034_),
.B(_004_),
.Y(_035_)
);
sky130_fd_sc_hd__nand2_2 _363_ (
.A(_033_),
.B(_035_),
.Y(_036_)
);
sky130_fd_sc_hd__nand2_2 _364_ (
.A(_030_),
.B(_032_),
.Y(_037_)
);
sky130_fd_sc_hd__nand3b_2 _365_ (
.A_N(_256_),
.B(_220_),
.C(_244_),
.Y(_038_)
);
sky130_fd_sc_hd__nand3_2 _366_ (
.A(_037_),
.B(_038_),
.C(_025_),
.Y(_039_)
);
sky130_fd_sc_hd__and2_2 _367_ (
.A(_036_),
.B(_039_),
.X(_040_)
);
sky130_fd_sc_hd__a21oi_2 _368_ (
.A1(_012_),
.A2(_018_),
.B1(_246_),
.Y(_041_)
);
sky130_fd_sc_hd__and3_2 _369_ (
.A(_012_),
.B(_018_),
.C(_246_),
.X(_042_)
);
sky130_fd_sc_hd__nor2_2 _370_ (
.A(_041_),
.B(_042_),
.Y(_043_)
);
sky130_fd_sc_hd__o22ai_2 _371_ (
.A1(_013_),
.A2(_019_),
.B1(_266_),
.B2(_267_),
.Y(_044_)
);
sky130_fd_sc_hd__inv_2 _372_ (
.A(_044_),
.Y(_045_)
);
sky130_fd_sc_hd__a31o_2 _373_ (
.A1(_021_),
.A2(_040_),
.A3(_043_),
.B1(_045_),
.X(_046_)
);
sky130_fd_sc_hd__nand2_2 _374_ (
.A(_039_),
.B(_033_),
.Y(_047_)
);
sky130_fd_sc_hd__nand2_2 _375_ (
.A(_047_),
.B(_035_),
.Y(_048_)
);
sky130_fd_sc_hd__inv_2 _376_ (
.A(_035_),
.Y(_049_)
);
sky130_fd_sc_hd__nand3_2 _377_ (
.A(_049_),
.B(_039_),
.C(_033_),
.Y(_050_)
);
sky130_fd_sc_hd__inv_2 _378_ (
.A(_251_),
.Y(_051_)
);
sky130_fd_sc_hd__inv_2 _379_ (
.A(_245_),
.Y(_052_)
);
sky130_fd_sc_hd__nand2_2 _380_ (
.A(_244_),
.B(_029_),
.Y(_053_)
);
sky130_fd_sc_hd__nand2_2 _381_ (
.A(_023_),
.B(_010_),
.Y(_054_)
);
sky130_fd_sc_hd__o211ai_2 _382_ (
.A1(_051_),
.A2(_052_),
.B1(_053_),
.C1(_054_),
.Y(_055_)
);
sky130_fd_sc_hd__a22oi_2 _383_ (
.A1(_022_),
.A2(_023_),
.B1(_232_),
.B2(_244_),
.Y(_056_)
);
sky130_fd_sc_hd__nand2_2 _384_ (
.A(_008_),
.B(_263_),
.Y(_057_)
);
sky130_fd_sc_hd__nand2_2 _385_ (
.A(_217_),
.B(_027_),
.Y(_058_)
);
sky130_fd_sc_hd__nand3_2 _386_ (
.A(_056_),
.B(_057_),
.C(_058_),
.Y(_059_)
);
sky130_fd_sc_hd__nand2_2 _387_ (
.A(_055_),
.B(_059_),
.Y(_060_)
);
sky130_fd_sc_hd__nand2_2 _388_ (
.A(_212_),
.B(_244_),
.Y(_061_)
);
sky130_fd_sc_hd__nand2_2 _389_ (
.A(_220_),
.B(_023_),
.Y(_062_)
);
sky130_fd_sc_hd__a31o_2 _390_ (
.A1(_061_),
.A2(_058_),
.A3(_062_),
.B1(_057_),
.X(_063_)
);
sky130_fd_sc_hd__and2_2 _391_ (
.A(_060_),
.B(_063_),
.X(_064_)
);
sky130_fd_sc_hd__nand3_2 _392_ (
.A(_048_),
.B(_050_),
.C(_064_),
.Y(_065_)
);
sky130_fd_sc_hd__nand2_2 _393_ (
.A(_063_),
.B(_059_),
.Y(_066_)
);
sky130_fd_sc_hd__nand2_2 _394_ (
.A(_066_),
.B(_055_),
.Y(_067_)
);
sky130_fd_sc_hd__o211a_2 _395_ (
.A1(_051_),
.A2(_052_),
.B1(_053_),
.C1(_054_),
.X(_068_)
);
sky130_fd_sc_hd__nand3_2 _396_ (
.A(_068_),
.B(_059_),
.C(_063_),
.Y(_069_)
);
sky130_fd_sc_hd__nand2_2 _397_ (
.A(_216_),
.B(b[2]),
.Y(_070_)
);
sky130_fd_sc_hd__nand3b_2 _398_ (
.A_N(_070_),
.B(_022_),
.C(_213_),
.Y(_071_)
);
sky130_fd_sc_hd__a22oi_2 _399_ (
.A1(_211_),
.A2(_029_),
.B1(_213_),
.B2(_008_),
.Y(_072_)
);
sky130_fd_sc_hd__a22oi_2 _400_ (
.A1(b[0]),
.A2(_245_),
.B1(_218_),
.B2(_010_),
.Y(_073_)
);
sky130_fd_sc_hd__nand3_2 _401_ (
.A(_071_),
.B(_072_),
.C(_073_),
.Y(_074_)
);
sky130_fd_sc_hd__a22oi_2 _402_ (
.A1(_232_),
.A2(_009_),
.B1(a[0]),
.B2(_262_),
.Y(_075_)
);
sky130_fd_sc_hd__o221ai_2 _403_ (
.A1(_240_),
.A2(_229_),
.B1(_223_),
.B2(_051_),
.C1(_075_),
.Y(_076_)
);
sky130_fd_sc_hd__a21oi_2 _404_ (
.A1(_072_),
.A2(_073_),
.B1(_071_),
.Y(_077_)
);
sky130_fd_sc_hd__a21oi_2 _405_ (
.A1(_074_),
.A2(_076_),
.B1(_077_),
.Y(_078_)
);
sky130_fd_sc_hd__a21oi_2 _406_ (
.A1(_067_),
.A2(_069_),
.B1(_078_),
.Y(_079_)
);
sky130_fd_sc_hd__nand2_2 _407_ (
.A(_065_),
.B(_079_),
.Y(_080_)
);
sky130_fd_sc_hd__nand2_2 _408_ (
.A(_047_),
.B(_049_),
.Y(_081_)
);
sky130_fd_sc_hd__nand2_2 _409_ (
.A(_060_),
.B(_063_),
.Y(_082_)
);
sky130_fd_sc_hd__nand3_2 _410_ (
.A(_039_),
.B(_033_),
.C(_035_),
.Y(_083_)
);
sky130_fd_sc_hd__nand3_2 _411_ (
.A(_081_),
.B(_082_),
.C(_083_),
.Y(_084_)
);
sky130_fd_sc_hd__nand2_2 _412_ (
.A(_080_),
.B(_084_),
.Y(_085_)
);
sky130_fd_sc_hd__o2bb2ai_2 _413_ (
.A1_N(_039_),
.A2_N(_036_),
.B1(_041_),
.B2(_042_),
.Y(_086_)
);
sky130_fd_sc_hd__nand2_2 _414_ (
.A(_021_),
.B(_086_),
.Y(_087_)
);
sky130_fd_sc_hd__nand2_2 _415_ (
.A(_072_),
.B(_073_),
.Y(_088_)
);
sky130_fd_sc_hd__nand2_2 _416_ (
.A(_022_),
.B(b[3]),
.Y(_089_)
);
sky130_fd_sc_hd__nor2_2 _417_ (
.A(_070_),
.B(_089_),
.Y(_090_)
);
sky130_fd_sc_hd__nand2_2 _418_ (
.A(_088_),
.B(_090_),
.Y(_091_)
);
sky130_fd_sc_hd__a21o_2 _419_ (
.A1(_091_),
.A2(_074_),
.B1(_076_),
.X(_092_)
);
sky130_fd_sc_hd__nand2_2 _420_ (
.A(b[1]),
.B(_260_),
.Y(_093_)
);
sky130_fd_sc_hd__nand2_2 _421_ (
.A(b[2]),
.B(a[4]),
.Y(_094_)
);
sky130_fd_sc_hd__nand2_2 _422_ (
.A(b[0]),
.B(_250_),
.Y(_095_)
);
sky130_fd_sc_hd__nand3_2 _423_ (
.A(_093_),
.B(_094_),
.C(_095_),
.Y(_096_)
);
sky130_fd_sc_hd__nand2_2 _424_ (
.A(a[3]),
.B(b[3]),
.Y(_097_)
);
sky130_fd_sc_hd__inv_2 _425_ (
.A(_097_),
.Y(_098_)
);
sky130_fd_sc_hd__nand2_2 _426_ (
.A(_096_),
.B(_098_),
.Y(_099_)
);
sky130_fd_sc_hd__a22oi_2 _427_ (
.A1(b[0]),
.A2(_250_),
.B1(_218_),
.B2(_260_),
.Y(_100_)
);
sky130_fd_sc_hd__nand3_2 _428_ (
.A(_100_),
.B(_097_),
.C(_094_),
.Y(_101_)
);
sky130_fd_sc_hd__a22oi_2 _429_ (
.A1(_022_),
.A2(_228_),
.B1(a[0]),
.B2(_009_),
.Y(_102_)
);
sky130_fd_sc_hd__nand2_2 _430_ (
.A(_232_),
.B(_027_),
.Y(_103_)
);
sky130_fd_sc_hd__nand2_2 _431_ (
.A(_102_),
.B(_103_),
.Y(_104_)
);
sky130_fd_sc_hd__nand3_2 _432_ (
.A(_099_),
.B(_101_),
.C(_104_),
.Y(_105_)
);
sky130_fd_sc_hd__nand2_2 _433_ (
.A(_105_),
.B(_099_),
.Y(_106_)
);
sky130_fd_sc_hd__nand3_2 _434_ (
.A(_091_),
.B(_074_),
.C(_076_),
.Y(_107_)
);
sky130_fd_sc_hd__a21oi_2 _435_ (
.A1(_099_),
.A2(_101_),
.B1(_104_),
.Y(_108_)
);
sky130_fd_sc_hd__and3_2 _436_ (
.A(_099_),
.B(_101_),
.C(_104_),
.X(_109_)
);
sky130_fd_sc_hd__nor2_2 _437_ (
.A(_108_),
.B(_109_),
.Y(_110_)
);
sky130_fd_sc_hd__a22oi_2 _438_ (
.A1(_217_),
.A2(_211_),
.B1(_220_),
.B2(_213_),
.Y(_111_)
);
sky130_fd_sc_hd__nand2_2 _439_ (
.A(_215_),
.B(_029_),
.Y(_112_)
);
sky130_fd_sc_hd__nand3_2 _440_ (
.A(_112_),
.B(_218_),
.C(_008_),
.Y(_113_)
);
sky130_fd_sc_hd__nand2_2 _441_ (
.A(_218_),
.B(_236_),
.Y(_114_)
);
sky130_fd_sc_hd__nand3_2 _442_ (
.A(_114_),
.B(_215_),
.C(_029_),
.Y(_115_)
);
sky130_fd_sc_hd__nand2_2 _443_ (
.A(_113_),
.B(_115_),
.Y(_116_)
);
sky130_fd_sc_hd__nand2_2 _444_ (
.A(_116_),
.B(_071_),
.Y(_117_)
);
sky130_fd_sc_hd__nand2_2 _445_ (
.A(_232_),
.B(_228_),
.Y(_118_)
);
sky130_fd_sc_hd__nand2_2 _446_ (
.A(a[0]),
.B(_251_),
.Y(_119_)
);
sky130_fd_sc_hd__xnor2_2 _447_ (
.A(_118_),
.B(_119_),
.Y(_120_)
);
sky130_fd_sc_hd__nand2_2 _448_ (
.A(_070_),
.B(_089_),
.Y(_121_)
);
sky130_fd_sc_hd__a21oi_2 _449_ (
.A1(_071_),
.A2(_121_),
.B1(_116_),
.Y(_122_)
);
sky130_fd_sc_hd__o22ai_2 _450_ (
.A1(_111_),
.A2(_117_),
.B1(_120_),
.B2(_122_),
.Y(_123_)
);
sky130_fd_sc_hd__a32oi_2 _451_ (
.A1(_092_),
.A2(_106_),
.A3(_107_),
.B1(_110_),
.B2(_123_),
.Y(_124_)
);
sky130_fd_sc_hd__a21o_2 _452_ (
.A1(_099_),
.A2(_101_),
.B1(_104_),
.X(_125_)
);
sky130_fd_sc_hd__nand2_2 _453_ (
.A(_125_),
.B(_105_),
.Y(_126_)
);
sky130_fd_sc_hd__a21oi_2 _454_ (
.A1(_113_),
.A2(_115_),
.B1(_090_),
.Y(_127_)
);
sky130_fd_sc_hd__o211ai_2 _455_ (
.A1(_111_),
.A2(_090_),
.B1(_113_),
.C1(_115_),
.Y(_128_)
);
sky130_fd_sc_hd__nor2_2 _456_ (
.A(_118_),
.B(_119_),
.Y(_129_)
);
sky130_fd_sc_hd__and2_2 _457_ (
.A(_118_),
.B(_119_),
.X(_130_)
);
sky130_fd_sc_hd__nor2_2 _458_ (
.A(_129_),
.B(_130_),
.Y(_131_)
);
sky130_fd_sc_hd__a22oi_2 _459_ (
.A1(_127_),
.A2(_121_),
.B1(_128_),
.B2(_131_),
.Y(_132_)
);
sky130_fd_sc_hd__nand2_2 _460_ (
.A(_126_),
.B(_132_),
.Y(_133_)
);
sky130_fd_sc_hd__nand3_2 _461_ (
.A(_116_),
.B(_121_),
.C(_071_),
.Y(_134_)
);
sky130_fd_sc_hd__a21oi_2 _462_ (
.A1(_242_),
.A2(_230_),
.B1(_239_),
.Y(_135_)
);
sky130_fd_sc_hd__a31oi_2 _463_ (
.A1(_134_),
.A2(_128_),
.A3(_131_),
.B1(_135_),
.Y(_136_)
);
sky130_fd_sc_hd__a21o_2 _464_ (
.A1(_128_),
.A2(_134_),
.B1(_131_),
.X(_137_)
);
sky130_fd_sc_hd__nand3_2 _465_ (
.A(_133_),
.B(_136_),
.C(_137_),
.Y(_138_)
);
sky130_fd_sc_hd__a21oi_2 _466_ (
.A1(_091_),
.A2(_074_),
.B1(_076_),
.Y(_139_)
);
sky130_fd_sc_hd__nand2_2 _467_ (
.A(_074_),
.B(_076_),
.Y(_140_)
);
sky130_fd_sc_hd__nor2_2 _468_ (
.A(_077_),
.B(_140_),
.Y(_141_)
);
sky130_fd_sc_hd__inv_2 _469_ (
.A(_106_),
.Y(_142_)
);
sky130_fd_sc_hd__o21ai_2 _470_ (
.A1(_139_),
.A2(_141_),
.B1(_142_),
.Y(_143_)
);
sky130_fd_sc_hd__nand3_2 _471_ (
.A(_078_),
.B(_067_),
.C(_069_),
.Y(_144_)
);
sky130_fd_sc_hd__nand3_2 _472_ (
.A(_143_),
.B(_065_),
.C(_144_),
.Y(_145_)
);
sky130_fd_sc_hd__a21oi_2 _473_ (
.A1(_124_),
.A2(_138_),
.B1(_145_),
.Y(_146_)
);
sky130_fd_sc_hd__nor3_2 _474_ (
.A(_085_),
.B(_087_),
.C(_146_),
.Y(_147_)
);
sky130_fd_sc_hd__nand3_2 _475_ (
.A(_259_),
.B(_004_),
.C(_265_),
.Y(_148_)
);
sky130_fd_sc_hd__nand2_2 _476_ (
.A(_148_),
.B(_259_),
.Y(_149_)
);
sky130_fd_sc_hd__or2b_2 _477_ (
.A(_149_),
.B_N(_068_),
.X(_150_)
);
sky130_fd_sc_hd__a21oi_2 _478_ (
.A1(_148_),
.A2(_259_),
.B1(_068_),
.Y(_151_)
);
sky130_fd_sc_hd__inv_2 _479_ (
.A(_151_),
.Y(_152_)
);
sky130_fd_sc_hd__nand2_2 _480_ (
.A(_150_),
.B(_152_),
.Y(_153_)
);
sky130_fd_sc_hd__o21bai_2 _481_ (
.A1(_046_),
.A2(_147_),
.B1_N(_153_),
.Y(_154_)
);
sky130_fd_sc_hd__inv_2 _482_ (
.A(_046_),
.Y(_155_)
);
sky130_fd_sc_hd__o311ai_2 _483_ (
.A1(_085_),
.A2(_087_),
.A3(_146_),
.B1(_153_),
.C1(_155_),
.Y(_156_)
);
sky130_fd_sc_hd__nand2_2 _484_ (
.A(_154_),
.B(_156_),
.Y(c[12])
);
sky130_fd_sc_hd__nand2_2 _485_ (
.A(_040_),
.B(_043_),
.Y(_157_)
);
sky130_fd_sc_hd__inv_2 _486_ (
.A(_157_),
.Y(_158_)
);
sky130_fd_sc_hd__nand2_2 _487_ (
.A(_084_),
.B(_086_),
.Y(_159_)
);
sky130_fd_sc_hd__inv_2 _488_ (
.A(_159_),
.Y(_160_)
);
sky130_fd_sc_hd__nand2_2 _489_ (
.A(_081_),
.B(_083_),
.Y(_161_)
);
sky130_fd_sc_hd__nand3_2 _490_ (
.A(_161_),
.B(_086_),
.C(_064_),
.Y(_162_)
);
sky130_fd_sc_hd__o2111ai_2 _491_ (
.A1(_079_),
.A2(_143_),
.B1(_144_),
.C1(_157_),
.D1(_162_),
.Y(_163_)
);
sky130_fd_sc_hd__nand3_2 _492_ (
.A(_092_),
.B(_106_),
.C(_107_),
.Y(_164_)
);
sky130_fd_sc_hd__nand2_2 _493_ (
.A(_140_),
.B(_091_),
.Y(_165_)
);
sky130_fd_sc_hd__nand2_2 _494_ (
.A(_066_),
.B(_068_),
.Y(_166_)
);
sky130_fd_sc_hd__nand3_2 _495_ (
.A(_063_),
.B(_055_),
.C(_059_),
.Y(_167_)
);
sky130_fd_sc_hd__nand3_2 _496_ (
.A(_165_),
.B(_166_),
.C(_167_),
.Y(_168_)
);
sky130_fd_sc_hd__nand2_2 _497_ (
.A(_164_),
.B(_168_),
.Y(_169_)
);
sky130_fd_sc_hd__nand2_2 _498_ (
.A(_169_),
.B(_144_),
.Y(_170_)
);
sky130_fd_sc_hd__o211a_2 _499_ (
.A1(_126_),
.A2(_132_),
.B1(_138_),
.C1(_170_),
.X(_171_)
);
sky130_fd_sc_hd__o22ai_2 _500_ (
.A1(_158_),
.A2(_160_),
.B1(_163_),
.B2(_171_),
.Y(_172_)
);
sky130_fd_sc_hd__nand2_2 _501_ (
.A(_021_),
.B(_044_),
.Y(_173_)
);
sky130_fd_sc_hd__nand2_2 _502_ (
.A(_172_),
.B(_173_),
.Y(_174_)
);
sky130_fd_sc_hd__nand2_2 _503_ (
.A(_159_),
.B(_157_),
.Y(_175_)
);
sky130_fd_sc_hd__and3_2 _504_ (
.A(_175_),
.B(_021_),
.C(_044_),
.X(_176_)
);
sky130_fd_sc_hd__o21ai_2 _505_ (
.A1(_163_),
.A2(_171_),
.B1(_176_),
.Y(_177_)
);
sky130_fd_sc_hd__nand2_2 _506_ (
.A(_174_),
.B(_177_),
.Y(c[11])
);
sky130_fd_sc_hd__nand2_2 _507_ (
.A(_157_),
.B(_086_),
.Y(_178_)
);
sky130_fd_sc_hd__or3_2 _508_ (
.A(_085_),
.B(_178_),
.C(_146_),
.X(_179_)
);
sky130_fd_sc_hd__o21ai_2 _509_ (
.A1(_085_),
.A2(_146_),
.B1(_178_),
.Y(_180_)
);
sky130_fd_sc_hd__nand2_2 _510_ (
.A(_179_),
.B(_180_),
.Y(c[10])
);
sky130_fd_sc_hd__a21oi_2 _511_ (
.A1(_128_),
.A2(_134_),
.B1(_131_),
.Y(_181_)
);
sky130_fd_sc_hd__and3_2 _512_ (
.A(_119_),
.B(_212_),
.C(_263_),
.X(_182_)
);
sky130_fd_sc_hd__and3_2 _513_ (
.A(_118_),
.B(_214_),
.C(_027_),
.X(_183_)
);
sky130_fd_sc_hd__o211a_2 _514_ (
.A1(_182_),
.A2(_183_),
.B1(_134_),
.C1(_128_),
.X(_184_)
);
sky130_fd_sc_hd__nand3_2 _515_ (
.A(_123_),
.B(_125_),
.C(_105_),
.Y(_185_)
);
sky130_fd_sc_hd__o211ai_2 _516_ (
.A1(_181_),
.A2(_184_),
.B1(_135_),
.C1(_185_),
.Y(_186_)
);
sky130_fd_sc_hd__o211ai_2 _517_ (
.A1(_139_),
.A2(_141_),
.B1(_142_),
.C1(_168_),
.Y(_187_)
);
sky130_fd_sc_hd__a32oi_2 _518_ (
.A1(_078_),
.A2(_067_),
.A3(_069_),
.B1(_126_),
.B2(_132_),
.Y(_188_)
);
sky130_fd_sc_hd__nand3_2 _519_ (
.A(_186_),
.B(_187_),
.C(_188_),
.Y(_189_)
);
sky130_fd_sc_hd__and2_2 _520_ (
.A(_065_),
.B(_084_),
.X(_190_)
);
sky130_fd_sc_hd__a211o_2 _521_ (
.A1(_170_),
.A2(_189_),
.B1(_190_),
.C1(_171_),
.X(_191_)
);
sky130_fd_sc_hd__o21a_2 _522_ (
.A1(_126_),
.A2(_132_),
.B1(_138_),
.X(_192_)
);
sky130_fd_sc_hd__o211ai_2 _523_ (
.A1(_189_),
.A2(_192_),
.B1(_170_),
.C1(_190_),
.Y(_193_)
);
sky130_fd_sc_hd__nand2_2 _524_ (
.A(_191_),
.B(_193_),
.Y(c[9])
);
sky130_fd_sc_hd__inv_2 _525_ (
.A(_143_),
.Y(_194_)
);
sky130_fd_sc_hd__nand2_2 _526_ (
.A(_168_),
.B(_144_),
.Y(_195_)
);
sky130_fd_sc_hd__and2_2 _527_ (
.A(_124_),
.B(_138_),
.X(_196_)
);
sky130_fd_sc_hd__nor3_2 _528_ (
.A(_194_),
.B(_195_),
.C(_196_),
.Y(_197_)
);
sky130_fd_sc_hd__o21a_2 _529_ (
.A1(_194_),
.A2(_196_),
.B1(_195_),
.X(_198_)
);
sky130_fd_sc_hd__nor2_2 _530_ (
.A(_197_),
.B(_198_),
.Y(c[8])
);
sky130_fd_sc_hd__nand2_2 _531_ (
.A(_143_),
.B(_164_),
.Y(_199_)
);
sky130_fd_sc_hd__xor2_2 _532_ (
.A(_199_),
.B(_192_),
.X(c[7])
);
sky130_fd_sc_hd__nand2_2 _533_ (
.A(_136_),
.B(_137_),
.Y(_200_)
);
sky130_fd_sc_hd__nand2_2 _534_ (
.A(_133_),
.B(_185_),
.Y(_201_)
);
sky130_fd_sc_hd__xor2_2 _535_ (
.A(_200_),
.B(_201_),
.X(c[6])
);
sky130_fd_sc_hd__o21ai_2 _536_ (
.A1(_181_),
.A2(_184_),
.B1(_135_),
.Y(_202_)
);
sky130_fd_sc_hd__and2_2 _537_ (
.A(_200_),
.B(_202_),
.X(c[5])
);
sky130_fd_sc_hd__nand3_2 _538_ (
.A(_189_),
.B(_175_),
.C(_170_),
.Y(_203_)
);
sky130_fd_sc_hd__o2111a_2 _539_ (
.A1(_151_),
.A2(_044_),
.B1(_150_),
.C1(_157_),
.D1(_162_),
.X(_204_)
);
sky130_fd_sc_hd__nand2_2 _540_ (
.A(_203_),
.B(_204_),
.Y(_205_)
);
sky130_fd_sc_hd__a31o_2 _541_ (
.A1(_150_),
.A2(_020_),
.A3(_005_),
.B1(_151_),
.X(_206_)
);
sky130_fd_sc_hd__inv_2 _542_ (
.A(_206_),
.Y(_207_)
);
sky130_fd_sc_hd__and2_2 _543_ (
.A(_205_),
.B(_207_),
.X(_000_)
);
sky130_fd_sc_hd__o21a_2 _544_ (
.A1(_023_),
.A2(_010_),
.B1(_003_),
.X(_001_)
);
sky130_fd_sc_hd__nand2_2 _545_ (
.A(_034_),
.B(_246_),
.Y(_208_)
);
sky130_fd_sc_hd__o31a_2 _546_ (
.A1(_006_),
.A2(_052_),
.A3(_049_),
.B1(_208_),
.X(_002_)
);
sky130_fd_sc_hd__a211oi_2 _547_ (
.A1(_203_),
.A2(_204_),
.B1(_035_),
.C1(_206_),
.Y(_209_)
);
sky130_fd_sc_hd__a21oi_2 _548_ (
.A1(_205_),
.A2(_207_),
.B1(_049_),
.Y(_210_)
);
sky130_fd_sc_hd__nor2_2 _549_ (
.A(_209_),
.B(_210_),
.Y(c[13])
);
sky130_fd_sc_hd__mux2_1 _550_ (
.A0(_002_),
.A1(_003_),
.S(_000_),
.X(c[14])
);
sky130_fd_sc_hd__mux2_1 _551_ (
.A0(_001_),
.A1(_004_),
.S(_000_),
.X(c[15])
);
endmodule