blob: 8c2ddfe8fb25c4b729302dbedf455a88cb93bebe [file] [log] [blame]
29. Printing statistics.
=== axmul ===
Number of wires: 239
Number of wire bits: 268
Number of public wires: 3
Number of public wire bits: 32
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 252
sky130_fd_sc_hd__a211o_2 1
sky130_fd_sc_hd__a211oi_2 1
sky130_fd_sc_hd__a21boi_2 5
sky130_fd_sc_hd__a21o_2 8
sky130_fd_sc_hd__a21oi_2 17
sky130_fd_sc_hd__a221o_2 2
sky130_fd_sc_hd__a221oi_2 1
sky130_fd_sc_hd__a22o_2 5
sky130_fd_sc_hd__a22oi_2 6
sky130_fd_sc_hd__a41oi_2 1
sky130_fd_sc_hd__and2_2 10
sky130_fd_sc_hd__buf_1 29
sky130_fd_sc_hd__inv_2 13
sky130_fd_sc_hd__mux2_1 2
sky130_fd_sc_hd__mux2_2 1
sky130_fd_sc_hd__nand2_2 67
sky130_fd_sc_hd__nand3_2 31
sky130_fd_sc_hd__nand3b_2 3
sky130_fd_sc_hd__nor2_2 11
sky130_fd_sc_hd__nor3_2 2
sky130_fd_sc_hd__nor3b_2 1
sky130_fd_sc_hd__o211a_2 1
sky130_fd_sc_hd__o211ai_2 3
sky130_fd_sc_hd__o21a_2 2
sky130_fd_sc_hd__o21ai_2 9
sky130_fd_sc_hd__o21ba_2 1
sky130_fd_sc_hd__o21bai_2 4
sky130_fd_sc_hd__o221ai_2 1
sky130_fd_sc_hd__o2bb2ai_2 2
sky130_fd_sc_hd__xnor2_2 3
sky130_fd_sc_hd__xor2_2 9
Chip area for module '\axmul': 2046.963200