| OpenROAD 1 fb8ae93b6c7a5eb0e6fac83360a8a48d76c41885 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /openLANE_flow/designs/axmul/runs/run1/tmp/merged_unpadded.lef |
| [WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later. |
| The LEF parser will ignore this statement. |
| To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /openLANE_flow/designs/axmul/runs/run1/tmp/merged_unpadded.lef at line 68110. |
| |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /openLANE_flow/designs/axmul/runs/run1/tmp/merged_unpadded.lef |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 51977, instance input1 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 51978, instance ANTENNA_input1_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 51982, net input1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 51983, net ANTENNA_input1_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 51985, net ANTENNA_input1_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 51985, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 51988, net ANTENNA_input1_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 51989, net input1 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 51994, instance input2 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 51995, instance ANTENNA_input2_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 51999, net input2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52000, net ANTENNA_input2_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52002, net ANTENNA_input2_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52002, net net2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52005, net ANTENNA_input2_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52006, net input2 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52011, instance input3 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52012, instance ANTENNA_input3_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52016, net input3 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52017, net ANTENNA_input3_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52019, net input3 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52019, net net12 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52020, net net12 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52023, net ANTENNA_input3_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52024, net input3 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52029, instance input4 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52030, instance ANTENNA_input4_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52034, net input4 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52035, net ANTENNA_input4_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52037, net ANTENNA_input4_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52037, net net24 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52038, net input4 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52038, net net21 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52039, net input4 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52039, net net24 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52042, net ANTENNA_input4_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52043, net input4 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52048, instance ANTENNA_input5_A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52049, instance input5 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52053, net ANTENNA_input5_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52054, net input5 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52056, net ANTENNA_input5_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52056, net net5 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52059, net input5 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52060, net ANTENNA_input5_A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52065, instance input6 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52066, instance ANTENNA_input6_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52070, net input6 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52071, net ANTENNA_input6_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52075, net ANTENNA_input6_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52076, net input6 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52081, instance input7 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52082, instance ANTENNA_input7_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52086, net input7 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52087, net ANTENNA_input7_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52089, net ANTENNA_input7_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52089, net net32 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52090, net input7 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52090, net net19 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52091, net input7 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52091, net net32 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52094, net ANTENNA_input7_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52095, net input7 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52100, instance input8 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52101, instance ANTENNA_input8_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52105, net input8 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52106, net ANTENNA_input8_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52110, net ANTENNA_input8_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52111, net input8 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52116, instance input9 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52117, instance ANTENNA_input9_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52121, net input9 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52122, net ANTENNA_input9_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52124, net ANTENNA_input9_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52124, net net32 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52125, net input9 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52125, net net19 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52126, net input9 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52126, net net32 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52127, net input9 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52127, net net9 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52128, net net9 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52131, net ANTENNA_input9_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52132, net input9 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52137, instance input10 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52138, instance ANTENNA_input10_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52142, net input10 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52143, net ANTENNA_input10_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52147, net ANTENNA_input10_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52148, net input10 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52153, instance input11 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52154, instance ANTENNA_input11_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52158, net input11 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52159, net ANTENNA_input11_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52161, net ANTENNA_input11_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52161, net net12 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52162, net input11 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52162, net net12 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52165, net ANTENNA_input11_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52166, net input11 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52171, instance ANTENNA_input12_A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52172, instance input12 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52176, net ANTENNA_input12_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52177, net input12 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52179, net input12 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52179, net net12 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52182, net input12 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52183, net ANTENNA_input12_A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52188, instance input13 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52189, instance ANTENNA_input13_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52193, net input13 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52194, net ANTENNA_input13_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52196, net ANTENNA_input13_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52196, net net13 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52197, net input13 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52197, net net13 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52200, net ANTENNA_input13_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52201, net input13 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52206, instance input14 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52207, instance ANTENNA_input14_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52211, net input14 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52212, net ANTENNA_input14_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52214, net ANTENNA_input14_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52214, net net14 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52217, net ANTENNA_input14_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52218, net input14 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52223, instance input15 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52224, instance ANTENNA_input15_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52228, net input15 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52229, net ANTENNA_input15_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52231, net ANTENNA_input15_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52231, net net15 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52234, net ANTENNA_input15_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52235, net input15 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52240, instance input16 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52241, instance ANTENNA_input16_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52245, net input16 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52246, net ANTENNA_input16_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52248, net ANTENNA_input16_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52248, net net16 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52249, net ANTENNA_input16_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52249, net net32 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52250, net input16 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52250, net net16 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52251, net input16 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52251, net net32 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52254, net ANTENNA_input16_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52255, net input16 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52260, instance output17 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52264, net output17 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52266, net output17 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52271, instance output18 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52275, net output18 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52277, net output18 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52282, instance output19 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52286, net output19 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52287, net output19 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52288, net net32 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52290, net output19 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52295, instance output20 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52299, net output20 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52301, net output20 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52306, instance output21 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52310, net output21 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52312, net output21 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52317, instance output22 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52321, net output22 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52323, net output22 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52328, instance output23 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52332, net output23 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52333, net output23 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52334, net net32 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52336, net output23 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52341, instance output24 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52345, net output24 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52346, net net21 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52348, net output24 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52353, instance output25 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52357, net output25 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52358, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52360, net output25 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52365, instance output26 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52369, net output26 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52370, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52372, net output26 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52377, instance output27 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52381, net output27 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52382, net output27 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52383, net net27 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52385, net output27 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52390, instance output28 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52394, net output28 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52395, net output28 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52397, net output28 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52402, instance output29 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52406, net output29 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52407, net net21 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52408, net net24 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52410, net output29 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52415, instance output30 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52419, net output30 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52421, net output30 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52426, instance output31 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52430, net output31 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52432, net output31 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52437, instance output32 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52441, net output32 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52443, net output32 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52565, net ANTENNA__279__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52591, net net23 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 52762, net ANTENNA__182__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 53139, net ANTENNA__182__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 53388, net ANTENNA__282__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 53519, net ANTENNA__201__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 53524, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 53791, net ANTENNA__282__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 53798, net net29 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 54032, net ANTENNA__282__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 54036, net net21 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 54037, net net29 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 54040, net net29 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 54167, net ANTENNA__182__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 54205, net net22 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 54432, net net26 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 54515, net net22 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 54574, net ANTENNA__182__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 54679, net net29 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 55249, net net22 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 55281, net net22 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 55282, net net30 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 55434, net net29 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 55443, net net29 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 55445, net net29 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 55446, net net29 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 55499, net net21 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 55637, net ANTENNA__182__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 55691, net net29 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 55867, net net18 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 55895, net net22 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 55949, net net20 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 55950, net net21 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56097, net ANTENNA__182__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56100, net net22 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56228, net net22 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56249, net net22 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56250, net net22 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56400, instance ANTENNA__201__A1 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56403, instance ANTENNA__300__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56404, instance ANTENNA__272__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56405, instance ANTENNA__211__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56411, net ANTENNA__201__A1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56414, net ANTENNA__300__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56415, net ANTENNA__272__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56416, net ANTENNA__211__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56439, net ANTENNA__201__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56440, net ANTENNA__309__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56444, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56446, net ANTENNA__201__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56459, net ANTENNA__316__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56462, net ANTENNA__316__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56479, net ANTENNA__211__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56481, net ANTENNA__272__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56482, net ANTENNA__300__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56485, net ANTENNA__201__A1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56508, net ANTENNA__201__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56517, net net25 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56518, net net26 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56519, net net28 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56541, net net26 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56547, net net26 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56591, net ANTENNA__273__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56639, net net22 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56776, net net1 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56869, instance ANTENNA__279__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56872, instance ANTENNA__187__C not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56876, net ANTENNA__279__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56879, net ANTENNA__187__C not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56886, net ANTENNA__279__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56891, net ANTENNA__278__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56902, net ANTENNA__279__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56908, net ANTENNA__187__C not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 56913, net ANTENNA__279__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57068, instance ANTENNA__282__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57069, instance ANTENNA__209__A2 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57071, instance ANTENNA__187__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57077, net ANTENNA__282__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57078, net ANTENNA__209__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57080, net ANTENNA__187__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57090, net ANTENNA__282__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57091, net ANTENNA__282__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57092, net ANTENNA__281__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57102, net ANTENNA__282__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57104, net ANTENNA__282__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57109, net ANTENNA__282__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57123, net ANTENNA__187__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57127, net ANTENNA__209__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57130, net ANTENNA__282__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57221, instance ANTENNA__203__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57222, instance ANTENNA__284__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57226, instance ANTENNA__181__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57228, instance ANTENNA__301__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57232, net ANTENNA__203__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57233, net ANTENNA__284__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57237, net ANTENNA__181__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57239, net ANTENNA__301__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57252, net ANTENNA__181__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57253, net ANTENNA__181__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57254, net ANTENNA__181__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57255, net ANTENNA__301__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57312, net ANTENNA__301__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57315, net ANTENNA__181__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57319, net ANTENNA__284__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57320, net ANTENNA__203__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57395, instance ANTENNA__286__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57396, instance ANTENNA__187__D not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57402, net ANTENNA__286__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57403, net ANTENNA__187__D not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57411, net ANTENNA__285__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57432, net ANTENNA__187__D not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57434, net ANTENNA__286__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57464, net net22 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57469, net net22 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57475, net ANTENNA__279__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57476, net ANTENNA__282__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57548, net ANTENNA__204__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57549, net ANTENNA__300__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57554, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57607, net net25 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57608, net net25 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57609, net net28 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57671, net net25 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57695, net net10 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57696, net ANTENNA__295__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57699, net net10 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57700, net net10 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57704, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57705, net net10 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57706, net net10 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57725, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57726, net net32 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57736, instance ANTENNA__317__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57737, instance ANTENNA__204__A2 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57739, instance ANTENNA__215__B not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57740, instance ANTENNA__298__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57747, net ANTENNA__317__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57748, net ANTENNA__204__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57750, net ANTENNA__215__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57751, net ANTENNA__298__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57766, net ANTENNA__204__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57767, net ANTENNA__204__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57768, net ANTENNA__204__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57769, net ANTENNA__204__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57770, net ANTENNA__204__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57770, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57771, net ANTENNA__204__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57771, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57776, net net28 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57790, net ANTENNA__300__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57794, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57799, net ANTENNA__204__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57815, net ANTENNA__298__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57816, net ANTENNA__215__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57820, net ANTENNA__204__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57821, net ANTENNA__317__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57840, net net25 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57860, instance ANTENNA__212__B not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57861, instance ANTENNA__300__B not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57864, instance ANTENNA__201__B2 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57866, instance ANTENNA__316__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57871, net ANTENNA__212__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57872, net ANTENNA__300__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57875, net ANTENNA__201__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57877, net ANTENNA__316__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57890, net ANTENNA__201__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57891, net ANTENNA__300__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57891, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57892, net ANTENNA__300__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57892, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57893, net ANTENNA__316__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57898, net net27 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57908, net ANTENNA__204__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57913, net ANTENNA__201__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57916, net ANTENNA__201__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57922, net ANTENNA__201__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57926, net ANTENNA__316__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57928, net ANTENNA__316__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57931, net ANTENNA__300__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57933, net ANTENNA__300__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57944, net ANTENNA__316__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57949, net ANTENNA__201__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57952, net ANTENNA__300__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57953, net ANTENNA__212__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 57996, net ANTENNA__301__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58083, net net25 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58100, instance ANTENNA__181__B not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58103, instance ANTENNA__306__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58107, net ANTENNA__181__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58110, net ANTENNA__306__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58120, net ANTENNA__306__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58120, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58121, net ANTENNA__306__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58121, net net10 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58125, net net10 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58126, net net10 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58129, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58132, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58133, net ANTENNA__181__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58142, net ANTENNA__306__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58146, net ANTENNA__181__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58170, net ANTENNA__314__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58195, net ANTENNA__181__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58314, instance ANTENNA__314__B not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58316, instance ANTENNA__309__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58321, net ANTENNA__314__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58323, net ANTENNA__309__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58331, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58332, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58333, net ANTENNA__314__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58335, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58336, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58337, net ANTENNA__309__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58346, net ANTENNA__309__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58350, net ANTENNA__314__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58382, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58397, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58398, net ANTENNA__201__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58475, net ANTENNA__181__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58476, net ANTENNA__282__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58547, instance ANTENNA__321__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58548, instance ANTENNA__314__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58554, net ANTENNA__321__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58555, net ANTENNA__314__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58567, net ANTENNA__314__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58568, net ANTENNA__314__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58569, net ANTENNA__314__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58570, net ANTENNA__314__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58591, net ANTENNA__314__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58593, net ANTENNA__314__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58621, net ANTENNA__314__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58622, net ANTENNA__321__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58626, instance ANTENNA__314__C not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58628, instance ANTENNA__318__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58629, instance ANTENNA__201__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58635, net ANTENNA__314__C not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58637, net ANTENNA__318__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58638, net ANTENNA__201__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58649, net ANTENNA__201__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58649, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58656, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58657, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58658, net ANTENNA__314__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58659, net ANTENNA__314__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58660, net ANTENNA__314__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58670, net ANTENNA__201__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58687, net ANTENNA__201__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58688, net ANTENNA__318__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58690, net ANTENNA__314__C not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58857, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58867, net ANTENNA__204__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58936, net ANTENNA__314__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58987, instance ANTENNA__216__B not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58990, instance ANTENNA__203__B not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58991, instance ANTENNA__182__B2 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58994, instance ANTENNA__320__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 58998, net ANTENNA__216__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59001, net ANTENNA__203__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59002, net ANTENNA__182__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59005, net ANTENNA__320__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59018, net ANTENNA__203__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59018, net net26 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59028, net ANTENNA__204__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59037, net ANTENNA__182__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59038, net ANTENNA__182__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59039, net ANTENNA__182__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59043, net ANTENNA__182__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59052, net ANTENNA__182__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59054, net ANTENNA__182__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59085, net ANTENNA__320__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59093, net ANTENNA__182__B2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59094, net ANTENNA__203__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59098, net ANTENNA__216__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59194, net net26 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59317, instance ANTENNA__211__B not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59319, instance ANTENNA__200__B not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59321, instance ANTENNA__324__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59326, net ANTENNA__211__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59328, net ANTENNA__200__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59330, net ANTENNA__324__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59340, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59341, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59342, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59343, net net1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59344, net ANTENNA__204__A2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59345, net ANTENNA__316__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59364, net ANTENNA__324__A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59369, net ANTENNA__200__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59371, net ANTENNA__211__B not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59710, net net23 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59819, net net21 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59899, net net1 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59901, instance ANTENNA__287__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59903, instance ANTENNA__294__A2 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59906, instance ANTENNA__295__A2 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59907, instance input1 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59983, net net10 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59986, instance ANTENNA__276__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59987, instance ANTENNA__294__A1 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59990, instance ANTENNA__295__A1 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 59991, instance input10 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60044, net net11 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60046, instance ANTENNA__281__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60048, instance input11 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60069, net net12 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60071, instance ANTENNA__285__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60073, instance input12 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60097, net net13 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60100, instance input13 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60112, net net14 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60114, instance ANTENNA__319__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60116, instance input14 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60133, net net15 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60135, instance ANTENNA__312__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60137, instance input15 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60159, net net16 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60161, instance ANTENNA__305__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60163, instance input16 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60199, net net17 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60201, instance output17 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60202, instance ANTENNA_output17_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60219, net net18 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60221, instance output18 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60222, instance ANTENNA_output18_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60242, net net19 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60244, instance output19 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60245, instance ANTENNA_output19_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60286, net net2 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60289, instance ANTENNA__283__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60290, instance input2 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60307, net net20 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60309, instance ANTENNA_output20_A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60310, instance output20 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60332, net net21 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60334, instance ANTENNA_output21_A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60335, instance output21 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60376, net net22 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60378, instance output22 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60379, instance ANTENNA_output22_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60414, net net23 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60416, instance ANTENNA_output23_A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60417, instance output23 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60451, net net24 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60453, instance ANTENNA_output24_A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60454, instance output24 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60489, net net25 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60491, instance output25 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60492, instance ANTENNA_output25_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60518, net net26 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60520, instance output26 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60521, instance ANTENNA_output26_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60547, net net27 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60549, instance output27 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60550, instance ANTENNA_output27_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60570, net net28 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60572, instance output28 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60573, instance ANTENNA_output28_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60600, net net29 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60602, instance ANTENNA_output29_A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60603, instance output29 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60632, net net3 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60634, instance ANTENNA__278__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60636, instance input3 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60653, net net30 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60655, instance output30 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60656, instance ANTENNA_output30_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60677, net net31 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60679, instance output31 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60680, instance ANTENNA_output31_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60701, net net32 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60703, instance output32 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60704, instance ANTENNA_output32_A not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60758, net net4 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60760, instance ANTENNA__273__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60762, instance input4 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60782, net net5 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60785, instance input5 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60797, net net6 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60799, instance ANTENNA__323__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60801, instance input6 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60823, net net7 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60826, instance ANTENNA__313__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60827, instance input7 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60850, net net8 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60853, instance ANTENNA__308__A not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60854, instance input8 not found. |
| [WARNING STA-0174] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60872, net net9 not found. |
| [WARNING STA-0172] /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.spef line 60875, instance input9 not found. |
| create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD) |
| set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] |
| set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] |
| puts "\[INFO\]: Setting output delay to: $output_delay_value" |
| [INFO]: Setting output delay to: 2.0 |
| puts "\[INFO\]: Setting input delay to: $input_delay_value" |
| [INFO]: Setting input delay to: 2.0 |
| set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] |
| set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]] |
| #set rst_indx [lsearch [all_inputs] [get_port resetn]] |
| set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx] |
| #set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx] |
| set all_inputs_wo_clk_rst $all_inputs_wo_clk |
| # correct resetn |
| set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst |
| #set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn} |
| set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs] |
| # TODO set this as parameter |
| set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] |
| set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] |
| puts "\[INFO\]: Setting load to: $cap_load" |
| [INFO]: Setting load to: 0.01765 |
| set_load $cap_load [all_outputs] |
| check_report |
| No paths found. |
| check_report_end |
| timing_report |
| No paths found. |
| timing_report_end |
| min_max_report |
| No paths found. |
| min_max_report_end |
| check_slew |
| check_slew_end |
| wns_report |
| wns 0.00 |
| wns_report_end |
| tns_report |
| tns 0.00 |
| tns_report_end |