blob: 6e22d27b1ce888c9bf32b74598cc34b65169c6f4 [file] [log] [blame]
Magic 8.3 revision 209 - Compiled on Thu Sep 16 15:04:47 UTC 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
Processing system .magicrc file
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/openLANE_flow/scripts/magic/lef.tcl" from command line.
Reading LEF data from file /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef.
This action cannot be undone.
LEF read, Line 77 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 78 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 110 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring.
LEF read, Line 112 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 113 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 119 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 120 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 121 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 153 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring.
LEF read, Line 161 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 162 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 164 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 165 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 166 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 202 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 203 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 205 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 206 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 207 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 243 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 244 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 246 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 247 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 248 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 284 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 285 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read: Processed 791 lines.
axmul: 10000 rects
axmul: 20000 rects
axmul: 30000 rects
axmul: 40000 rects
axmul: 50000 rects
axmul: 60000 rects
axmul: 70000 rects
axmul: 80000 rects
axmul: 90000 rects
axmul: 100000 rects
[INFO]: Writing abstract LEF
Generating LEF output /openLANE_flow/designs/axmul/runs/run1/results/magic/axmul.lef for cell axmul:
Diagnostic: Write LEF header for cell axmul
Diagnostic: Writing LEF output for cell axmul
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_3" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__decap_3.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_3.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_4" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__decap_4.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_8" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__clkbuf_8.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__tapvpwrvgnd_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__tapvpwrvgnd_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__tapvpwrvgnd_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__fill_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__fill_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__fill_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_8" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__decap_8.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_12" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__decap_12.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_12.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_2" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__clkbuf_2.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__fill_2" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__fill_2.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__fill_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__buf_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__buf_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__diode_2" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__diode_2.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__diode_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_6" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__decap_6.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_6.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_4" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__clkbuf_4.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_4" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__clkinv_4.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkinv_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_2" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__inv_2.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__inv_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_4" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__nor2_4.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor2_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a2bb2oi_4" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__a2bb2oi_4.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a2bb2oi_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__nand2_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2_2" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__or2_2.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__or2_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__or2_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__or2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2_2" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__and2_2.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and2_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__nor2_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ai_4" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__o21ai_4.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21ai_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22a_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__o22a_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o22a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a2bb2o_2" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__a2bb2o_2.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a2bb2o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_2" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__buf_2.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__buf_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a2bb2o_4" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__a2bb2o_4.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a2bb2o_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221ai_4" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__o221ai_4.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o221ai_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a2bb2o_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__a2bb2o_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a2bb2o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31o_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__a31o_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a31o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__or4_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__or4_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2bb2a_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__o2bb2a_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o2bb2a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21a_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__o21a_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221a_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__o221a_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o221a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2b_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__or2b_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__or2b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ai_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__o21ai_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__and2_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o32a_4" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__o32a_4.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o32a_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__mux2_4" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__mux2_4.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__mux2_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221ai_2" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__o221ai_2.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o221ai_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221a_2" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__o221a_2.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o221a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4_2" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__or4_2.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__or4_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o211a_4" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__o211a_4.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o211a_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2_2" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__nand2_2.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand2_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21oi_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__a21oi_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a21oi_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dlymetal6s2s_1" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__dlymetal6s2s_1.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dlymetal6s2s_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__mux2_8" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__mux2_8.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__mux2_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31o_4" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__a31o_4.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a31o_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_4" at bad file path /openLANE_flow/designs/axmul/runs/run1/results/magic/sky130_fd_sc_hd__buf_4.mag.
The cell exists in the search paths at /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__buf_4.mag.
The discovered version will be used.
Diagnostic: Scale value is 0.005000
Processing timestamp mismatches: sky130_fd_sc_hd__buf_4, sky130_fd_sc_hd__a31o_4, sky130_fd_sc_hd__mux2_8, sky130_fd_sc_hd__dlymetal6s2s_1, sky130_fd_sc_hd__a21oi_1, sky130_fd_sc_hd__nand2_2, sky130_fd_sc_hd__o211a_4, sky130_fd_sc_hd__or4_2, sky130_fd_sc_hd__o221a_2, sky130_fd_sc_hd__o221ai_2, sky130_fd_sc_hd__mux2_4, sky130_fd_sc_hd__o32a_4, sky130_fd_sc_hd__and2_1, sky130_fd_sc_hd__o21ai_1, sky130_fd_sc_hd__or2b_1, sky130_fd_sc_hd__o221a_1, sky130_fd_sc_hd__o21a_1, sky130_fd_sc_hd__o2bb2a_1, sky130_fd_sc_hd__or4_1, sky130_fd_sc_hd__a31o_1, sky130_fd_sc_hd__a2bb2o_1, sky130_fd_sc_hd__o221ai_4, sky130_fd_sc_hd__a2bb2o_4, sky130_fd_sc_hd__buf_2, sky130_fd_sc_hd__a2bb2o_2, sky130_fd_sc_hd__o22a_1, sky130_fd_sc_hd__o21ai_4, sky130_fd_sc_hd__nor2_1, sky130_fd_sc_hd__and2_2, sky130_fd_sc_hd__or2_1, sky130_fd_sc_hd__or2_2, sky130_fd_sc_hd__nand2_1, sky130_fd_sc_hd__a2bb2oi_4, sky130_fd_sc_hd__nor2_4, sky130_fd_sc_hd__inv_2, sky130_fd_sc_hd__clkinv_4, sky130_fd_sc_hd__clkbuf_4, sky130_fd_sc_hd__decap_6, sky130_fd_sc_hd__diode_2, sky130_fd_sc_hd__buf_1, sky130_fd_sc_hd__fill_2, sky130_fd_sc_hd__clkbuf_2, sky130_fd_sc_hd__decap_12, sky130_fd_sc_hd__decap_8, sky130_fd_sc_hd__fill_1, sky130_fd_sc_hd__tapvpwrvgnd_1, sky130_fd_sc_hd__clkbuf_8, sky130_fd_sc_hd__decap_4, sky130_fd_sc_hd__decap_3.
[INFO]: LEF Write Complete
Using technology "sky130A", version 1.0.225-0-g6c05bc4