blob: f24712ff9fc999cdc86208791fa2ee6076b2a618 [file] [log] [blame]
Fri Oct 29 08:35:25 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/extract_metal_layers.py -t /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef -o /openLANE_flow/designs/axmul/runs/run1/tmp/met_layers_list.txt"
Fri Oct 29 08:35:26 UTC 2021 - Executing "/openLANE_flow/scripts/mergeLef.py -i /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef {/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_12.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_8.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__decap_12.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fakediode_2.lef} -o /openLANE_flow/designs/axmul/runs/run1/tmp/merged_unpadded.lef |& tee >&@stdout"
Fri Oct 29 08:35:26 UTC 2021 - Executing "/openLANE_flow/scripts/libtrim.pl /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib /openLANE_flow/designs/axmul/runs/run1/tmp/trimmed.lib.exclude.list > /openLANE_flow/designs/axmul/runs/run1/tmp/trimmed.lib"
Fri Oct 29 08:35:26 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/new_tracks.py -i /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tracks.info -o /openLANE_flow/designs/axmul/runs/run1/tmp/config.tracks"
Fri Oct 29 08:35:27 UTC 2021 - Executing "echo {openlane 2021.09.19_20.25.16} > /openLANE_flow/designs/axmul/runs/run1/OPENLANE_VERSION"
Fri Oct 29 08:35:27 UTC 2021 - Executing "sed -E {s/^([[:space:]]+)pg_pin(.*)/\1pin\2\n\1 direction : "inout";/g} /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib > /openLANE_flow/designs/axmul/runs/run1/tmp/sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib"
Fri Oct 29 08:35:27 UTC 2021 - Executing "yosys -c /openLANE_flow/scripts/synth.tcl -l /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/1-yosys.log |& tee >&@stdout"
Fri Oct 29 08:35:29 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:35:29 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 0/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:35:29 UTC 2021 - Executing "sed -i /defparam/d /openLANE_flow/designs/axmul/runs/run1/results/synthesis/axmul.synthesis.v"
Fri Oct 29 08:35:29 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/2-opensta"
Fri Oct 29 08:35:31 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_floorplan.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/floorplan/3-verilog2def.openroad.log"
Fri Oct 29 08:35:33 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_ioplacer.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/floorplan/4-ioPlacer.log"
Fri Oct 29 08:35:34 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_tapcell.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/floorplan/5-tapcell.log"
Fri Oct 29 08:35:35 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_pdn.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/floorplan/7-pdn.log"
Fri Oct 29 08:35:37 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_replace.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/8-replace.log"
Fri Oct 29 08:35:40 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_resizer.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/8-resizer.log"
Fri Oct 29 08:35:42 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/9-write_verilog.log"
Fri Oct 29 08:35:43 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:35:43 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:35:43 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/10-opensta_post_resizer"
Fri Oct 29 08:35:45 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_opendp.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/11-opendp.log"
Fri Oct 29 08:35:47 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_resizer_timing.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/12-resizer_timing.log"
Fri Oct 29 08:35:48 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/13-write_verilog.log"
Fri Oct 29 08:35:49 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:35:49 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:35:49 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/14-opensta_post_resizer_timing"
Fri Oct 29 08:35:52 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_resizer_routing_timing.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/14-resizer_timing.log"
Fri Oct 29 08:35:53 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/15-write_verilog.log"
Fri Oct 29 08:35:55 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:35:55 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:35:55 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/16-opensta_post_resizer_routing_timing"
Fri Oct 29 08:35:57 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/place_diodes.py -l /openLANE_flow/designs/axmul/runs/run1/tmp/merged.lef -id /openLANE_flow/designs/axmul/runs/run1/tmp/placement/14-resizer_timing.def -o /openLANE_flow/designs/axmul/runs/run1/tmp/placement/17-diodes.def --diode-cell sky130_fd_sc_hd__diode_2 --diode-pin DIODE --fake-diode-cell sky130_fd_sc_hd__diode_2 |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/17-diodes.log"
Fri Oct 29 08:35:58 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_opendp.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/18-opendp.log"
Fri Oct 29 08:36:00 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/19-write_verilog.log"
Fri Oct 29 08:36:01 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_diodes.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:36:01 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:36:01 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_fill.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/routing/20-addspacers.log"
Fri Oct 29 08:36:02 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/add_def_obstructions.py --input-def /openLANE_flow/designs/axmul/runs/run1/tmp/routing/20-addspacers.def --lef /openLANE_flow/designs/axmul/runs/run1/tmp/merged.lef --obstructions {met5 0 0 900 600} --output /openLANE_flow/designs/axmul/runs/run1/tmp/routing/20-addspacers.obs.def |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/obs.log"
Fri Oct 29 08:36:04 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_groute.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/routing/21-fastroute.log"
Fri Oct 29 08:36:07 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/22-write_verilog.log"
Fri Oct 29 08:36:09 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_preroute.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:36:09 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_diodes.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:36:09 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_droute.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/routing/23-tritonRoute.log"
Fri Oct 29 08:36:56 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/tr2klayout.py -i /openLANE_flow/designs/axmul/runs/run1/reports/routing/23-tritonRoute.drc -o /openLANE_flow/designs/axmul/runs/run1/reports/routing/23-tritonRoute.klayout.xml --design-name axmul"
Fri Oct 29 08:36:57 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_rcx.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/routing/24-spef_extraction.log"
Fri Oct 29 08:36:59 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/25-opensta_spef"
Fri Oct 29 08:37:02 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/write_powered_def.py -d /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.def -l /openLANE_flow/designs/axmul/runs/run1/tmp/merged.lef --power-port VPWR --ground-port VGND --powered-netlist {} -o /openLANE_flow/designs/axmul/runs/run1/tmp/routing/26-axmul.powered.def |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/lvs/26-write_powered_verilog.log"
Fri Oct 29 08:37:04 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/27-write_verilog.log"
Fri Oct 29 08:37:06 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/lvs\/axmul.lvs.powered.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:37:06 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_preroute.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:37:06 UTC 2021 - Executing "magic -noconsole -dnull -rcfile /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic/mag_gds.tcl </dev/null |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/magic/28-magic.log"
Fri Oct 29 08:37:07 UTC 2021 - Executing "magic -noconsole -dnull -rcfile /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic/gds_pointers.tcl </dev/null |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/magic/29-magic.mag.gds_ptrs.log"
Fri Oct 29 08:37:09 UTC 2021 - Executing "sed -i -n {/^<< properties >>/,/^<< end >>/p} /openLANE_flow/designs/axmul/runs/run1/tmp/magic/magic_gds_ptrs.mag"
Fri Oct 29 08:37:09 UTC 2021 - Executing "magic -noconsole -dnull -rcfile /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic/lef.tcl </dev/null |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/magic/30-magic.lef.log"
Fri Oct 29 08:37:21 UTC 2021 - Executing "magic -noconsole -dnull -rcfile /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic/maglef.tcl </dev/null |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/magic/31-magic.maglef.log"
Fri Oct 29 08:37:22 UTC 2021 - Executing "bash /openLANE_flow/scripts/klayout/def2gds.sh /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/klayout/sky130A.lyt /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.def axmul /openLANE_flow/designs/axmul/runs/run1/results/klayout/axmul.gds {/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_12.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_8.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fakediode_2.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__decap_12.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_4.gds } |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/klayout/32-klayout.log"
Fri Oct 29 08:37:23 UTC 2021 - Executing "bash /openLANE_flow/scripts/klayout/xor.sh /openLANE_flow/designs/axmul/runs/run1/results/magic/axmul.gds /openLANE_flow/designs/axmul/runs/run1/results/klayout/axmul.gds axmul /openLANE_flow/designs/axmul/runs/run1/results/klayout/axmul.xor.gds |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/klayout/33-klayout.xor.log"
Fri Oct 29 08:37:41 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/parse_klayout_xor_log.py -l /openLANE_flow/designs/axmul/runs/run1/logs/klayout/33-klayout.xor.log -o /openLANE_flow/designs/axmul/runs/run1/reports/klayout/33-klayout.xor.rpt"
Fri Oct 29 08:37:42 UTC 2021 - Executing "bash /openLANE_flow/scripts/klayout/xor.sh /openLANE_flow/designs/axmul/runs/run1/results/magic/axmul.gds /openLANE_flow/designs/axmul/runs/run1/results/klayout/axmul.gds axmul /openLANE_flow/designs/axmul/runs/run1/results/klayout/axmul.xor.xml |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/klayout/34-klayout.xor.log"
Fri Oct 29 08:38:01 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/parse_klayout_xor_log.py -l /openLANE_flow/designs/axmul/runs/run1/logs/klayout/34-klayout.xor.log -o /openLANE_flow/designs/axmul/runs/run1/reports/klayout/34-klayout.xor.rpt"
Fri Oct 29 08:38:02 UTC 2021 - Executing "magic -noconsole -dnull -rcfile /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/designs/axmul/runs/run1/tmp/magic_spice.tcl </dev/null |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/magic/35-magic_spice.log"
Fri Oct 29 08:38:16 UTC 2021 - Executing "netgen -batch source /openLANE_flow/designs/axmul/runs/run1/tmp/lvs/setup_file.lef.lvs |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/lvs/36-lvs.lef.log"
Fri Oct 29 08:38:34 UTC 2021 - Executing "magic -noconsole -dnull -rcfile /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic/drc.tcl </dev/null |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/magic/37-magic.drc.log"
Fri Oct 29 08:39:34 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/magic_drc_to_tcl.py -i /openLANE_flow/designs/axmul/runs/run1/reports/magic/37-magic.drc -o /openLANE_flow/designs/axmul/runs/run1/reports/magic/37-magic.drc.tcl"
Fri Oct 29 08:39:35 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/magic_drc_to_tr_drc.py -i /openLANE_flow/designs/axmul/runs/run1/reports/magic/37-magic.drc -o /openLANE_flow/designs/axmul/runs/run1/reports/magic/37-magic.tr.drc"
Fri Oct 29 08:39:36 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/tr2klayout.py -i /openLANE_flow/designs/axmul/runs/run1/reports/magic/37-magic.tr.drc -o /openLANE_flow/designs/axmul/runs/run1/reports/magic/37-magic.drc.klayout.xml --design-name axmul"
Fri Oct 29 08:39:37 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/magic_drc_to_rdb.py --magic_drc_in /openLANE_flow/designs/axmul/runs/run1/reports/magic/37-magic.drc --rdb_out /openLANE_flow/designs/axmul/runs/run1/reports/magic/37-magic.drc.rdb"
Fri Oct 29 08:39:38 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_antenna_check.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/routing/38-or_antenna.log"
Fri Oct 29 08:39:40 UTC 2021 - Executing "mv -f /openLANE_flow/designs/axmul/runs/run1/reports/routing/antenna.rpt /openLANE_flow/designs/axmul/runs/run1/reports/routing/39-antenna.rpt"
Fri Oct 29 08:39:40 UTC 2021 - Executing "awk { BEGIN { # Print power and standard_input definitions
print "VPWR power 1.8";
print "VGND power 0.0";
print "#define std_input min@VGND max@VPWR";
}
$1 == "input" { # Print input nets
gsub(/;/, "");
if ( $2 == "VPWR" || $2 == "VGND" ) { # ignore power nets
next;
}
if ( NF == 3 ) { # print buses as net[range]
$2 = $3 $2;
}
print $2, "input std_input";
}} /openLANE_flow/designs/axmul/runs/run1/results/lvs/axmul.lvs.powered.v > /openLANE_flow/designs/axmul/runs/run1/results/cvc/axmul.power"
Fri Oct 29 08:39:40 UTC 2021 - Executing "awk { /Black-box entry subcircuit/ { # remove black-box defintions
while ( $1 != ".ends" ) {
getline;
}
getline;
}
/^\*/ { # remove comments
next;
}
/^.ENDS .*/ { # remove name from ends lines
print $1;
next;
}
{
print $0;
}} /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/cdl/sky130_fd_sc_hd.cdl /openLANE_flow/designs/axmul/runs/run1/results/magic/axmul.lef.spice > /openLANE_flow/designs/axmul/runs/run1/results/cvc/axmul.cdl"
Fri Oct 29 08:39:40 UTC 2021 - Executing "cvc /openLANE_flow/scripts/cvc/sky130A/cvcrc.sky130A |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/cvc/40-cvc_screen.log"
Fri Oct 29 08:39:40 UTC 2021 - Executing "openroad -python /openLANE_flow/generate_reports.py -d /openLANE_flow/designs/axmul --design_name axmul --tag run1 --output_file /openLANE_flow/designs/axmul/runs/run1/reports/final_summary_report.csv --man_report /openLANE_flow/designs/axmul/runs/run1/reports/manufacturability_report.rpt --runtime_summary /openLANE_flow/designs/axmul/runs/run1/reports/runtime_summary_report.rpt --run_path /openLANE_flow/designs/axmul/runs/run1"
Fri Oct 29 08:43:52 UTC 2021 - Executing "echo {openlane 2021.09.19_20.25.16} > /openLANE_flow/designs/axmul/runs/run1/OPENLANE_VERSION"
Fri Oct 29 08:43:52 UTC 2021 - Executing "openroad -python /openLANE_flow/generate_reports.py -d /openLANE_flow/designs/axmul --design_name axmul --tag run1 --output_file /openLANE_flow/designs/axmul/runs/run1/reports/final_summary_report.csv --man_report /openLANE_flow/designs/axmul/runs/run1/reports/manufacturability_report.rpt --runtime_summary /openLANE_flow/designs/axmul/runs/run1/reports/runtime_summary_report.rpt --run_path /openLANE_flow/designs/axmul/runs/run1"
Fri Oct 29 08:44:36 UTC 2021 - Executing "echo {openlane 2021.09.19_20.25.16} > /openLANE_flow/designs/axmul/runs/run1/OPENLANE_VERSION"
Fri Oct 29 08:44:36 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:44:36 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/lvs\/axmul.lvs.powered.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:44:36 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/41-opensta"
Fri Oct 29 08:44:38 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_floorplan.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/floorplan/42-verilog2def.openroad.log"
Fri Oct 29 08:44:40 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_ioplacer.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/floorplan/43-ioPlacer.log"
Fri Oct 29 08:44:41 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_tapcell.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/floorplan/44-tapcell.log"
Fri Oct 29 08:44:42 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_pdn.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/floorplan/46-pdn.log"
Fri Oct 29 08:44:44 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_replace.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/47-replace.log"
Fri Oct 29 08:44:47 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_resizer.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/47-resizer.log"
Fri Oct 29 08:44:49 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/48-write_verilog.log"
Fri Oct 29 08:44:51 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:44:51 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:44:51 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/49-opensta_post_resizer"
Fri Oct 29 08:44:53 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_opendp.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/50-opendp.log"
Fri Oct 29 08:44:55 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_resizer_timing.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/51-resizer_timing.log"
Fri Oct 29 08:44:57 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/52-write_verilog.log"
Fri Oct 29 08:44:58 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:44:58 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:44:58 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/53-opensta_post_resizer_timing"
Fri Oct 29 08:45:01 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_resizer_routing_timing.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/53-resizer_timing.log"
Fri Oct 29 08:45:03 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/54-write_verilog.log"
Fri Oct 29 08:45:04 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:45:04 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:45:04 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/55-opensta_post_resizer_routing_timing"
Fri Oct 29 08:45:06 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/place_diodes.py -l /openLANE_flow/designs/axmul/runs/run1/tmp/merged.lef -id /openLANE_flow/designs/axmul/runs/run1/tmp/placement/53-resizer_timing.def -o /openLANE_flow/designs/axmul/runs/run1/tmp/placement/56-diodes.def --diode-cell sky130_fd_sc_hd__diode_2 --diode-pin DIODE --fake-diode-cell sky130_fd_sc_hd__diode_2 |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/56-diodes.log"
Fri Oct 29 08:45:08 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_opendp.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/57-opendp.log"
Fri Oct 29 08:45:09 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/58-write_verilog.log"
Fri Oct 29 08:45:10 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_diodes.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:45:10 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:45:10 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_fill.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/routing/59-addspacers.log"
Fri Oct 29 08:45:12 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/add_def_obstructions.py --input-def /openLANE_flow/designs/axmul/runs/run1/tmp/routing/59-addspacers.def --lef /openLANE_flow/designs/axmul/runs/run1/tmp/merged.lef --obstructions {met5 0 0 900 600} --output /openLANE_flow/designs/axmul/runs/run1/tmp/routing/59-addspacers.obs.def |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/obs.log"
Fri Oct 29 08:45:14 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/add_def_obstructions.py --input-def /openLANE_flow/designs/axmul/runs/run1/tmp/routing/59-addspacers.obs.def --lef /openLANE_flow/designs/axmul/runs/run1/tmp/merged.lef --obstructions {met5 0 0 900 600} --output /openLANE_flow/designs/axmul/runs/run1/tmp/routing/59-addspacers.obs.obs.def |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/obs.log"
Fri Oct 29 08:45:16 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_groute.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/routing/60-fastroute.log"
Fri Oct 29 08:45:19 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/61-write_verilog.log"
Fri Oct 29 08:45:21 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_preroute.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:45:21 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_diodes.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:45:21 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_droute.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/routing/62-tritonRoute.log"
Fri Oct 29 08:46:15 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/tr2klayout.py -i /openLANE_flow/designs/axmul/runs/run1/reports/routing/62-tritonRoute.drc -o /openLANE_flow/designs/axmul/runs/run1/reports/routing/62-tritonRoute.klayout.xml --design-name axmul"
Fri Oct 29 08:46:17 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_rcx.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/routing/63-spef_extraction.log"
Fri Oct 29 08:46:19 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/64-opensta_spef"
Fri Oct 29 08:46:22 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/write_powered_def.py -d /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.def -l /openLANE_flow/designs/axmul/runs/run1/tmp/merged.lef --power-port VPWR --ground-port VGND --powered-netlist {} -o /openLANE_flow/designs/axmul/runs/run1/tmp/routing/65-axmul.powered.def |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/lvs/65-write_powered_verilog.log"
Fri Oct 29 08:46:24 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/66-write_verilog.log"
Fri Oct 29 08:46:25 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/lvs\/axmul.lvs.powered.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:46:25 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_preroute.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:46:25 UTC 2021 - Executing "magic -noconsole -dnull -rcfile /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic/mag_gds.tcl </dev/null |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/magic/67-magic.log"
Fri Oct 29 08:46:27 UTC 2021 - Executing "magic -noconsole -dnull -rcfile /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic/gds_pointers.tcl </dev/null |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/magic/68-magic.mag.gds_ptrs.log"
Fri Oct 29 08:46:28 UTC 2021 - Executing "sed -i -n {/^<< properties >>/,/^<< end >>/p} /openLANE_flow/designs/axmul/runs/run1/tmp/magic/magic_gds_ptrs.mag"
Fri Oct 29 08:46:29 UTC 2021 - Executing "magic -noconsole -dnull -rcfile /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic/lef.tcl </dev/null |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/magic/69-magic.lef.log"
Fri Oct 29 08:46:42 UTC 2021 - Executing "magic -noconsole -dnull -rcfile /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic/maglef.tcl </dev/null |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/magic/70-magic.maglef.log"
Fri Oct 29 08:46:42 UTC 2021 - Executing "bash /openLANE_flow/scripts/klayout/def2gds.sh /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/klayout/sky130A.lyt /openLANE_flow/designs/axmul/runs/run1/results/routing/22-axmul.def axmul /openLANE_flow/designs/axmul/runs/run1/results/klayout/axmul.gds {/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_12.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_8.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fakediode_2.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__decap_12.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_4.gds } |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/klayout/71-klayout.log"
Fri Oct 29 08:46:43 UTC 2021 - Executing "bash /openLANE_flow/scripts/klayout/xor.sh /openLANE_flow/designs/axmul/runs/run1/results/magic/axmul.gds /openLANE_flow/designs/axmul/runs/run1/results/klayout/axmul.gds axmul /openLANE_flow/designs/axmul/runs/run1/results/klayout/axmul.xor.gds |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/klayout/72-klayout.xor.log"
Fri Oct 29 08:47:02 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/parse_klayout_xor_log.py -l /openLANE_flow/designs/axmul/runs/run1/logs/klayout/72-klayout.xor.log -o /openLANE_flow/designs/axmul/runs/run1/reports/klayout/72-klayout.xor.rpt"
Fri Oct 29 08:47:03 UTC 2021 - Executing "bash /openLANE_flow/scripts/klayout/xor.sh /openLANE_flow/designs/axmul/runs/run1/results/magic/axmul.gds /openLANE_flow/designs/axmul/runs/run1/results/klayout/axmul.gds axmul /openLANE_flow/designs/axmul/runs/run1/results/klayout/axmul.xor.xml |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/klayout/73-klayout.xor.log"
Fri Oct 29 08:47:36 UTC 2021 - Executing "echo {openlane 2021.09.19_20.25.16} > /openLANE_flow/designs/axmul/runs/run1/OPENLANE_VERSION"
Fri Oct 29 08:47:36 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:47:36 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/lvs\/axmul.lvs.powered.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:47:36 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/74-opensta"
Fri Oct 29 08:47:38 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_floorplan.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/floorplan/75-verilog2def.openroad.log"
Fri Oct 29 08:47:40 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_ioplacer.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/floorplan/76-ioPlacer.log"
Fri Oct 29 08:47:41 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_tapcell.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/floorplan/77-tapcell.log"
Fri Oct 29 08:47:42 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_pdn.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/floorplan/79-pdn.log"
Fri Oct 29 08:47:44 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_replace.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/80-replace.log"
Fri Oct 29 08:47:47 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_resizer.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/80-resizer.log"
Fri Oct 29 08:47:49 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/81-write_verilog.log"
Fri Oct 29 08:47:50 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:47:50 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:47:50 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/82-opensta_post_resizer"
Fri Oct 29 08:47:53 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_opendp.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/83-opendp.log"
Fri Oct 29 08:47:54 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_resizer_timing.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/84-resizer_timing.log"
Fri Oct 29 08:47:56 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/85-write_verilog.log"
Fri Oct 29 08:47:57 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:47:57 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:47:57 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/86-opensta_post_resizer_timing"
Fri Oct 29 08:48:00 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_resizer_routing_timing.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/86-resizer_timing.log"
Fri Oct 29 08:48:02 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/87-write_verilog.log"
Fri Oct 29 08:48:03 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:48:03 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:48:03 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/88-opensta_post_resizer_routing_timing"
Fri Oct 29 08:48:05 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/place_diodes.py -l /openLANE_flow/designs/axmul/runs/run1/tmp/merged.lef -id /openLANE_flow/designs/axmul/runs/run1/tmp/placement/86-resizer_timing.def -o /openLANE_flow/designs/axmul/runs/run1/tmp/placement/89-diodes.def --diode-cell sky130_fd_sc_hd__diode_2 --diode-pin DIODE --fake-diode-cell sky130_fd_sc_hd__diode_2 |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/89-diodes.log"
Fri Oct 29 08:48:06 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_opendp.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/placement/90-opendp.log"
Fri Oct 29 08:48:08 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/91-write_verilog.log"
Fri Oct 29 08:48:09 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_diodes.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:48:09 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_optimized.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:48:09 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_fill.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/routing/92-addspacers.log"
Fri Oct 29 08:48:10 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/add_def_obstructions.py --input-def /openLANE_flow/designs/axmul/runs/run1/tmp/routing/92-addspacers.def --lef /openLANE_flow/designs/axmul/runs/run1/tmp/merged.lef --obstructions {met5 0 0 900 600} --output /openLANE_flow/designs/axmul/runs/run1/tmp/routing/92-addspacers.obs.def |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/obs.log"
Fri Oct 29 08:48:12 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/add_def_obstructions.py --input-def /openLANE_flow/designs/axmul/runs/run1/tmp/routing/92-addspacers.obs.def --lef /openLANE_flow/designs/axmul/runs/run1/tmp/merged.lef --obstructions {met5 0 0 900 600} --output /openLANE_flow/designs/axmul/runs/run1/tmp/routing/92-addspacers.obs.obs.def |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/obs.log"
Fri Oct 29 08:48:14 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_groute.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/routing/93-fastroute.log"
Fri Oct 29 08:48:17 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/94-write_verilog.log"
Fri Oct 29 08:48:19 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_preroute.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:48:19 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_diodes.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:48:19 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_droute.tcl |& tee >&@stdout /openLANE_flow/designs/axmul/runs/run1/logs/routing/95-tritonRoute.log"
Fri Oct 29 08:49:09 UTC 2021 - Executing "echo {openlane 2021.09.19_20.25.16} > /openLANE_flow/designs/axmul/runs/run1/OPENLANE_VERSION"
Fri Oct 29 08:49:09 UTC 2021 - Executing "sed -E {s/^([[:space:]]+)pg_pin(.*)/\1pin\2\n\1 direction : "inout";/g} /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib > /openLANE_flow/designs/axmul/runs/run1/tmp/sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib"
Fri Oct 29 08:49:09 UTC 2021 - Executing "yosys -c /openLANE_flow/scripts/synth.tcl -l /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/96-yosys.log |& tee >&@stdout"
Fri Oct 29 08:49:17 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:49:17 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/openLANE_flow\/designs\/axmul\/runs\/run1\/results\/synthesis\/axmul.synthesis_preroute.v/} /openLANE_flow/designs/axmul/runs/run1/config.tcl"
Fri Oct 29 08:49:17 UTC 2021 - Executing "perl /openLANE_flow/scripts/synth_exp/analyze.pl /openLANE_flow/designs/axmul/runs/run1/logs/synthesis/96-yosys.log > /openLANE_flow/designs/axmul/runs/run1/reports/synthesis/yosys.exploration.html"