tree: 216255d8af1bd343d167ba8fc77848d108542822 [path history] [tgz]
  1. .spiceinit
  2. analog_wrapper_tb.sch
  3. analog_wrapper_tb.spice
  4. current_test.spice
  5. example_por.sch
  6. example_por.sym
  7. example_por_tb.sch
  8. example_por_tb.spice
  9. example_por_tb.spice.orig
  10. sky130_hilas_capacitorArray01.sch
  11. sky130_hilas_capacitorArray01.sym
  12. sky130_hilas_cellAttempt01.sch
  13. sky130_hilas_cellAttempt01.sym
  14. sky130_hilas_DAC5bit01.sch
  15. sky130_hilas_DAC5bit01.sym
  16. sky130_hilas_drainSelect01.sch
  17. sky130_hilas_drainSelect01.sym
  18. sky130_hilas_FGBiasWeakGate2x1cell.sch
  19. sky130_hilas_FGBiasWeakGate2x1cell.sym
  20. sky130_hilas_FGcharacterization01.sch
  21. sky130_hilas_FGcharacterization01.sym
  22. sky130_hilas_FGtrans2x1cell.sch
  23. sky130_hilas_FGtrans2x1cell.sym
  24. sky130_hilas_LeftProtection.sch
  25. sky130_hilas_LeftProtection.sym
  26. sky130_hilas_LevelShift4InputUp.sch
  27. sky130_hilas_LevelShift4InputUp.sym
  28. sky130_hilas_nFETLarge.sch
  29. sky130_hilas_nFETLarge.sym
  30. sky130_hilas_pFETLarge.sch
  31. sky130_hilas_pFETLarge.sym
  32. sky130_hilas_polyresistorGND.sch
  33. sky130_hilas_polyresistorGND.sym
  34. sky130_hilas_RightProtection.sch
  35. sky130_hilas_RightProtection.sym
  36. sky130_hilas_swc4x1BiasCell.sch
  37. sky130_hilas_swc4x1BiasCell.sym
  38. sky130_hilas_swc4x2cell.sch
  39. sky130_hilas_swc4x2cell.sym
  40. sky130_hilas_TA2Cell_1FG.sch
  41. sky130_hilas_TA2Cell_1FG.sym
  42. sky130_hilas_TA2Cell_1FG_Strong.sch
  43. sky130_hilas_TA2Cell_1FG_Strong.sym
  44. sky130_hilas_TA2Cell_NoFG.sch
  45. sky130_hilas_TA2Cell_NoFG.sym
  46. sky130_hilas_TA2SignalBiasCell.sch
  47. sky130_hilas_TA2SignalBiasCell.sym
  48. sky130_hilas_Tgate4Double01.sch
  49. sky130_hilas_Tgate4Double01.sym
  50. sky130_hilas_Tgate4Single01.sch
  51. sky130_hilas_Tgate4Single01.sym
  52. sky130_hilas_TopLevelProtectStructure.sch
  53. sky130_hilas_TopLevelTestStructure.sch
  54. sky130_hilas_TopLevelTestStructure.sym
  55. sky130_hilas_TopProtection.sch
  56. sky130_hilas_TopProtection.sym
  57. sky130_hilas_Trans2med.sch
  58. sky130_hilas_Trans2med.sym
  59. sky130_hilas_Trans4small.sch
  60. sky130_hilas_Trans4small.sym
  61. sky130_hilas_VinjDecode2to4.sch
  62. sky130_hilas_VinjDecode2to4.sym
  63. sky130_hilas_VinjDiodeProtect01.sch
  64. sky130_hilas_VinjDiodeProtect01.sym
  65. sky130_hilas_WTA4Stage01.sch
  66. sky130_hilas_WTA4Stage01.sym
  67. test.data
  68. threshold_test_tb.spice
  69. user_analog_project_wrapper.sch
  70. user_analog_project_wrapper.spice
  71. user_analog_project_wrapper.sym
  72. xschemrc