tree: 3a71cbc0641bbd129fc94db759cd9db5c59cc0d2 [path history] [tgz]
  1. azadi_soc_top.sv
  2. azadi_soc_top_caravel.sv
  3. brq_core.sv
  4. brq_core_top.sv
  5. brq_counter.sv
  6. brq_cs_registers.sv
  7. brq_csr.sv
  8. brq_exu.sv
  9. brq_exu_alu.sv
  10. brq_exu_multdiv_fast.sv
  11. brq_exu_multdiv_slow.sv
  12. brq_fp_register_file_ff.sv
  13. brq_idu.sv
  14. brq_idu_controller.sv
  15. brq_idu_decoder.sv
  16. brq_ifu.sv
  17. brq_ifu_compressed_decoder.sv
  18. brq_ifu_dummy_instr.sv
  19. brq_ifu_fifo.sv
  20. brq_ifu_icache.sv
  21. brq_ifu_prefetch_buffer.sv
  22. brq_lsu.sv
  23. brq_pkg.sv
  24. brq_pmp.sv
  25. brq_register_file_ff.sv
  26. brq_wbu.sv
  27. cf_math_pkg.sv
  28. control_mvp.sv
  29. data_mem_top.sv
  30. debug_rom.sv
  31. debug_rom_one_scratch.sv
  32. defs_div_sqrt_mvp.sv
  33. div_sqrt_top_mvp.sv
  34. dm_csrs.sv
  35. dm_mem.sv
  36. dm_pkg.sv
  37. dm_sba.sv
  38. dmi_cdc.sv
  39. dmi_jtag.sv
  40. dmi_jtag_tap.sv
  41. down_clocking_even.v
  42. down_clocking_odd.v
  43. fifo_async.sv
  44. fifo_sync.sv
  45. fpnew_cast_multi.sv
  46. fpnew_classifier.sv
  47. fpnew_divsqrt_multi.sv
  48. fpnew_fma.sv
  49. fpnew_fma_multi.sv
  50. fpnew_noncomp.sv
  51. fpnew_opgroup_block.sv
  52. fpnew_opgroup_fmt_slice.sv
  53. fpnew_opgroup_multifmt_slice.sv
  54. fpnew_pkg.sv
  55. fpnew_rounding.sv
  56. fpnew_top.sv
  57. gpio.sv
  58. gpio_reg_pkg.sv
  59. gpio_reg_top.sv
  60. iccm_controller.v
  61. instr_mem_top.sv
  62. iteration_div_sqrt_mvp.sv
  63. jtag_pkg.sv
  64. lzc.sv
  65. minus_one.v
  66. norm_div_sqrt_mvp.sv
  67. nrbd_nrsc_mvp.sv
  68. preprocess_mvp.sv
  69. prim_arbiter_ppc.sv
  70. prim_clock_gating.sv
  71. prim_filter_ctr.sv
  72. prim_generic_clock_inv.sv
  73. prim_generic_clock_mux2.sv
  74. prim_generic_flop.sv
  75. prim_generic_flop_2sync.sv
  76. prim_intr_hw.sv
  77. prim_pkg.sv
  78. prim_subreg.sv
  79. prim_subreg_arb.sv
  80. prim_subreg_ext.sv
  81. prim_util_pkg.sv
  82. PWM.v
  83. pwm.v
  84. pwm_top.sv
  85. registers.svh
  86. rr_arb_tree.sv
  87. rstmgr.sv
  88. rv_dm.sv
  89. rv_plic.sv
  90. rv_plic_gateway.sv
  91. rv_plic_reg_pkg.sv
  92. rv_plic_reg_top.sv
  93. rv_plic_target.sv
  94. rv_timer.sv
  95. rv_timer_reg_pkg.sv
  96. rv_timer_reg_top.sv
  97. spi_clgen.v
  98. spi_core.sv
  99. spi_defines.v
  100. spi_shift.v
  101. spi_top.sv
  102. sram.v
  103. sram_top.v
  104. timer_core.sv
  105. tl_main_pkg.sv
  106. tl_xbar_main.sv
  107. tlul_adapter_reg.sv
  108. tlul_err.sv
  109. tlul_err_resp.sv
  110. tlul_fifo_sync.sv
  111. tlul_host_adapter.sv
  112. tlul_pkg.sv
  113. tlul_socket_1n.sv
  114. tlul_socket_m1.sv
  115. tlul_sram_adapter.sv
  116. uart.sv
  117. uart_core.sv
  118. uart_reg_pkg.sv
  119. uart_reg_top.sv
  120. uart_rx.sv
  121. uart_rx_prog.v
  122. uart_tx.sv
  123. uprj_netlists.v
  124. user_proj_example.v
  125. user_project_wrapper.v
  126. utils.vh