blob: 4421478c678cebc02fc6dfd645cea56300093e01 [file] [log] [blame]
// Copyright lowRISC contributors.
// Licensed under the Apache License, Version 2.0, see LICENSE for details.
// SPDX-License-Identifier: Apache-2.0
//
// tl_main package generated by `tlgen.py` tool
package tl_main_pkg;
localparam logic [31:0] ADDR_SPACE_ICCM = 32'h 20000000;
localparam logic [31:0] ADDR_SPACE_DEBUG_ROM = 32'h 10040000;
localparam logic [31:0] ADDR_SPACE_DCCM = 32'h 10000000;
localparam logic [31:0] ADDR_SPACE_TIMER0 = 32'h 40000000;
localparam logic [31:0] ADDR_SPACE_UART0 = 32'h 40060000;
localparam logic [31:0] ADDR_SPACE_SPI0 = 32'h 40080000;
localparam logic [31:0] ADDR_SPACE_PWM = 32'h 400b0000;
localparam logic [31:0] ADDR_SPACE_GPIO = 32'h 400c0000;
localparam logic [31:0] ADDR_SPACE_PLIC = 32'h 40050000;
localparam logic [31:0] ADDR_MASK_ICCM = 32'h 0000ffff;
localparam logic [31:0] ADDR_MASK_DEBUG_ROM = 32'h 0000ffff;
localparam logic [31:0] ADDR_MASK_DCCM = 32'h 0000ffff;
localparam logic [31:0] ADDR_MASK_TIMER0 = 32'h 0000ffff;
localparam logic [31:0] ADDR_MASK_UART0 = 32'h 0000ffff;
localparam logic [31:0] ADDR_MASK_SPI0 = 32'h 0000ffff;
localparam logic [31:0] ADDR_MASK_PWM = 32'h 0000ffff;
localparam logic [31:0] ADDR_MASK_GPIO = 32'h 0000ffff;
localparam logic [31:0] ADDR_MASK_PLIC = 32'h 0000ffff;
localparam int N_HOST = 3;
localparam int N_DEVICE = 9;
typedef enum int {
TlIccm = 0,
TlDebugRom = 1,
TlDccm = 2,
TlTimer0 = 3,
TlUart0 = 4,
TlSpi0 = 5,
TlPwm = 6,
TlGpio = 7,
TlPlic = 8
} tl_device_e;
typedef enum int {
TlBrqif = 0,
TlBrqlsu = 1,
TlDmSba = 2
} tl_host_e;
endpackage