1. faf6649 [CI] uncompress caravel files by manarabdelaty · 3 years ago
  2. 151dbb8 [CI] mount CARAVEL_ROOT by manarabdelaty · 3 years ago
  3. 9619ef1 Point to efabless/caravel-lite by manarabdelaty · 3 years ago
  4. 5f8260c Update README.md by Manar · 3 years ago
  5. 90842af Update README.md by Manar · 3 years ago
  6. e542bdf Update caravel-lite references by manarabdelaty · 3 years ago
  7. 32b6e9f Update submodule reference by manarabdelaty · 3 years ago
  8. bcfdcf3 Merge branch 'main' of https://github.com/efabless/caravel_user_project into main by Jeff DiCorpo · 3 years ago
  9. a2af423 fix update_caravel by Jeff DiCorpo · 3 years ago
  10. 49b6615 Update README.md by Jeff DiCorpo · 3 years ago
  11. 9f275ff Update README.md by Jeff DiCorpo · 3 years ago
  12. 21168a9 rm caravel-lite by Jeff DiCorpo · 3 years ago
  13. e94e365 fix submodule by Jeff DiCorpo · 3 years ago
  14. fb944f9 rename caravel-lite to caravel by Jeff DiCorpo · 3 years ago
  15. eb84dc2 update submodule url by Jeff DiCorpo · 3 years ago
  16. 13f59da Update README.md by Manar · 3 years ago
  17. 2d35028 Update README.md by Manar · 3 years ago
  18. b41301c Added top level makefile by manarabdelaty · 3 years ago
  19. 5e23de7 Merge branch 'caravel_lite' of https://github.com/efabless/caravel_project_example into caravel_lite by manarabdelaty · 3 years ago
  20. db31e19 Merge branch 'main' of https://github.com/efabless/caravel_project_example into caravel_lite by manarabdelaty · 3 years ago
  21. f09a4cd [CI] update precheck scripts to pass CARAVEL_ROOT by manarabdelaty · 3 years ago
  22. e4eaf2c Update run-dv-wrapper.sh by Manar · 3 years ago
  23. 6f2fcd2 added index.rst by Mohamed Kassem · 3 years ago
  24. 4a5c76a Merge branch 'main' of github.com:efabless/caravel_user_project into main by Mohamed Kassem · 3 years ago
  25. 62f0185 added rtd setup files by Mohamed Kassem · 3 years ago
  26. 0e4a14b Update README.rst by Mohamed Kassem · 3 years ago
  27. e0abf52 Update README.md by Mohamed Kassem · 3 years ago
  28. 9cec2d3 Update README.md by Mohamed Kassem · 3 years ago
  29. a5c0c59 Documentation Update by Mohamed Kassem · 3 years ago
  30. bcd7adc Update run-xor.sh by Manar · 3 years ago
  31. 05900b0 Update run-xor.sh by Manar · 3 years ago
  32. 1766544 [CI] Install full caravel for build tasks like ship/setid by manarabdelaty · 3 years ago
  33. 22f3cd0 Submodule caravel-lite by manarabdelaty · 3 years ago
  34. 740194b Fix set-id.sh by manarabdelaty · 3 years ago
  35. c0f458a Update DV Makefile by manarabdelaty · 3 years ago
  36. 2482ace [CI] update manifest source by manarabdelaty · 3 years ago
  37. eb2b903 export CARAVEL_ROOT in CI by manarabdelaty · 3 years ago
  38. ecb8155 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years ago
  39. 61f8ec4 Update caravel by manarabdelaty · 3 years ago
  40. 84e4f8e Update README.md by Manar · 3 years ago
  41. 10d5277 Update README.md by Manar · 3 years ago
  42. d868235 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years ago
  43. eac56e8 Rename CARAVEL_MASTER -> CARAVEL_ROOT by manarabdelaty · 3 years ago
  44. de45164 Update README.md by Manar · 3 years ago
  45. 191408b Add SPDX header by manarabdelaty · 3 years ago
  46. 8dbabc1 Update DV Makefiles by manarabdelaty · 3 years ago
  47. 8e8bf63 Update la_test2 Makefile by manarabdelaty · 3 years ago
  48. 01016a5 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years ago
  49. 496112a Add CARAVEL_PATH for the testbenches by manarabdelaty · 3 years ago
  50. c7bcaf9 Update README.md by Manar · 3 years ago
  51. 6f6dd07 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years ago
  52. e768abd Add doc by manarabdelaty · 3 years ago
  53. f989c64 Corrected the user_project_wrapper verilog to have the correct by Tim Edwards · 3 years ago
  54. 7ad767e [CI] Add check for running xor-wrapper target by manarabdelaty · 3 years ago
  55. b5548c4 [CI] Run make ship and make set user id by manarabdelaty · 3 years ago
  56. a7929f3 Added mprj_stimulus test by manarabdelaty · 3 years, 1 month ago
  57. d184bf6 Update wb_port dv makefile by manarabdelaty · 3 years, 1 month ago
  58. 6ace7bf [CI] add job for running GL simulation by manarabdelaty · 3 years, 1 month ago
  59. 3e3151b [DATA] update views to reflect rtl change by manarabdelaty · 3 years, 1 month ago
  60. a63e2e6 Makefile and RTL updates to run GL sim by manarabdelaty · 3 years, 1 month ago
  61. 47e7201 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years, 1 month ago
  62. 71c0e0a [CI] Added job for running dv and splitted precheck to two jobs by manarabdelaty · 3 years, 1 month ago
  63. 10b3a10 Update README.md by Manar · 3 years, 1 month ago
  64. fa36b99 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years, 1 month ago
  65. 69bd326 Updated DV tests by manarabdelaty · 3 years, 1 month ago
  66. a8b4607 Update caravel commit by manarabdelaty · 3 years, 1 month ago
  67. 548e5a7 [DATA] Adjust user_proj_example/config.tcl by Ahmed Ghazy · 3 years, 1 month ago
  68. a464881 Point at the efabless/caravel repo by Ahmed Ghazy · 3 years, 1 month ago
  69. 12208af Update README.md by Amr A. Gouhar · 3 years, 1 month ago
  70. 562499c Remove simulation CI by agorararmard · 3 years, 1 month ago
  71. e49431b Add info.yaml by agorararmard · 3 years, 1 month ago
  72. 4a26e1e Create LICENSE by Amr A. Gouhar · 3 years, 1 month ago
  73. b5367e2 Add Github Actions CI by agorararmard · 3 years, 1 month ago
  74. d4ec2f0 Example of a full run of user_project_wrapper by Ahmed Ghazy · 3 years, 1 month ago
  75. 2f8702e Update caravel by Ahmed Ghazy · 3 years, 1 month ago
  76. 6d389c6 Initial commit, submoduling the Caravel repo by Ahmed Ghazy · 3 years, 1 month ago
  77. 7060959 first commit by Ahmed Ghazy · 3 years, 1 month ago