blob: c1a92b1d233c3080aced4a3bf907e34c1d68f517 [file] [log] [blame]
module clock_mux(
input clk0,
input clk1,
input sel,
output reg clk);
always @(*) begin
clk = sel ? clk1 : clk0;
end
endmodule // clock_mux