Make DFFRAM behavioural COLS parameter

We want to use the DFFRAM behavioural with other sizes, so add
in the COLS parameter.
1 file changed