Add the custom DFF RAM
diff --git a/openlane/DFFRAM/config.tcl b/openlane/DFFRAM/config.tcl
new file mode 100644
index 0000000..7782cee
--- /dev/null
+++ b/openlane/DFFRAM/config.tcl
@@ -0,0 +1,25 @@
+set script_dir [file dirname [file normalize [info script]]]
+# User config
+set ::env(DESIGN_NAME) DFFRAM
+
+# Change if needed
+set ::env(VERILOG_FILES) $script_dir/../../verilog/gl/DFFRAM.gl.v
+set ::env(SYNTH_TOP_LEVEL) 1
+set ::env(SYNTH_READ_BLACKBOX_LIB) 1
+# Fill this
+set ::env(CLOCK_PERIOD) "10"
+set ::env(CLOCK_PORT) "CLK"
+set ::env(CLOCK_TREE_SYNTH) 0
+
+set ::env(FP_PIN_ORDER_CFG) $::env(DESIGN_DIR)/pin_order.cfg
+
+set ::env(FP_SIZING) absolute
+set ::env(DIE_AREA) "0 0 630 630"
+
+set ::env(PDN_CFG) $script_dir/pdn.tcl
+
+set ::env(PL_OPENPHYSYN_OPTIMIZATIONS) 0
+set ::env(PL_TARGET_DENSITY) 0.85
+
+set ::env(CELL_PAD) 0
+set ::env(DIODE_INSERTION_STRATEGY) 0
diff --git a/openlane/DFFRAM/pdn.tcl b/openlane/DFFRAM/pdn.tcl
new file mode 100644
index 0000000..947bd06
--- /dev/null
+++ b/openlane/DFFRAM/pdn.tcl
@@ -0,0 +1,27 @@
+# Power nets
+set ::power_nets $::env(VDD_PIN)
+set ::ground_nets $::env(GND_PIN)
+
+
+pdngen::specify_grid stdcell {
+    name grid
+    rails {
+	    met1 {width 0.48 pitch $::env(PLACE_SITE_HEIGHT) offset 0}
+    }
+    straps {
+	    met4 {width 1.6 pitch $::env(FP_PDN_VPITCH) offset $::env(FP_PDN_VOFFSET)}
+    }
+    connect {{met1 met4}}
+}
+
+
+set ::halo 0
+
+# Metal layer for rails on every row
+set ::rails_mlayer "met1" ;
+
+# POWER or GROUND #Std. cell rails starting with power or ground rails at the bottom of the core area
+set ::rails_start_with "POWER" ;
+
+# POWER or GROUND #Upper metal stripes starting with power or ground rails at the left/bottom of the core area
+set ::stripes_start_with "POWER" ;
diff --git a/openlane/DFFRAM/pin_order.cfg b/openlane/DFFRAM/pin_order.cfg
new file mode 100644
index 0000000..297844f
--- /dev/null
+++ b/openlane/DFFRAM/pin_order.cfg
@@ -0,0 +1,12 @@
+#N
+Di.*
+
+#W
+A.*
+CLK
+WE.*
+EN
+
+
+#S
+Do.*
diff --git a/openlane/mgmt_core/config.tcl b/openlane/mgmt_core/config.tcl
index 51987a9..3f86edc 100644
--- a/openlane/mgmt_core/config.tcl
+++ b/openlane/mgmt_core/config.tcl
@@ -11,13 +11,13 @@
 set ::env(FP_VERTICAL_HALO) 6
 set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
 set ::env(FP_SIZING) absolute
-set ::env(DIE_AREA) "0 0 1800 1900"
+set ::env(DIE_AREA) "0 0 2600 1100"
 
 
 set ::env(MACRO_PLACEMENT_CFG) $script_dir/macro_placement.cfg
 set ::env(PL_TARGET_DENSITY) 0.37
-set ::env(PL_OPENPHYSYN_OPTIMIZATIONS) 0
-set ::env(CELL_PAD) 10
+set ::env(PL_OPENPHYSYN_OPTIMIZATIONS) 1
+set ::env(CELL_PAD) 8
 
 set ::env(GLB_RT_ADJUSTMENT) 0
 set ::env(GLB_RT_TILES) 14
@@ -34,10 +34,13 @@
 	$script_dir/../../verilog/rtl/housekeeping_spi.v"
 
 set ::env(VERILOG_FILES_BLACKBOX) "\
+	$script_dir/../../verilog/gl/DFFRAM.gl.v
 	$script_dir/../../verilog/rtl/digital_pll.v"
 
 set ::env(EXTRA_LEFS) "\
+	$script_dir/../../lef/DFFRAM.lef
 	$script_dir/../../lef/digital_pll.lef"
 set ::env(EXTRA_GDS_FILES) "\
+	$script_dir/../../gds/DFFRAM.gds
 	$script_dir/../../gds/digital_pll.gds"
 
diff --git a/openlane/mgmt_core/macro_placement.cfg b/openlane/mgmt_core/macro_placement.cfg
index c81fd53..a1f7dde 100644
--- a/openlane/mgmt_core/macro_placement.cfg
+++ b/openlane/mgmt_core/macro_placement.cfg
@@ -1 +1,2 @@
-pll 15.225 1159.305 N
+pll 14.360 501.110 N
+soc.soc_mem.mem.SRAM 395.345 191.120 N
diff --git a/openlane/mgmt_core/pdn.tcl b/openlane/mgmt_core/pdn.tcl
index 5ed65a9..b74f931 100644
--- a/openlane/mgmt_core/pdn.tcl
+++ b/openlane/mgmt_core/pdn.tcl
@@ -17,7 +17,6 @@
 }
 
 pdngen::specify_grid macro {
-    instance "pll"
     power_pins "VPWR"
     ground_pins "VGND"
     blockages "li1 met1 met2 met3 met4"
diff --git a/verilog/gl/DFFRAM.gl.v b/verilog/gl/DFFRAM.gl.v
new file mode 100644
index 0000000..34db22d
--- /dev/null
+++ b/verilog/gl/DFFRAM.gl.v
@@ -0,0 +1,112771 @@
+/* Generated by Yosys 0.9 (git sha1 UNKNOWN, clang 12.0.0 -fPIC -Os) */
+
+module DFFRAM(CLK, WE, EN, Di, Do, A);
+  input [7:0] A;
+  wire \B_0_0.CLK ;
+  wire \B_0_0.CLK_buf ;
+  wire \B_0_0.DEC.DEC_L0.EN ;
+  wire \B_0_0.DEC.DEC_L0.SEL[0] ;
+  wire \B_0_0.DEC.DEC_L0.SEL[1] ;
+  wire \B_0_0.DEC.DEC_L0.SEL[2] ;
+  wire \B_0_0.DEC.DEC_L0.SEL[3] ;
+  wire \B_0_0.DEC.DEC_L0.SEL[4] ;
+  wire \B_0_0.DEC.DEC_L0.SEL[5] ;
+  wire \B_0_0.DEC.DEC_L0.SEL[6] ;
+  wire \B_0_0.DEC.DEC_L0.SEL[7] ;
+  wire \B_0_0.DEC.DEC_L1[0].U.SEL[0] ;
+  wire \B_0_0.DEC.DEC_L1[0].U.SEL[1] ;
+  wire \B_0_0.DEC.DEC_L1[0].U.SEL[2] ;
+  wire \B_0_0.DEC.DEC_L1[0].U.SEL[3] ;
+  wire \B_0_0.DEC.DEC_L1[0].U.SEL[4] ;
+  wire \B_0_0.DEC.DEC_L1[0].U.SEL[5] ;
+  wire \B_0_0.DEC.DEC_L1[0].U.SEL[6] ;
+  wire \B_0_0.DEC.DEC_L1[0].U.SEL[7] ;
+  wire \B_0_0.DEC.DEC_L1[1].U.SEL[0] ;
+  wire \B_0_0.DEC.DEC_L1[1].U.SEL[1] ;
+  wire \B_0_0.DEC.DEC_L1[1].U.SEL[2] ;
+  wire \B_0_0.DEC.DEC_L1[1].U.SEL[3] ;
+  wire \B_0_0.DEC.DEC_L1[1].U.SEL[4] ;
+  wire \B_0_0.DEC.DEC_L1[1].U.SEL[5] ;
+  wire \B_0_0.DEC.DEC_L1[1].U.SEL[6] ;
+  wire \B_0_0.DEC.DEC_L1[1].U.SEL[7] ;
+  wire \B_0_0.DEC.DEC_L1[2].U.SEL[0] ;
+  wire \B_0_0.DEC.DEC_L1[2].U.SEL[1] ;
+  wire \B_0_0.DEC.DEC_L1[2].U.SEL[2] ;
+  wire \B_0_0.DEC.DEC_L1[2].U.SEL[3] ;
+  wire \B_0_0.DEC.DEC_L1[2].U.SEL[4] ;
+  wire \B_0_0.DEC.DEC_L1[2].U.SEL[5] ;
+  wire \B_0_0.DEC.DEC_L1[2].U.SEL[6] ;
+  wire \B_0_0.DEC.DEC_L1[2].U.SEL[7] ;
+  wire \B_0_0.DEC.DEC_L1[3].U.SEL[0] ;
+  wire \B_0_0.DEC.DEC_L1[3].U.SEL[1] ;
+  wire \B_0_0.DEC.DEC_L1[3].U.SEL[2] ;
+  wire \B_0_0.DEC.DEC_L1[3].U.SEL[3] ;
+  wire \B_0_0.DEC.DEC_L1[3].U.SEL[4] ;
+  wire \B_0_0.DEC.DEC_L1[3].U.SEL[5] ;
+  wire \B_0_0.DEC.DEC_L1[3].U.SEL[6] ;
+  wire \B_0_0.DEC.DEC_L1[3].U.SEL[7] ;
+  wire \B_0_0.DEC.DEC_L1[4].U.SEL[0] ;
+  wire \B_0_0.DEC.DEC_L1[4].U.SEL[1] ;
+  wire \B_0_0.DEC.DEC_L1[4].U.SEL[2] ;
+  wire \B_0_0.DEC.DEC_L1[4].U.SEL[3] ;
+  wire \B_0_0.DEC.DEC_L1[4].U.SEL[4] ;
+  wire \B_0_0.DEC.DEC_L1[4].U.SEL[5] ;
+  wire \B_0_0.DEC.DEC_L1[4].U.SEL[6] ;
+  wire \B_0_0.DEC.DEC_L1[4].U.SEL[7] ;
+  wire \B_0_0.DEC.DEC_L1[5].U.SEL[0] ;
+  wire \B_0_0.DEC.DEC_L1[5].U.SEL[1] ;
+  wire \B_0_0.DEC.DEC_L1[5].U.SEL[2] ;
+  wire \B_0_0.DEC.DEC_L1[5].U.SEL[3] ;
+  wire \B_0_0.DEC.DEC_L1[5].U.SEL[4] ;
+  wire \B_0_0.DEC.DEC_L1[5].U.SEL[5] ;
+  wire \B_0_0.DEC.DEC_L1[5].U.SEL[6] ;
+  wire \B_0_0.DEC.DEC_L1[5].U.SEL[7] ;
+  wire \B_0_0.DEC.DEC_L1[6].U.SEL[0] ;
+  wire \B_0_0.DEC.DEC_L1[6].U.SEL[1] ;
+  wire \B_0_0.DEC.DEC_L1[6].U.SEL[2] ;
+  wire \B_0_0.DEC.DEC_L1[6].U.SEL[3] ;
+  wire \B_0_0.DEC.DEC_L1[6].U.SEL[4] ;
+  wire \B_0_0.DEC.DEC_L1[6].U.SEL[5] ;
+  wire \B_0_0.DEC.DEC_L1[6].U.SEL[6] ;
+  wire \B_0_0.DEC.DEC_L1[6].U.SEL[7] ;
+  wire \B_0_0.DEC.DEC_L1[7].U.SEL[0] ;
+  wire \B_0_0.DEC.DEC_L1[7].U.SEL[1] ;
+  wire \B_0_0.DEC.DEC_L1[7].U.SEL[2] ;
+  wire \B_0_0.DEC.DEC_L1[7].U.SEL[3] ;
+  wire \B_0_0.DEC.DEC_L1[7].U.SEL[4] ;
+  wire \B_0_0.DEC.DEC_L1[7].U.SEL[5] ;
+  wire \B_0_0.DEC.DEC_L1[7].U.SEL[6] ;
+  wire \B_0_0.DEC.DEC_L1[7].U.SEL[7] ;
+  wire \B_0_0.Di[0] ;
+  wire \B_0_0.Di[10] ;
+  wire \B_0_0.Di[11] ;
+  wire \B_0_0.Di[12] ;
+  wire \B_0_0.Di[13] ;
+  wire \B_0_0.Di[14] ;
+  wire \B_0_0.Di[15] ;
+  wire \B_0_0.Di[16] ;
+  wire \B_0_0.Di[17] ;
+  wire \B_0_0.Di[18] ;
+  wire \B_0_0.Di[19] ;
+  wire \B_0_0.Di[1] ;
+  wire \B_0_0.Di[20] ;
+  wire \B_0_0.Di[21] ;
+  wire \B_0_0.Di[22] ;
+  wire \B_0_0.Di[23] ;
+  wire \B_0_0.Di[24] ;
+  wire \B_0_0.Di[25] ;
+  wire \B_0_0.Di[26] ;
+  wire \B_0_0.Di[27] ;
+  wire \B_0_0.Di[28] ;
+  wire \B_0_0.Di[29] ;
+  wire \B_0_0.Di[2] ;
+  wire \B_0_0.Di[30] ;
+  wire \B_0_0.Di[31] ;
+  wire \B_0_0.Di[3] ;
+  wire \B_0_0.Di[4] ;
+  wire \B_0_0.Di[5] ;
+  wire \B_0_0.Di[6] ;
+  wire \B_0_0.Di[7] ;
+  wire \B_0_0.Di[8] ;
+  wire \B_0_0.Di[9] ;
+  wire \B_0_0.Di_buf[0] ;
+  wire \B_0_0.Di_buf[10] ;
+  wire \B_0_0.Di_buf[11] ;
+  wire \B_0_0.Di_buf[12] ;
+  wire \B_0_0.Di_buf[13] ;
+  wire \B_0_0.Di_buf[14] ;
+  wire \B_0_0.Di_buf[15] ;
+  wire \B_0_0.Di_buf[16] ;
+  wire \B_0_0.Di_buf[17] ;
+  wire \B_0_0.Di_buf[18] ;
+  wire \B_0_0.Di_buf[19] ;
+  wire \B_0_0.Di_buf[1] ;
+  wire \B_0_0.Di_buf[20] ;
+  wire \B_0_0.Di_buf[21] ;
+  wire \B_0_0.Di_buf[22] ;
+  wire \B_0_0.Di_buf[23] ;
+  wire \B_0_0.Di_buf[24] ;
+  wire \B_0_0.Di_buf[25] ;
+  wire \B_0_0.Di_buf[26] ;
+  wire \B_0_0.Di_buf[27] ;
+  wire \B_0_0.Di_buf[28] ;
+  wire \B_0_0.Di_buf[29] ;
+  wire \B_0_0.Di_buf[2] ;
+  wire \B_0_0.Di_buf[30] ;
+  wire \B_0_0.Di_buf[31] ;
+  wire \B_0_0.Di_buf[3] ;
+  wire \B_0_0.Di_buf[4] ;
+  wire \B_0_0.Di_buf[5] ;
+  wire \B_0_0.Di_buf[6] ;
+  wire \B_0_0.Di_buf[7] ;
+  wire \B_0_0.Di_buf[8] ;
+  wire \B_0_0.Di_buf[9] ;
+  wire \B_0_0.Do[0] ;
+  wire \B_0_0.Do[10] ;
+  wire \B_0_0.Do[11] ;
+  wire \B_0_0.Do[12] ;
+  wire \B_0_0.Do[13] ;
+  wire \B_0_0.Do[14] ;
+  wire \B_0_0.Do[15] ;
+  wire \B_0_0.Do[16] ;
+  wire \B_0_0.Do[17] ;
+  wire \B_0_0.Do[18] ;
+  wire \B_0_0.Do[19] ;
+  wire \B_0_0.Do[1] ;
+  wire \B_0_0.Do[20] ;
+  wire \B_0_0.Do[21] ;
+  wire \B_0_0.Do[22] ;
+  wire \B_0_0.Do[23] ;
+  wire \B_0_0.Do[24] ;
+  wire \B_0_0.Do[25] ;
+  wire \B_0_0.Do[26] ;
+  wire \B_0_0.Do[27] ;
+  wire \B_0_0.Do[28] ;
+  wire \B_0_0.Do[29] ;
+  wire \B_0_0.Do[2] ;
+  wire \B_0_0.Do[30] ;
+  wire \B_0_0.Do[31] ;
+  wire \B_0_0.Do[3] ;
+  wire \B_0_0.Do[4] ;
+  wire \B_0_0.Do[5] ;
+  wire \B_0_0.Do[6] ;
+  wire \B_0_0.Do[7] ;
+  wire \B_0_0.Do[8] ;
+  wire \B_0_0.Do[9] ;
+  wire \B_0_0.Do_pre[0] ;
+  wire \B_0_0.Do_pre[10] ;
+  wire \B_0_0.Do_pre[11] ;
+  wire \B_0_0.Do_pre[12] ;
+  wire \B_0_0.Do_pre[13] ;
+  wire \B_0_0.Do_pre[14] ;
+  wire \B_0_0.Do_pre[15] ;
+  wire \B_0_0.Do_pre[16] ;
+  wire \B_0_0.Do_pre[17] ;
+  wire \B_0_0.Do_pre[18] ;
+  wire \B_0_0.Do_pre[19] ;
+  wire \B_0_0.Do_pre[1] ;
+  wire \B_0_0.Do_pre[20] ;
+  wire \B_0_0.Do_pre[21] ;
+  wire \B_0_0.Do_pre[22] ;
+  wire \B_0_0.Do_pre[23] ;
+  wire \B_0_0.Do_pre[24] ;
+  wire \B_0_0.Do_pre[25] ;
+  wire \B_0_0.Do_pre[26] ;
+  wire \B_0_0.Do_pre[27] ;
+  wire \B_0_0.Do_pre[28] ;
+  wire \B_0_0.Do_pre[29] ;
+  wire \B_0_0.Do_pre[2] ;
+  wire \B_0_0.Do_pre[30] ;
+  wire \B_0_0.Do_pre[31] ;
+  wire \B_0_0.Do_pre[3] ;
+  wire \B_0_0.Do_pre[4] ;
+  wire \B_0_0.Do_pre[5] ;
+  wire \B_0_0.Do_pre[6] ;
+  wire \B_0_0.Do_pre[7] ;
+  wire \B_0_0.Do_pre[8] ;
+  wire \B_0_0.Do_pre[9] ;
+  wire \B_0_0.WE[0] ;
+  wire \B_0_0.WE[1] ;
+  wire \B_0_0.WE[2] ;
+  wire \B_0_0.WE[3] ;
+  wire \B_0_0.WE_buf[0] ;
+  wire \B_0_0.WE_buf[1] ;
+  wire \B_0_0.WE_buf[2] ;
+  wire \B_0_0.WE_buf[3] ;
+  wire \B_0_0.WORD[0].W.B0.GCLK ;
+  wire \B_0_0.WORD[0].W.B0.SEL_B ;
+  wire \B_0_0.WORD[0].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[0].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[0].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[0].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[0].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[0].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[0].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[0].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[0].W.B0.we_wire ;
+  wire \B_0_0.WORD[0].W.B1.GCLK ;
+  wire \B_0_0.WORD[0].W.B1.SEL_B ;
+  wire \B_0_0.WORD[0].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[0].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[0].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[0].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[0].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[0].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[0].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[0].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[0].W.B1.we_wire ;
+  wire \B_0_0.WORD[0].W.B2.GCLK ;
+  wire \B_0_0.WORD[0].W.B2.SEL_B ;
+  wire \B_0_0.WORD[0].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[0].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[0].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[0].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[0].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[0].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[0].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[0].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[0].W.B2.we_wire ;
+  wire \B_0_0.WORD[0].W.B3.GCLK ;
+  wire \B_0_0.WORD[0].W.B3.SEL_B ;
+  wire \B_0_0.WORD[0].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[0].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[0].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[0].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[0].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[0].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[0].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[0].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[0].W.B3.we_wire ;
+  wire \B_0_0.WORD[10].W.B0.GCLK ;
+  wire \B_0_0.WORD[10].W.B0.SEL_B ;
+  wire \B_0_0.WORD[10].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[10].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[10].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[10].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[10].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[10].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[10].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[10].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[10].W.B0.we_wire ;
+  wire \B_0_0.WORD[10].W.B1.GCLK ;
+  wire \B_0_0.WORD[10].W.B1.SEL_B ;
+  wire \B_0_0.WORD[10].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[10].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[10].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[10].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[10].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[10].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[10].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[10].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[10].W.B1.we_wire ;
+  wire \B_0_0.WORD[10].W.B2.GCLK ;
+  wire \B_0_0.WORD[10].W.B2.SEL_B ;
+  wire \B_0_0.WORD[10].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[10].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[10].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[10].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[10].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[10].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[10].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[10].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[10].W.B2.we_wire ;
+  wire \B_0_0.WORD[10].W.B3.GCLK ;
+  wire \B_0_0.WORD[10].W.B3.SEL_B ;
+  wire \B_0_0.WORD[10].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[10].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[10].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[10].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[10].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[10].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[10].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[10].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[10].W.B3.we_wire ;
+  wire \B_0_0.WORD[11].W.B0.GCLK ;
+  wire \B_0_0.WORD[11].W.B0.SEL_B ;
+  wire \B_0_0.WORD[11].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[11].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[11].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[11].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[11].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[11].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[11].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[11].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[11].W.B0.we_wire ;
+  wire \B_0_0.WORD[11].W.B1.GCLK ;
+  wire \B_0_0.WORD[11].W.B1.SEL_B ;
+  wire \B_0_0.WORD[11].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[11].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[11].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[11].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[11].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[11].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[11].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[11].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[11].W.B1.we_wire ;
+  wire \B_0_0.WORD[11].W.B2.GCLK ;
+  wire \B_0_0.WORD[11].W.B2.SEL_B ;
+  wire \B_0_0.WORD[11].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[11].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[11].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[11].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[11].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[11].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[11].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[11].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[11].W.B2.we_wire ;
+  wire \B_0_0.WORD[11].W.B3.GCLK ;
+  wire \B_0_0.WORD[11].W.B3.SEL_B ;
+  wire \B_0_0.WORD[11].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[11].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[11].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[11].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[11].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[11].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[11].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[11].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[11].W.B3.we_wire ;
+  wire \B_0_0.WORD[12].W.B0.GCLK ;
+  wire \B_0_0.WORD[12].W.B0.SEL_B ;
+  wire \B_0_0.WORD[12].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[12].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[12].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[12].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[12].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[12].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[12].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[12].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[12].W.B0.we_wire ;
+  wire \B_0_0.WORD[12].W.B1.GCLK ;
+  wire \B_0_0.WORD[12].W.B1.SEL_B ;
+  wire \B_0_0.WORD[12].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[12].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[12].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[12].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[12].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[12].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[12].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[12].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[12].W.B1.we_wire ;
+  wire \B_0_0.WORD[12].W.B2.GCLK ;
+  wire \B_0_0.WORD[12].W.B2.SEL_B ;
+  wire \B_0_0.WORD[12].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[12].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[12].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[12].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[12].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[12].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[12].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[12].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[12].W.B2.we_wire ;
+  wire \B_0_0.WORD[12].W.B3.GCLK ;
+  wire \B_0_0.WORD[12].W.B3.SEL_B ;
+  wire \B_0_0.WORD[12].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[12].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[12].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[12].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[12].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[12].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[12].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[12].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[12].W.B3.we_wire ;
+  wire \B_0_0.WORD[13].W.B0.GCLK ;
+  wire \B_0_0.WORD[13].W.B0.SEL_B ;
+  wire \B_0_0.WORD[13].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[13].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[13].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[13].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[13].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[13].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[13].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[13].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[13].W.B0.we_wire ;
+  wire \B_0_0.WORD[13].W.B1.GCLK ;
+  wire \B_0_0.WORD[13].W.B1.SEL_B ;
+  wire \B_0_0.WORD[13].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[13].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[13].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[13].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[13].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[13].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[13].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[13].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[13].W.B1.we_wire ;
+  wire \B_0_0.WORD[13].W.B2.GCLK ;
+  wire \B_0_0.WORD[13].W.B2.SEL_B ;
+  wire \B_0_0.WORD[13].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[13].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[13].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[13].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[13].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[13].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[13].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[13].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[13].W.B2.we_wire ;
+  wire \B_0_0.WORD[13].W.B3.GCLK ;
+  wire \B_0_0.WORD[13].W.B3.SEL_B ;
+  wire \B_0_0.WORD[13].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[13].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[13].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[13].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[13].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[13].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[13].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[13].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[13].W.B3.we_wire ;
+  wire \B_0_0.WORD[14].W.B0.GCLK ;
+  wire \B_0_0.WORD[14].W.B0.SEL_B ;
+  wire \B_0_0.WORD[14].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[14].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[14].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[14].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[14].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[14].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[14].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[14].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[14].W.B0.we_wire ;
+  wire \B_0_0.WORD[14].W.B1.GCLK ;
+  wire \B_0_0.WORD[14].W.B1.SEL_B ;
+  wire \B_0_0.WORD[14].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[14].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[14].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[14].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[14].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[14].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[14].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[14].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[14].W.B1.we_wire ;
+  wire \B_0_0.WORD[14].W.B2.GCLK ;
+  wire \B_0_0.WORD[14].W.B2.SEL_B ;
+  wire \B_0_0.WORD[14].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[14].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[14].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[14].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[14].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[14].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[14].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[14].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[14].W.B2.we_wire ;
+  wire \B_0_0.WORD[14].W.B3.GCLK ;
+  wire \B_0_0.WORD[14].W.B3.SEL_B ;
+  wire \B_0_0.WORD[14].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[14].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[14].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[14].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[14].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[14].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[14].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[14].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[14].W.B3.we_wire ;
+  wire \B_0_0.WORD[15].W.B0.GCLK ;
+  wire \B_0_0.WORD[15].W.B0.SEL_B ;
+  wire \B_0_0.WORD[15].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[15].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[15].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[15].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[15].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[15].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[15].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[15].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[15].W.B0.we_wire ;
+  wire \B_0_0.WORD[15].W.B1.GCLK ;
+  wire \B_0_0.WORD[15].W.B1.SEL_B ;
+  wire \B_0_0.WORD[15].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[15].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[15].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[15].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[15].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[15].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[15].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[15].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[15].W.B1.we_wire ;
+  wire \B_0_0.WORD[15].W.B2.GCLK ;
+  wire \B_0_0.WORD[15].W.B2.SEL_B ;
+  wire \B_0_0.WORD[15].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[15].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[15].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[15].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[15].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[15].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[15].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[15].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[15].W.B2.we_wire ;
+  wire \B_0_0.WORD[15].W.B3.GCLK ;
+  wire \B_0_0.WORD[15].W.B3.SEL_B ;
+  wire \B_0_0.WORD[15].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[15].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[15].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[15].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[15].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[15].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[15].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[15].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[15].W.B3.we_wire ;
+  wire \B_0_0.WORD[16].W.B0.GCLK ;
+  wire \B_0_0.WORD[16].W.B0.SEL_B ;
+  wire \B_0_0.WORD[16].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[16].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[16].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[16].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[16].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[16].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[16].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[16].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[16].W.B0.we_wire ;
+  wire \B_0_0.WORD[16].W.B1.GCLK ;
+  wire \B_0_0.WORD[16].W.B1.SEL_B ;
+  wire \B_0_0.WORD[16].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[16].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[16].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[16].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[16].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[16].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[16].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[16].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[16].W.B1.we_wire ;
+  wire \B_0_0.WORD[16].W.B2.GCLK ;
+  wire \B_0_0.WORD[16].W.B2.SEL_B ;
+  wire \B_0_0.WORD[16].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[16].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[16].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[16].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[16].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[16].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[16].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[16].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[16].W.B2.we_wire ;
+  wire \B_0_0.WORD[16].W.B3.GCLK ;
+  wire \B_0_0.WORD[16].W.B3.SEL_B ;
+  wire \B_0_0.WORD[16].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[16].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[16].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[16].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[16].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[16].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[16].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[16].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[16].W.B3.we_wire ;
+  wire \B_0_0.WORD[17].W.B0.GCLK ;
+  wire \B_0_0.WORD[17].W.B0.SEL_B ;
+  wire \B_0_0.WORD[17].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[17].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[17].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[17].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[17].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[17].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[17].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[17].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[17].W.B0.we_wire ;
+  wire \B_0_0.WORD[17].W.B1.GCLK ;
+  wire \B_0_0.WORD[17].W.B1.SEL_B ;
+  wire \B_0_0.WORD[17].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[17].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[17].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[17].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[17].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[17].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[17].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[17].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[17].W.B1.we_wire ;
+  wire \B_0_0.WORD[17].W.B2.GCLK ;
+  wire \B_0_0.WORD[17].W.B2.SEL_B ;
+  wire \B_0_0.WORD[17].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[17].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[17].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[17].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[17].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[17].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[17].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[17].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[17].W.B2.we_wire ;
+  wire \B_0_0.WORD[17].W.B3.GCLK ;
+  wire \B_0_0.WORD[17].W.B3.SEL_B ;
+  wire \B_0_0.WORD[17].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[17].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[17].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[17].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[17].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[17].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[17].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[17].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[17].W.B3.we_wire ;
+  wire \B_0_0.WORD[18].W.B0.GCLK ;
+  wire \B_0_0.WORD[18].W.B0.SEL_B ;
+  wire \B_0_0.WORD[18].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[18].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[18].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[18].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[18].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[18].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[18].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[18].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[18].W.B0.we_wire ;
+  wire \B_0_0.WORD[18].W.B1.GCLK ;
+  wire \B_0_0.WORD[18].W.B1.SEL_B ;
+  wire \B_0_0.WORD[18].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[18].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[18].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[18].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[18].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[18].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[18].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[18].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[18].W.B1.we_wire ;
+  wire \B_0_0.WORD[18].W.B2.GCLK ;
+  wire \B_0_0.WORD[18].W.B2.SEL_B ;
+  wire \B_0_0.WORD[18].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[18].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[18].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[18].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[18].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[18].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[18].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[18].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[18].W.B2.we_wire ;
+  wire \B_0_0.WORD[18].W.B3.GCLK ;
+  wire \B_0_0.WORD[18].W.B3.SEL_B ;
+  wire \B_0_0.WORD[18].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[18].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[18].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[18].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[18].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[18].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[18].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[18].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[18].W.B3.we_wire ;
+  wire \B_0_0.WORD[19].W.B0.GCLK ;
+  wire \B_0_0.WORD[19].W.B0.SEL_B ;
+  wire \B_0_0.WORD[19].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[19].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[19].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[19].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[19].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[19].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[19].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[19].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[19].W.B0.we_wire ;
+  wire \B_0_0.WORD[19].W.B1.GCLK ;
+  wire \B_0_0.WORD[19].W.B1.SEL_B ;
+  wire \B_0_0.WORD[19].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[19].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[19].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[19].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[19].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[19].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[19].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[19].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[19].W.B1.we_wire ;
+  wire \B_0_0.WORD[19].W.B2.GCLK ;
+  wire \B_0_0.WORD[19].W.B2.SEL_B ;
+  wire \B_0_0.WORD[19].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[19].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[19].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[19].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[19].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[19].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[19].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[19].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[19].W.B2.we_wire ;
+  wire \B_0_0.WORD[19].W.B3.GCLK ;
+  wire \B_0_0.WORD[19].W.B3.SEL_B ;
+  wire \B_0_0.WORD[19].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[19].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[19].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[19].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[19].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[19].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[19].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[19].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[19].W.B3.we_wire ;
+  wire \B_0_0.WORD[1].W.B0.GCLK ;
+  wire \B_0_0.WORD[1].W.B0.SEL_B ;
+  wire \B_0_0.WORD[1].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[1].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[1].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[1].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[1].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[1].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[1].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[1].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[1].W.B0.we_wire ;
+  wire \B_0_0.WORD[1].W.B1.GCLK ;
+  wire \B_0_0.WORD[1].W.B1.SEL_B ;
+  wire \B_0_0.WORD[1].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[1].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[1].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[1].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[1].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[1].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[1].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[1].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[1].W.B1.we_wire ;
+  wire \B_0_0.WORD[1].W.B2.GCLK ;
+  wire \B_0_0.WORD[1].W.B2.SEL_B ;
+  wire \B_0_0.WORD[1].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[1].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[1].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[1].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[1].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[1].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[1].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[1].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[1].W.B2.we_wire ;
+  wire \B_0_0.WORD[1].W.B3.GCLK ;
+  wire \B_0_0.WORD[1].W.B3.SEL_B ;
+  wire \B_0_0.WORD[1].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[1].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[1].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[1].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[1].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[1].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[1].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[1].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[1].W.B3.we_wire ;
+  wire \B_0_0.WORD[20].W.B0.GCLK ;
+  wire \B_0_0.WORD[20].W.B0.SEL_B ;
+  wire \B_0_0.WORD[20].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[20].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[20].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[20].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[20].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[20].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[20].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[20].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[20].W.B0.we_wire ;
+  wire \B_0_0.WORD[20].W.B1.GCLK ;
+  wire \B_0_0.WORD[20].W.B1.SEL_B ;
+  wire \B_0_0.WORD[20].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[20].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[20].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[20].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[20].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[20].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[20].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[20].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[20].W.B1.we_wire ;
+  wire \B_0_0.WORD[20].W.B2.GCLK ;
+  wire \B_0_0.WORD[20].W.B2.SEL_B ;
+  wire \B_0_0.WORD[20].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[20].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[20].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[20].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[20].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[20].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[20].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[20].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[20].W.B2.we_wire ;
+  wire \B_0_0.WORD[20].W.B3.GCLK ;
+  wire \B_0_0.WORD[20].W.B3.SEL_B ;
+  wire \B_0_0.WORD[20].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[20].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[20].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[20].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[20].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[20].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[20].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[20].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[20].W.B3.we_wire ;
+  wire \B_0_0.WORD[21].W.B0.GCLK ;
+  wire \B_0_0.WORD[21].W.B0.SEL_B ;
+  wire \B_0_0.WORD[21].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[21].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[21].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[21].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[21].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[21].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[21].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[21].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[21].W.B0.we_wire ;
+  wire \B_0_0.WORD[21].W.B1.GCLK ;
+  wire \B_0_0.WORD[21].W.B1.SEL_B ;
+  wire \B_0_0.WORD[21].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[21].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[21].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[21].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[21].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[21].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[21].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[21].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[21].W.B1.we_wire ;
+  wire \B_0_0.WORD[21].W.B2.GCLK ;
+  wire \B_0_0.WORD[21].W.B2.SEL_B ;
+  wire \B_0_0.WORD[21].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[21].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[21].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[21].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[21].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[21].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[21].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[21].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[21].W.B2.we_wire ;
+  wire \B_0_0.WORD[21].W.B3.GCLK ;
+  wire \B_0_0.WORD[21].W.B3.SEL_B ;
+  wire \B_0_0.WORD[21].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[21].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[21].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[21].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[21].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[21].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[21].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[21].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[21].W.B3.we_wire ;
+  wire \B_0_0.WORD[22].W.B0.GCLK ;
+  wire \B_0_0.WORD[22].W.B0.SEL_B ;
+  wire \B_0_0.WORD[22].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[22].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[22].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[22].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[22].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[22].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[22].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[22].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[22].W.B0.we_wire ;
+  wire \B_0_0.WORD[22].W.B1.GCLK ;
+  wire \B_0_0.WORD[22].W.B1.SEL_B ;
+  wire \B_0_0.WORD[22].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[22].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[22].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[22].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[22].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[22].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[22].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[22].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[22].W.B1.we_wire ;
+  wire \B_0_0.WORD[22].W.B2.GCLK ;
+  wire \B_0_0.WORD[22].W.B2.SEL_B ;
+  wire \B_0_0.WORD[22].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[22].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[22].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[22].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[22].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[22].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[22].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[22].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[22].W.B2.we_wire ;
+  wire \B_0_0.WORD[22].W.B3.GCLK ;
+  wire \B_0_0.WORD[22].W.B3.SEL_B ;
+  wire \B_0_0.WORD[22].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[22].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[22].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[22].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[22].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[22].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[22].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[22].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[22].W.B3.we_wire ;
+  wire \B_0_0.WORD[23].W.B0.GCLK ;
+  wire \B_0_0.WORD[23].W.B0.SEL_B ;
+  wire \B_0_0.WORD[23].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[23].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[23].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[23].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[23].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[23].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[23].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[23].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[23].W.B0.we_wire ;
+  wire \B_0_0.WORD[23].W.B1.GCLK ;
+  wire \B_0_0.WORD[23].W.B1.SEL_B ;
+  wire \B_0_0.WORD[23].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[23].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[23].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[23].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[23].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[23].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[23].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[23].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[23].W.B1.we_wire ;
+  wire \B_0_0.WORD[23].W.B2.GCLK ;
+  wire \B_0_0.WORD[23].W.B2.SEL_B ;
+  wire \B_0_0.WORD[23].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[23].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[23].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[23].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[23].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[23].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[23].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[23].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[23].W.B2.we_wire ;
+  wire \B_0_0.WORD[23].W.B3.GCLK ;
+  wire \B_0_0.WORD[23].W.B3.SEL_B ;
+  wire \B_0_0.WORD[23].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[23].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[23].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[23].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[23].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[23].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[23].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[23].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[23].W.B3.we_wire ;
+  wire \B_0_0.WORD[24].W.B0.GCLK ;
+  wire \B_0_0.WORD[24].W.B0.SEL_B ;
+  wire \B_0_0.WORD[24].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[24].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[24].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[24].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[24].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[24].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[24].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[24].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[24].W.B0.we_wire ;
+  wire \B_0_0.WORD[24].W.B1.GCLK ;
+  wire \B_0_0.WORD[24].W.B1.SEL_B ;
+  wire \B_0_0.WORD[24].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[24].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[24].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[24].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[24].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[24].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[24].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[24].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[24].W.B1.we_wire ;
+  wire \B_0_0.WORD[24].W.B2.GCLK ;
+  wire \B_0_0.WORD[24].W.B2.SEL_B ;
+  wire \B_0_0.WORD[24].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[24].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[24].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[24].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[24].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[24].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[24].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[24].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[24].W.B2.we_wire ;
+  wire \B_0_0.WORD[24].W.B3.GCLK ;
+  wire \B_0_0.WORD[24].W.B3.SEL_B ;
+  wire \B_0_0.WORD[24].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[24].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[24].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[24].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[24].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[24].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[24].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[24].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[24].W.B3.we_wire ;
+  wire \B_0_0.WORD[25].W.B0.GCLK ;
+  wire \B_0_0.WORD[25].W.B0.SEL_B ;
+  wire \B_0_0.WORD[25].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[25].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[25].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[25].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[25].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[25].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[25].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[25].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[25].W.B0.we_wire ;
+  wire \B_0_0.WORD[25].W.B1.GCLK ;
+  wire \B_0_0.WORD[25].W.B1.SEL_B ;
+  wire \B_0_0.WORD[25].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[25].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[25].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[25].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[25].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[25].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[25].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[25].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[25].W.B1.we_wire ;
+  wire \B_0_0.WORD[25].W.B2.GCLK ;
+  wire \B_0_0.WORD[25].W.B2.SEL_B ;
+  wire \B_0_0.WORD[25].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[25].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[25].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[25].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[25].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[25].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[25].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[25].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[25].W.B2.we_wire ;
+  wire \B_0_0.WORD[25].W.B3.GCLK ;
+  wire \B_0_0.WORD[25].W.B3.SEL_B ;
+  wire \B_0_0.WORD[25].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[25].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[25].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[25].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[25].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[25].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[25].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[25].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[25].W.B3.we_wire ;
+  wire \B_0_0.WORD[26].W.B0.GCLK ;
+  wire \B_0_0.WORD[26].W.B0.SEL_B ;
+  wire \B_0_0.WORD[26].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[26].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[26].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[26].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[26].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[26].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[26].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[26].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[26].W.B0.we_wire ;
+  wire \B_0_0.WORD[26].W.B1.GCLK ;
+  wire \B_0_0.WORD[26].W.B1.SEL_B ;
+  wire \B_0_0.WORD[26].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[26].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[26].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[26].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[26].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[26].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[26].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[26].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[26].W.B1.we_wire ;
+  wire \B_0_0.WORD[26].W.B2.GCLK ;
+  wire \B_0_0.WORD[26].W.B2.SEL_B ;
+  wire \B_0_0.WORD[26].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[26].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[26].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[26].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[26].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[26].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[26].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[26].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[26].W.B2.we_wire ;
+  wire \B_0_0.WORD[26].W.B3.GCLK ;
+  wire \B_0_0.WORD[26].W.B3.SEL_B ;
+  wire \B_0_0.WORD[26].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[26].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[26].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[26].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[26].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[26].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[26].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[26].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[26].W.B3.we_wire ;
+  wire \B_0_0.WORD[27].W.B0.GCLK ;
+  wire \B_0_0.WORD[27].W.B0.SEL_B ;
+  wire \B_0_0.WORD[27].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[27].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[27].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[27].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[27].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[27].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[27].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[27].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[27].W.B0.we_wire ;
+  wire \B_0_0.WORD[27].W.B1.GCLK ;
+  wire \B_0_0.WORD[27].W.B1.SEL_B ;
+  wire \B_0_0.WORD[27].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[27].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[27].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[27].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[27].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[27].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[27].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[27].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[27].W.B1.we_wire ;
+  wire \B_0_0.WORD[27].W.B2.GCLK ;
+  wire \B_0_0.WORD[27].W.B2.SEL_B ;
+  wire \B_0_0.WORD[27].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[27].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[27].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[27].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[27].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[27].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[27].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[27].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[27].W.B2.we_wire ;
+  wire \B_0_0.WORD[27].W.B3.GCLK ;
+  wire \B_0_0.WORD[27].W.B3.SEL_B ;
+  wire \B_0_0.WORD[27].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[27].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[27].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[27].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[27].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[27].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[27].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[27].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[27].W.B3.we_wire ;
+  wire \B_0_0.WORD[28].W.B0.GCLK ;
+  wire \B_0_0.WORD[28].W.B0.SEL_B ;
+  wire \B_0_0.WORD[28].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[28].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[28].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[28].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[28].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[28].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[28].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[28].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[28].W.B0.we_wire ;
+  wire \B_0_0.WORD[28].W.B1.GCLK ;
+  wire \B_0_0.WORD[28].W.B1.SEL_B ;
+  wire \B_0_0.WORD[28].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[28].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[28].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[28].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[28].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[28].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[28].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[28].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[28].W.B1.we_wire ;
+  wire \B_0_0.WORD[28].W.B2.GCLK ;
+  wire \B_0_0.WORD[28].W.B2.SEL_B ;
+  wire \B_0_0.WORD[28].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[28].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[28].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[28].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[28].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[28].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[28].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[28].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[28].W.B2.we_wire ;
+  wire \B_0_0.WORD[28].W.B3.GCLK ;
+  wire \B_0_0.WORD[28].W.B3.SEL_B ;
+  wire \B_0_0.WORD[28].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[28].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[28].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[28].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[28].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[28].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[28].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[28].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[28].W.B3.we_wire ;
+  wire \B_0_0.WORD[29].W.B0.GCLK ;
+  wire \B_0_0.WORD[29].W.B0.SEL_B ;
+  wire \B_0_0.WORD[29].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[29].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[29].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[29].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[29].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[29].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[29].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[29].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[29].W.B0.we_wire ;
+  wire \B_0_0.WORD[29].W.B1.GCLK ;
+  wire \B_0_0.WORD[29].W.B1.SEL_B ;
+  wire \B_0_0.WORD[29].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[29].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[29].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[29].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[29].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[29].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[29].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[29].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[29].W.B1.we_wire ;
+  wire \B_0_0.WORD[29].W.B2.GCLK ;
+  wire \B_0_0.WORD[29].W.B2.SEL_B ;
+  wire \B_0_0.WORD[29].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[29].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[29].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[29].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[29].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[29].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[29].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[29].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[29].W.B2.we_wire ;
+  wire \B_0_0.WORD[29].W.B3.GCLK ;
+  wire \B_0_0.WORD[29].W.B3.SEL_B ;
+  wire \B_0_0.WORD[29].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[29].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[29].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[29].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[29].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[29].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[29].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[29].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[29].W.B3.we_wire ;
+  wire \B_0_0.WORD[2].W.B0.GCLK ;
+  wire \B_0_0.WORD[2].W.B0.SEL_B ;
+  wire \B_0_0.WORD[2].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[2].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[2].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[2].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[2].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[2].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[2].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[2].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[2].W.B0.we_wire ;
+  wire \B_0_0.WORD[2].W.B1.GCLK ;
+  wire \B_0_0.WORD[2].W.B1.SEL_B ;
+  wire \B_0_0.WORD[2].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[2].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[2].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[2].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[2].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[2].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[2].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[2].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[2].W.B1.we_wire ;
+  wire \B_0_0.WORD[2].W.B2.GCLK ;
+  wire \B_0_0.WORD[2].W.B2.SEL_B ;
+  wire \B_0_0.WORD[2].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[2].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[2].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[2].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[2].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[2].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[2].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[2].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[2].W.B2.we_wire ;
+  wire \B_0_0.WORD[2].W.B3.GCLK ;
+  wire \B_0_0.WORD[2].W.B3.SEL_B ;
+  wire \B_0_0.WORD[2].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[2].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[2].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[2].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[2].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[2].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[2].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[2].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[2].W.B3.we_wire ;
+  wire \B_0_0.WORD[30].W.B0.GCLK ;
+  wire \B_0_0.WORD[30].W.B0.SEL_B ;
+  wire \B_0_0.WORD[30].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[30].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[30].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[30].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[30].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[30].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[30].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[30].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[30].W.B0.we_wire ;
+  wire \B_0_0.WORD[30].W.B1.GCLK ;
+  wire \B_0_0.WORD[30].W.B1.SEL_B ;
+  wire \B_0_0.WORD[30].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[30].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[30].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[30].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[30].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[30].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[30].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[30].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[30].W.B1.we_wire ;
+  wire \B_0_0.WORD[30].W.B2.GCLK ;
+  wire \B_0_0.WORD[30].W.B2.SEL_B ;
+  wire \B_0_0.WORD[30].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[30].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[30].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[30].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[30].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[30].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[30].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[30].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[30].W.B2.we_wire ;
+  wire \B_0_0.WORD[30].W.B3.GCLK ;
+  wire \B_0_0.WORD[30].W.B3.SEL_B ;
+  wire \B_0_0.WORD[30].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[30].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[30].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[30].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[30].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[30].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[30].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[30].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[30].W.B3.we_wire ;
+  wire \B_0_0.WORD[31].W.B0.GCLK ;
+  wire \B_0_0.WORD[31].W.B0.SEL_B ;
+  wire \B_0_0.WORD[31].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[31].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[31].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[31].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[31].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[31].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[31].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[31].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[31].W.B0.we_wire ;
+  wire \B_0_0.WORD[31].W.B1.GCLK ;
+  wire \B_0_0.WORD[31].W.B1.SEL_B ;
+  wire \B_0_0.WORD[31].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[31].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[31].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[31].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[31].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[31].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[31].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[31].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[31].W.B1.we_wire ;
+  wire \B_0_0.WORD[31].W.B2.GCLK ;
+  wire \B_0_0.WORD[31].W.B2.SEL_B ;
+  wire \B_0_0.WORD[31].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[31].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[31].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[31].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[31].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[31].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[31].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[31].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[31].W.B2.we_wire ;
+  wire \B_0_0.WORD[31].W.B3.GCLK ;
+  wire \B_0_0.WORD[31].W.B3.SEL_B ;
+  wire \B_0_0.WORD[31].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[31].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[31].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[31].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[31].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[31].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[31].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[31].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[31].W.B3.we_wire ;
+  wire \B_0_0.WORD[32].W.B0.GCLK ;
+  wire \B_0_0.WORD[32].W.B0.SEL_B ;
+  wire \B_0_0.WORD[32].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[32].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[32].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[32].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[32].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[32].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[32].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[32].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[32].W.B0.we_wire ;
+  wire \B_0_0.WORD[32].W.B1.GCLK ;
+  wire \B_0_0.WORD[32].W.B1.SEL_B ;
+  wire \B_0_0.WORD[32].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[32].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[32].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[32].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[32].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[32].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[32].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[32].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[32].W.B1.we_wire ;
+  wire \B_0_0.WORD[32].W.B2.GCLK ;
+  wire \B_0_0.WORD[32].W.B2.SEL_B ;
+  wire \B_0_0.WORD[32].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[32].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[32].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[32].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[32].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[32].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[32].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[32].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[32].W.B2.we_wire ;
+  wire \B_0_0.WORD[32].W.B3.GCLK ;
+  wire \B_0_0.WORD[32].W.B3.SEL_B ;
+  wire \B_0_0.WORD[32].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[32].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[32].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[32].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[32].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[32].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[32].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[32].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[32].W.B3.we_wire ;
+  wire \B_0_0.WORD[33].W.B0.GCLK ;
+  wire \B_0_0.WORD[33].W.B0.SEL_B ;
+  wire \B_0_0.WORD[33].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[33].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[33].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[33].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[33].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[33].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[33].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[33].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[33].W.B0.we_wire ;
+  wire \B_0_0.WORD[33].W.B1.GCLK ;
+  wire \B_0_0.WORD[33].W.B1.SEL_B ;
+  wire \B_0_0.WORD[33].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[33].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[33].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[33].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[33].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[33].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[33].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[33].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[33].W.B1.we_wire ;
+  wire \B_0_0.WORD[33].W.B2.GCLK ;
+  wire \B_0_0.WORD[33].W.B2.SEL_B ;
+  wire \B_0_0.WORD[33].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[33].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[33].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[33].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[33].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[33].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[33].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[33].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[33].W.B2.we_wire ;
+  wire \B_0_0.WORD[33].W.B3.GCLK ;
+  wire \B_0_0.WORD[33].W.B3.SEL_B ;
+  wire \B_0_0.WORD[33].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[33].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[33].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[33].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[33].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[33].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[33].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[33].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[33].W.B3.we_wire ;
+  wire \B_0_0.WORD[34].W.B0.GCLK ;
+  wire \B_0_0.WORD[34].W.B0.SEL_B ;
+  wire \B_0_0.WORD[34].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[34].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[34].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[34].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[34].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[34].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[34].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[34].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[34].W.B0.we_wire ;
+  wire \B_0_0.WORD[34].W.B1.GCLK ;
+  wire \B_0_0.WORD[34].W.B1.SEL_B ;
+  wire \B_0_0.WORD[34].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[34].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[34].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[34].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[34].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[34].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[34].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[34].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[34].W.B1.we_wire ;
+  wire \B_0_0.WORD[34].W.B2.GCLK ;
+  wire \B_0_0.WORD[34].W.B2.SEL_B ;
+  wire \B_0_0.WORD[34].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[34].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[34].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[34].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[34].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[34].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[34].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[34].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[34].W.B2.we_wire ;
+  wire \B_0_0.WORD[34].W.B3.GCLK ;
+  wire \B_0_0.WORD[34].W.B3.SEL_B ;
+  wire \B_0_0.WORD[34].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[34].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[34].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[34].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[34].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[34].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[34].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[34].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[34].W.B3.we_wire ;
+  wire \B_0_0.WORD[35].W.B0.GCLK ;
+  wire \B_0_0.WORD[35].W.B0.SEL_B ;
+  wire \B_0_0.WORD[35].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[35].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[35].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[35].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[35].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[35].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[35].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[35].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[35].W.B0.we_wire ;
+  wire \B_0_0.WORD[35].W.B1.GCLK ;
+  wire \B_0_0.WORD[35].W.B1.SEL_B ;
+  wire \B_0_0.WORD[35].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[35].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[35].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[35].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[35].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[35].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[35].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[35].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[35].W.B1.we_wire ;
+  wire \B_0_0.WORD[35].W.B2.GCLK ;
+  wire \B_0_0.WORD[35].W.B2.SEL_B ;
+  wire \B_0_0.WORD[35].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[35].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[35].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[35].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[35].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[35].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[35].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[35].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[35].W.B2.we_wire ;
+  wire \B_0_0.WORD[35].W.B3.GCLK ;
+  wire \B_0_0.WORD[35].W.B3.SEL_B ;
+  wire \B_0_0.WORD[35].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[35].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[35].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[35].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[35].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[35].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[35].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[35].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[35].W.B3.we_wire ;
+  wire \B_0_0.WORD[36].W.B0.GCLK ;
+  wire \B_0_0.WORD[36].W.B0.SEL_B ;
+  wire \B_0_0.WORD[36].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[36].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[36].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[36].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[36].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[36].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[36].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[36].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[36].W.B0.we_wire ;
+  wire \B_0_0.WORD[36].W.B1.GCLK ;
+  wire \B_0_0.WORD[36].W.B1.SEL_B ;
+  wire \B_0_0.WORD[36].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[36].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[36].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[36].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[36].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[36].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[36].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[36].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[36].W.B1.we_wire ;
+  wire \B_0_0.WORD[36].W.B2.GCLK ;
+  wire \B_0_0.WORD[36].W.B2.SEL_B ;
+  wire \B_0_0.WORD[36].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[36].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[36].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[36].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[36].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[36].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[36].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[36].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[36].W.B2.we_wire ;
+  wire \B_0_0.WORD[36].W.B3.GCLK ;
+  wire \B_0_0.WORD[36].W.B3.SEL_B ;
+  wire \B_0_0.WORD[36].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[36].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[36].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[36].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[36].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[36].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[36].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[36].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[36].W.B3.we_wire ;
+  wire \B_0_0.WORD[37].W.B0.GCLK ;
+  wire \B_0_0.WORD[37].W.B0.SEL_B ;
+  wire \B_0_0.WORD[37].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[37].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[37].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[37].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[37].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[37].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[37].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[37].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[37].W.B0.we_wire ;
+  wire \B_0_0.WORD[37].W.B1.GCLK ;
+  wire \B_0_0.WORD[37].W.B1.SEL_B ;
+  wire \B_0_0.WORD[37].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[37].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[37].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[37].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[37].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[37].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[37].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[37].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[37].W.B1.we_wire ;
+  wire \B_0_0.WORD[37].W.B2.GCLK ;
+  wire \B_0_0.WORD[37].W.B2.SEL_B ;
+  wire \B_0_0.WORD[37].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[37].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[37].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[37].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[37].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[37].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[37].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[37].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[37].W.B2.we_wire ;
+  wire \B_0_0.WORD[37].W.B3.GCLK ;
+  wire \B_0_0.WORD[37].W.B3.SEL_B ;
+  wire \B_0_0.WORD[37].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[37].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[37].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[37].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[37].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[37].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[37].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[37].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[37].W.B3.we_wire ;
+  wire \B_0_0.WORD[38].W.B0.GCLK ;
+  wire \B_0_0.WORD[38].W.B0.SEL_B ;
+  wire \B_0_0.WORD[38].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[38].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[38].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[38].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[38].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[38].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[38].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[38].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[38].W.B0.we_wire ;
+  wire \B_0_0.WORD[38].W.B1.GCLK ;
+  wire \B_0_0.WORD[38].W.B1.SEL_B ;
+  wire \B_0_0.WORD[38].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[38].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[38].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[38].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[38].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[38].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[38].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[38].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[38].W.B1.we_wire ;
+  wire \B_0_0.WORD[38].W.B2.GCLK ;
+  wire \B_0_0.WORD[38].W.B2.SEL_B ;
+  wire \B_0_0.WORD[38].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[38].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[38].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[38].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[38].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[38].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[38].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[38].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[38].W.B2.we_wire ;
+  wire \B_0_0.WORD[38].W.B3.GCLK ;
+  wire \B_0_0.WORD[38].W.B3.SEL_B ;
+  wire \B_0_0.WORD[38].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[38].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[38].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[38].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[38].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[38].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[38].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[38].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[38].W.B3.we_wire ;
+  wire \B_0_0.WORD[39].W.B0.GCLK ;
+  wire \B_0_0.WORD[39].W.B0.SEL_B ;
+  wire \B_0_0.WORD[39].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[39].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[39].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[39].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[39].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[39].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[39].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[39].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[39].W.B0.we_wire ;
+  wire \B_0_0.WORD[39].W.B1.GCLK ;
+  wire \B_0_0.WORD[39].W.B1.SEL_B ;
+  wire \B_0_0.WORD[39].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[39].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[39].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[39].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[39].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[39].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[39].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[39].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[39].W.B1.we_wire ;
+  wire \B_0_0.WORD[39].W.B2.GCLK ;
+  wire \B_0_0.WORD[39].W.B2.SEL_B ;
+  wire \B_0_0.WORD[39].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[39].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[39].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[39].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[39].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[39].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[39].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[39].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[39].W.B2.we_wire ;
+  wire \B_0_0.WORD[39].W.B3.GCLK ;
+  wire \B_0_0.WORD[39].W.B3.SEL_B ;
+  wire \B_0_0.WORD[39].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[39].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[39].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[39].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[39].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[39].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[39].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[39].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[39].W.B3.we_wire ;
+  wire \B_0_0.WORD[3].W.B0.GCLK ;
+  wire \B_0_0.WORD[3].W.B0.SEL_B ;
+  wire \B_0_0.WORD[3].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[3].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[3].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[3].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[3].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[3].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[3].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[3].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[3].W.B0.we_wire ;
+  wire \B_0_0.WORD[3].W.B1.GCLK ;
+  wire \B_0_0.WORD[3].W.B1.SEL_B ;
+  wire \B_0_0.WORD[3].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[3].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[3].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[3].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[3].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[3].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[3].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[3].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[3].W.B1.we_wire ;
+  wire \B_0_0.WORD[3].W.B2.GCLK ;
+  wire \B_0_0.WORD[3].W.B2.SEL_B ;
+  wire \B_0_0.WORD[3].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[3].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[3].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[3].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[3].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[3].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[3].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[3].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[3].W.B2.we_wire ;
+  wire \B_0_0.WORD[3].W.B3.GCLK ;
+  wire \B_0_0.WORD[3].W.B3.SEL_B ;
+  wire \B_0_0.WORD[3].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[3].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[3].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[3].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[3].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[3].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[3].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[3].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[3].W.B3.we_wire ;
+  wire \B_0_0.WORD[40].W.B0.GCLK ;
+  wire \B_0_0.WORD[40].W.B0.SEL_B ;
+  wire \B_0_0.WORD[40].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[40].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[40].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[40].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[40].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[40].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[40].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[40].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[40].W.B0.we_wire ;
+  wire \B_0_0.WORD[40].W.B1.GCLK ;
+  wire \B_0_0.WORD[40].W.B1.SEL_B ;
+  wire \B_0_0.WORD[40].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[40].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[40].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[40].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[40].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[40].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[40].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[40].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[40].W.B1.we_wire ;
+  wire \B_0_0.WORD[40].W.B2.GCLK ;
+  wire \B_0_0.WORD[40].W.B2.SEL_B ;
+  wire \B_0_0.WORD[40].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[40].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[40].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[40].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[40].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[40].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[40].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[40].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[40].W.B2.we_wire ;
+  wire \B_0_0.WORD[40].W.B3.GCLK ;
+  wire \B_0_0.WORD[40].W.B3.SEL_B ;
+  wire \B_0_0.WORD[40].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[40].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[40].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[40].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[40].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[40].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[40].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[40].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[40].W.B3.we_wire ;
+  wire \B_0_0.WORD[41].W.B0.GCLK ;
+  wire \B_0_0.WORD[41].W.B0.SEL_B ;
+  wire \B_0_0.WORD[41].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[41].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[41].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[41].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[41].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[41].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[41].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[41].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[41].W.B0.we_wire ;
+  wire \B_0_0.WORD[41].W.B1.GCLK ;
+  wire \B_0_0.WORD[41].W.B1.SEL_B ;
+  wire \B_0_0.WORD[41].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[41].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[41].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[41].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[41].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[41].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[41].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[41].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[41].W.B1.we_wire ;
+  wire \B_0_0.WORD[41].W.B2.GCLK ;
+  wire \B_0_0.WORD[41].W.B2.SEL_B ;
+  wire \B_0_0.WORD[41].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[41].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[41].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[41].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[41].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[41].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[41].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[41].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[41].W.B2.we_wire ;
+  wire \B_0_0.WORD[41].W.B3.GCLK ;
+  wire \B_0_0.WORD[41].W.B3.SEL_B ;
+  wire \B_0_0.WORD[41].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[41].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[41].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[41].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[41].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[41].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[41].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[41].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[41].W.B3.we_wire ;
+  wire \B_0_0.WORD[42].W.B0.GCLK ;
+  wire \B_0_0.WORD[42].W.B0.SEL_B ;
+  wire \B_0_0.WORD[42].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[42].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[42].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[42].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[42].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[42].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[42].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[42].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[42].W.B0.we_wire ;
+  wire \B_0_0.WORD[42].W.B1.GCLK ;
+  wire \B_0_0.WORD[42].W.B1.SEL_B ;
+  wire \B_0_0.WORD[42].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[42].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[42].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[42].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[42].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[42].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[42].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[42].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[42].W.B1.we_wire ;
+  wire \B_0_0.WORD[42].W.B2.GCLK ;
+  wire \B_0_0.WORD[42].W.B2.SEL_B ;
+  wire \B_0_0.WORD[42].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[42].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[42].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[42].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[42].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[42].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[42].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[42].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[42].W.B2.we_wire ;
+  wire \B_0_0.WORD[42].W.B3.GCLK ;
+  wire \B_0_0.WORD[42].W.B3.SEL_B ;
+  wire \B_0_0.WORD[42].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[42].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[42].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[42].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[42].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[42].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[42].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[42].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[42].W.B3.we_wire ;
+  wire \B_0_0.WORD[43].W.B0.GCLK ;
+  wire \B_0_0.WORD[43].W.B0.SEL_B ;
+  wire \B_0_0.WORD[43].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[43].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[43].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[43].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[43].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[43].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[43].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[43].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[43].W.B0.we_wire ;
+  wire \B_0_0.WORD[43].W.B1.GCLK ;
+  wire \B_0_0.WORD[43].W.B1.SEL_B ;
+  wire \B_0_0.WORD[43].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[43].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[43].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[43].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[43].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[43].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[43].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[43].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[43].W.B1.we_wire ;
+  wire \B_0_0.WORD[43].W.B2.GCLK ;
+  wire \B_0_0.WORD[43].W.B2.SEL_B ;
+  wire \B_0_0.WORD[43].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[43].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[43].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[43].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[43].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[43].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[43].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[43].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[43].W.B2.we_wire ;
+  wire \B_0_0.WORD[43].W.B3.GCLK ;
+  wire \B_0_0.WORD[43].W.B3.SEL_B ;
+  wire \B_0_0.WORD[43].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[43].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[43].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[43].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[43].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[43].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[43].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[43].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[43].W.B3.we_wire ;
+  wire \B_0_0.WORD[44].W.B0.GCLK ;
+  wire \B_0_0.WORD[44].W.B0.SEL_B ;
+  wire \B_0_0.WORD[44].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[44].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[44].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[44].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[44].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[44].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[44].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[44].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[44].W.B0.we_wire ;
+  wire \B_0_0.WORD[44].W.B1.GCLK ;
+  wire \B_0_0.WORD[44].W.B1.SEL_B ;
+  wire \B_0_0.WORD[44].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[44].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[44].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[44].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[44].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[44].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[44].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[44].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[44].W.B1.we_wire ;
+  wire \B_0_0.WORD[44].W.B2.GCLK ;
+  wire \B_0_0.WORD[44].W.B2.SEL_B ;
+  wire \B_0_0.WORD[44].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[44].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[44].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[44].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[44].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[44].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[44].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[44].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[44].W.B2.we_wire ;
+  wire \B_0_0.WORD[44].W.B3.GCLK ;
+  wire \B_0_0.WORD[44].W.B3.SEL_B ;
+  wire \B_0_0.WORD[44].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[44].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[44].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[44].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[44].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[44].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[44].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[44].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[44].W.B3.we_wire ;
+  wire \B_0_0.WORD[45].W.B0.GCLK ;
+  wire \B_0_0.WORD[45].W.B0.SEL_B ;
+  wire \B_0_0.WORD[45].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[45].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[45].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[45].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[45].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[45].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[45].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[45].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[45].W.B0.we_wire ;
+  wire \B_0_0.WORD[45].W.B1.GCLK ;
+  wire \B_0_0.WORD[45].W.B1.SEL_B ;
+  wire \B_0_0.WORD[45].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[45].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[45].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[45].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[45].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[45].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[45].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[45].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[45].W.B1.we_wire ;
+  wire \B_0_0.WORD[45].W.B2.GCLK ;
+  wire \B_0_0.WORD[45].W.B2.SEL_B ;
+  wire \B_0_0.WORD[45].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[45].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[45].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[45].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[45].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[45].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[45].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[45].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[45].W.B2.we_wire ;
+  wire \B_0_0.WORD[45].W.B3.GCLK ;
+  wire \B_0_0.WORD[45].W.B3.SEL_B ;
+  wire \B_0_0.WORD[45].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[45].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[45].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[45].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[45].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[45].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[45].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[45].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[45].W.B3.we_wire ;
+  wire \B_0_0.WORD[46].W.B0.GCLK ;
+  wire \B_0_0.WORD[46].W.B0.SEL_B ;
+  wire \B_0_0.WORD[46].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[46].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[46].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[46].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[46].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[46].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[46].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[46].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[46].W.B0.we_wire ;
+  wire \B_0_0.WORD[46].W.B1.GCLK ;
+  wire \B_0_0.WORD[46].W.B1.SEL_B ;
+  wire \B_0_0.WORD[46].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[46].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[46].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[46].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[46].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[46].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[46].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[46].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[46].W.B1.we_wire ;
+  wire \B_0_0.WORD[46].W.B2.GCLK ;
+  wire \B_0_0.WORD[46].W.B2.SEL_B ;
+  wire \B_0_0.WORD[46].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[46].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[46].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[46].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[46].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[46].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[46].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[46].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[46].W.B2.we_wire ;
+  wire \B_0_0.WORD[46].W.B3.GCLK ;
+  wire \B_0_0.WORD[46].W.B3.SEL_B ;
+  wire \B_0_0.WORD[46].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[46].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[46].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[46].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[46].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[46].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[46].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[46].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[46].W.B3.we_wire ;
+  wire \B_0_0.WORD[47].W.B0.GCLK ;
+  wire \B_0_0.WORD[47].W.B0.SEL_B ;
+  wire \B_0_0.WORD[47].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[47].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[47].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[47].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[47].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[47].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[47].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[47].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[47].W.B0.we_wire ;
+  wire \B_0_0.WORD[47].W.B1.GCLK ;
+  wire \B_0_0.WORD[47].W.B1.SEL_B ;
+  wire \B_0_0.WORD[47].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[47].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[47].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[47].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[47].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[47].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[47].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[47].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[47].W.B1.we_wire ;
+  wire \B_0_0.WORD[47].W.B2.GCLK ;
+  wire \B_0_0.WORD[47].W.B2.SEL_B ;
+  wire \B_0_0.WORD[47].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[47].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[47].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[47].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[47].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[47].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[47].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[47].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[47].W.B2.we_wire ;
+  wire \B_0_0.WORD[47].W.B3.GCLK ;
+  wire \B_0_0.WORD[47].W.B3.SEL_B ;
+  wire \B_0_0.WORD[47].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[47].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[47].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[47].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[47].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[47].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[47].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[47].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[47].W.B3.we_wire ;
+  wire \B_0_0.WORD[48].W.B0.GCLK ;
+  wire \B_0_0.WORD[48].W.B0.SEL_B ;
+  wire \B_0_0.WORD[48].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[48].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[48].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[48].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[48].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[48].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[48].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[48].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[48].W.B0.we_wire ;
+  wire \B_0_0.WORD[48].W.B1.GCLK ;
+  wire \B_0_0.WORD[48].W.B1.SEL_B ;
+  wire \B_0_0.WORD[48].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[48].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[48].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[48].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[48].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[48].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[48].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[48].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[48].W.B1.we_wire ;
+  wire \B_0_0.WORD[48].W.B2.GCLK ;
+  wire \B_0_0.WORD[48].W.B2.SEL_B ;
+  wire \B_0_0.WORD[48].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[48].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[48].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[48].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[48].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[48].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[48].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[48].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[48].W.B2.we_wire ;
+  wire \B_0_0.WORD[48].W.B3.GCLK ;
+  wire \B_0_0.WORD[48].W.B3.SEL_B ;
+  wire \B_0_0.WORD[48].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[48].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[48].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[48].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[48].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[48].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[48].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[48].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[48].W.B3.we_wire ;
+  wire \B_0_0.WORD[49].W.B0.GCLK ;
+  wire \B_0_0.WORD[49].W.B0.SEL_B ;
+  wire \B_0_0.WORD[49].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[49].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[49].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[49].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[49].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[49].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[49].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[49].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[49].W.B0.we_wire ;
+  wire \B_0_0.WORD[49].W.B1.GCLK ;
+  wire \B_0_0.WORD[49].W.B1.SEL_B ;
+  wire \B_0_0.WORD[49].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[49].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[49].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[49].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[49].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[49].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[49].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[49].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[49].W.B1.we_wire ;
+  wire \B_0_0.WORD[49].W.B2.GCLK ;
+  wire \B_0_0.WORD[49].W.B2.SEL_B ;
+  wire \B_0_0.WORD[49].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[49].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[49].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[49].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[49].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[49].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[49].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[49].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[49].W.B2.we_wire ;
+  wire \B_0_0.WORD[49].W.B3.GCLK ;
+  wire \B_0_0.WORD[49].W.B3.SEL_B ;
+  wire \B_0_0.WORD[49].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[49].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[49].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[49].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[49].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[49].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[49].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[49].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[49].W.B3.we_wire ;
+  wire \B_0_0.WORD[4].W.B0.GCLK ;
+  wire \B_0_0.WORD[4].W.B0.SEL_B ;
+  wire \B_0_0.WORD[4].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[4].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[4].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[4].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[4].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[4].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[4].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[4].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[4].W.B0.we_wire ;
+  wire \B_0_0.WORD[4].W.B1.GCLK ;
+  wire \B_0_0.WORD[4].W.B1.SEL_B ;
+  wire \B_0_0.WORD[4].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[4].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[4].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[4].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[4].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[4].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[4].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[4].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[4].W.B1.we_wire ;
+  wire \B_0_0.WORD[4].W.B2.GCLK ;
+  wire \B_0_0.WORD[4].W.B2.SEL_B ;
+  wire \B_0_0.WORD[4].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[4].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[4].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[4].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[4].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[4].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[4].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[4].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[4].W.B2.we_wire ;
+  wire \B_0_0.WORD[4].W.B3.GCLK ;
+  wire \B_0_0.WORD[4].W.B3.SEL_B ;
+  wire \B_0_0.WORD[4].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[4].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[4].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[4].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[4].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[4].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[4].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[4].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[4].W.B3.we_wire ;
+  wire \B_0_0.WORD[50].W.B0.GCLK ;
+  wire \B_0_0.WORD[50].W.B0.SEL_B ;
+  wire \B_0_0.WORD[50].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[50].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[50].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[50].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[50].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[50].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[50].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[50].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[50].W.B0.we_wire ;
+  wire \B_0_0.WORD[50].W.B1.GCLK ;
+  wire \B_0_0.WORD[50].W.B1.SEL_B ;
+  wire \B_0_0.WORD[50].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[50].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[50].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[50].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[50].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[50].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[50].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[50].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[50].W.B1.we_wire ;
+  wire \B_0_0.WORD[50].W.B2.GCLK ;
+  wire \B_0_0.WORD[50].W.B2.SEL_B ;
+  wire \B_0_0.WORD[50].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[50].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[50].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[50].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[50].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[50].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[50].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[50].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[50].W.B2.we_wire ;
+  wire \B_0_0.WORD[50].W.B3.GCLK ;
+  wire \B_0_0.WORD[50].W.B3.SEL_B ;
+  wire \B_0_0.WORD[50].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[50].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[50].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[50].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[50].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[50].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[50].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[50].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[50].W.B3.we_wire ;
+  wire \B_0_0.WORD[51].W.B0.GCLK ;
+  wire \B_0_0.WORD[51].W.B0.SEL_B ;
+  wire \B_0_0.WORD[51].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[51].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[51].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[51].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[51].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[51].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[51].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[51].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[51].W.B0.we_wire ;
+  wire \B_0_0.WORD[51].W.B1.GCLK ;
+  wire \B_0_0.WORD[51].W.B1.SEL_B ;
+  wire \B_0_0.WORD[51].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[51].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[51].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[51].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[51].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[51].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[51].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[51].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[51].W.B1.we_wire ;
+  wire \B_0_0.WORD[51].W.B2.GCLK ;
+  wire \B_0_0.WORD[51].W.B2.SEL_B ;
+  wire \B_0_0.WORD[51].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[51].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[51].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[51].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[51].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[51].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[51].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[51].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[51].W.B2.we_wire ;
+  wire \B_0_0.WORD[51].W.B3.GCLK ;
+  wire \B_0_0.WORD[51].W.B3.SEL_B ;
+  wire \B_0_0.WORD[51].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[51].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[51].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[51].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[51].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[51].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[51].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[51].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[51].W.B3.we_wire ;
+  wire \B_0_0.WORD[52].W.B0.GCLK ;
+  wire \B_0_0.WORD[52].W.B0.SEL_B ;
+  wire \B_0_0.WORD[52].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[52].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[52].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[52].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[52].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[52].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[52].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[52].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[52].W.B0.we_wire ;
+  wire \B_0_0.WORD[52].W.B1.GCLK ;
+  wire \B_0_0.WORD[52].W.B1.SEL_B ;
+  wire \B_0_0.WORD[52].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[52].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[52].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[52].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[52].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[52].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[52].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[52].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[52].W.B1.we_wire ;
+  wire \B_0_0.WORD[52].W.B2.GCLK ;
+  wire \B_0_0.WORD[52].W.B2.SEL_B ;
+  wire \B_0_0.WORD[52].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[52].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[52].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[52].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[52].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[52].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[52].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[52].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[52].W.B2.we_wire ;
+  wire \B_0_0.WORD[52].W.B3.GCLK ;
+  wire \B_0_0.WORD[52].W.B3.SEL_B ;
+  wire \B_0_0.WORD[52].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[52].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[52].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[52].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[52].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[52].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[52].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[52].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[52].W.B3.we_wire ;
+  wire \B_0_0.WORD[53].W.B0.GCLK ;
+  wire \B_0_0.WORD[53].W.B0.SEL_B ;
+  wire \B_0_0.WORD[53].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[53].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[53].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[53].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[53].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[53].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[53].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[53].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[53].W.B0.we_wire ;
+  wire \B_0_0.WORD[53].W.B1.GCLK ;
+  wire \B_0_0.WORD[53].W.B1.SEL_B ;
+  wire \B_0_0.WORD[53].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[53].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[53].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[53].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[53].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[53].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[53].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[53].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[53].W.B1.we_wire ;
+  wire \B_0_0.WORD[53].W.B2.GCLK ;
+  wire \B_0_0.WORD[53].W.B2.SEL_B ;
+  wire \B_0_0.WORD[53].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[53].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[53].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[53].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[53].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[53].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[53].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[53].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[53].W.B2.we_wire ;
+  wire \B_0_0.WORD[53].W.B3.GCLK ;
+  wire \B_0_0.WORD[53].W.B3.SEL_B ;
+  wire \B_0_0.WORD[53].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[53].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[53].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[53].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[53].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[53].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[53].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[53].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[53].W.B3.we_wire ;
+  wire \B_0_0.WORD[54].W.B0.GCLK ;
+  wire \B_0_0.WORD[54].W.B0.SEL_B ;
+  wire \B_0_0.WORD[54].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[54].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[54].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[54].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[54].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[54].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[54].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[54].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[54].W.B0.we_wire ;
+  wire \B_0_0.WORD[54].W.B1.GCLK ;
+  wire \B_0_0.WORD[54].W.B1.SEL_B ;
+  wire \B_0_0.WORD[54].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[54].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[54].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[54].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[54].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[54].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[54].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[54].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[54].W.B1.we_wire ;
+  wire \B_0_0.WORD[54].W.B2.GCLK ;
+  wire \B_0_0.WORD[54].W.B2.SEL_B ;
+  wire \B_0_0.WORD[54].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[54].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[54].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[54].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[54].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[54].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[54].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[54].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[54].W.B2.we_wire ;
+  wire \B_0_0.WORD[54].W.B3.GCLK ;
+  wire \B_0_0.WORD[54].W.B3.SEL_B ;
+  wire \B_0_0.WORD[54].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[54].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[54].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[54].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[54].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[54].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[54].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[54].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[54].W.B3.we_wire ;
+  wire \B_0_0.WORD[55].W.B0.GCLK ;
+  wire \B_0_0.WORD[55].W.B0.SEL_B ;
+  wire \B_0_0.WORD[55].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[55].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[55].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[55].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[55].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[55].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[55].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[55].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[55].W.B0.we_wire ;
+  wire \B_0_0.WORD[55].W.B1.GCLK ;
+  wire \B_0_0.WORD[55].W.B1.SEL_B ;
+  wire \B_0_0.WORD[55].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[55].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[55].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[55].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[55].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[55].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[55].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[55].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[55].W.B1.we_wire ;
+  wire \B_0_0.WORD[55].W.B2.GCLK ;
+  wire \B_0_0.WORD[55].W.B2.SEL_B ;
+  wire \B_0_0.WORD[55].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[55].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[55].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[55].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[55].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[55].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[55].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[55].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[55].W.B2.we_wire ;
+  wire \B_0_0.WORD[55].W.B3.GCLK ;
+  wire \B_0_0.WORD[55].W.B3.SEL_B ;
+  wire \B_0_0.WORD[55].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[55].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[55].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[55].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[55].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[55].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[55].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[55].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[55].W.B3.we_wire ;
+  wire \B_0_0.WORD[56].W.B0.GCLK ;
+  wire \B_0_0.WORD[56].W.B0.SEL_B ;
+  wire \B_0_0.WORD[56].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[56].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[56].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[56].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[56].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[56].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[56].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[56].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[56].W.B0.we_wire ;
+  wire \B_0_0.WORD[56].W.B1.GCLK ;
+  wire \B_0_0.WORD[56].W.B1.SEL_B ;
+  wire \B_0_0.WORD[56].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[56].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[56].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[56].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[56].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[56].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[56].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[56].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[56].W.B1.we_wire ;
+  wire \B_0_0.WORD[56].W.B2.GCLK ;
+  wire \B_0_0.WORD[56].W.B2.SEL_B ;
+  wire \B_0_0.WORD[56].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[56].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[56].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[56].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[56].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[56].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[56].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[56].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[56].W.B2.we_wire ;
+  wire \B_0_0.WORD[56].W.B3.GCLK ;
+  wire \B_0_0.WORD[56].W.B3.SEL_B ;
+  wire \B_0_0.WORD[56].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[56].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[56].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[56].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[56].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[56].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[56].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[56].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[56].W.B3.we_wire ;
+  wire \B_0_0.WORD[57].W.B0.GCLK ;
+  wire \B_0_0.WORD[57].W.B0.SEL_B ;
+  wire \B_0_0.WORD[57].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[57].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[57].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[57].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[57].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[57].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[57].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[57].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[57].W.B0.we_wire ;
+  wire \B_0_0.WORD[57].W.B1.GCLK ;
+  wire \B_0_0.WORD[57].W.B1.SEL_B ;
+  wire \B_0_0.WORD[57].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[57].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[57].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[57].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[57].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[57].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[57].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[57].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[57].W.B1.we_wire ;
+  wire \B_0_0.WORD[57].W.B2.GCLK ;
+  wire \B_0_0.WORD[57].W.B2.SEL_B ;
+  wire \B_0_0.WORD[57].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[57].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[57].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[57].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[57].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[57].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[57].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[57].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[57].W.B2.we_wire ;
+  wire \B_0_0.WORD[57].W.B3.GCLK ;
+  wire \B_0_0.WORD[57].W.B3.SEL_B ;
+  wire \B_0_0.WORD[57].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[57].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[57].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[57].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[57].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[57].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[57].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[57].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[57].W.B3.we_wire ;
+  wire \B_0_0.WORD[58].W.B0.GCLK ;
+  wire \B_0_0.WORD[58].W.B0.SEL_B ;
+  wire \B_0_0.WORD[58].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[58].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[58].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[58].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[58].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[58].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[58].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[58].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[58].W.B0.we_wire ;
+  wire \B_0_0.WORD[58].W.B1.GCLK ;
+  wire \B_0_0.WORD[58].W.B1.SEL_B ;
+  wire \B_0_0.WORD[58].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[58].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[58].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[58].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[58].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[58].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[58].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[58].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[58].W.B1.we_wire ;
+  wire \B_0_0.WORD[58].W.B2.GCLK ;
+  wire \B_0_0.WORD[58].W.B2.SEL_B ;
+  wire \B_0_0.WORD[58].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[58].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[58].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[58].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[58].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[58].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[58].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[58].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[58].W.B2.we_wire ;
+  wire \B_0_0.WORD[58].W.B3.GCLK ;
+  wire \B_0_0.WORD[58].W.B3.SEL_B ;
+  wire \B_0_0.WORD[58].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[58].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[58].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[58].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[58].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[58].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[58].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[58].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[58].W.B3.we_wire ;
+  wire \B_0_0.WORD[59].W.B0.GCLK ;
+  wire \B_0_0.WORD[59].W.B0.SEL_B ;
+  wire \B_0_0.WORD[59].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[59].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[59].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[59].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[59].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[59].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[59].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[59].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[59].W.B0.we_wire ;
+  wire \B_0_0.WORD[59].W.B1.GCLK ;
+  wire \B_0_0.WORD[59].W.B1.SEL_B ;
+  wire \B_0_0.WORD[59].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[59].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[59].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[59].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[59].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[59].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[59].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[59].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[59].W.B1.we_wire ;
+  wire \B_0_0.WORD[59].W.B2.GCLK ;
+  wire \B_0_0.WORD[59].W.B2.SEL_B ;
+  wire \B_0_0.WORD[59].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[59].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[59].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[59].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[59].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[59].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[59].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[59].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[59].W.B2.we_wire ;
+  wire \B_0_0.WORD[59].W.B3.GCLK ;
+  wire \B_0_0.WORD[59].W.B3.SEL_B ;
+  wire \B_0_0.WORD[59].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[59].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[59].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[59].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[59].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[59].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[59].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[59].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[59].W.B3.we_wire ;
+  wire \B_0_0.WORD[5].W.B0.GCLK ;
+  wire \B_0_0.WORD[5].W.B0.SEL_B ;
+  wire \B_0_0.WORD[5].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[5].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[5].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[5].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[5].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[5].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[5].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[5].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[5].W.B0.we_wire ;
+  wire \B_0_0.WORD[5].W.B1.GCLK ;
+  wire \B_0_0.WORD[5].W.B1.SEL_B ;
+  wire \B_0_0.WORD[5].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[5].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[5].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[5].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[5].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[5].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[5].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[5].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[5].W.B1.we_wire ;
+  wire \B_0_0.WORD[5].W.B2.GCLK ;
+  wire \B_0_0.WORD[5].W.B2.SEL_B ;
+  wire \B_0_0.WORD[5].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[5].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[5].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[5].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[5].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[5].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[5].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[5].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[5].W.B2.we_wire ;
+  wire \B_0_0.WORD[5].W.B3.GCLK ;
+  wire \B_0_0.WORD[5].W.B3.SEL_B ;
+  wire \B_0_0.WORD[5].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[5].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[5].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[5].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[5].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[5].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[5].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[5].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[5].W.B3.we_wire ;
+  wire \B_0_0.WORD[60].W.B0.GCLK ;
+  wire \B_0_0.WORD[60].W.B0.SEL_B ;
+  wire \B_0_0.WORD[60].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[60].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[60].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[60].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[60].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[60].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[60].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[60].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[60].W.B0.we_wire ;
+  wire \B_0_0.WORD[60].W.B1.GCLK ;
+  wire \B_0_0.WORD[60].W.B1.SEL_B ;
+  wire \B_0_0.WORD[60].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[60].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[60].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[60].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[60].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[60].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[60].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[60].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[60].W.B1.we_wire ;
+  wire \B_0_0.WORD[60].W.B2.GCLK ;
+  wire \B_0_0.WORD[60].W.B2.SEL_B ;
+  wire \B_0_0.WORD[60].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[60].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[60].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[60].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[60].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[60].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[60].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[60].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[60].W.B2.we_wire ;
+  wire \B_0_0.WORD[60].W.B3.GCLK ;
+  wire \B_0_0.WORD[60].W.B3.SEL_B ;
+  wire \B_0_0.WORD[60].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[60].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[60].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[60].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[60].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[60].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[60].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[60].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[60].W.B3.we_wire ;
+  wire \B_0_0.WORD[61].W.B0.GCLK ;
+  wire \B_0_0.WORD[61].W.B0.SEL_B ;
+  wire \B_0_0.WORD[61].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[61].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[61].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[61].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[61].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[61].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[61].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[61].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[61].W.B0.we_wire ;
+  wire \B_0_0.WORD[61].W.B1.GCLK ;
+  wire \B_0_0.WORD[61].W.B1.SEL_B ;
+  wire \B_0_0.WORD[61].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[61].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[61].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[61].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[61].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[61].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[61].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[61].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[61].W.B1.we_wire ;
+  wire \B_0_0.WORD[61].W.B2.GCLK ;
+  wire \B_0_0.WORD[61].W.B2.SEL_B ;
+  wire \B_0_0.WORD[61].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[61].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[61].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[61].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[61].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[61].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[61].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[61].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[61].W.B2.we_wire ;
+  wire \B_0_0.WORD[61].W.B3.GCLK ;
+  wire \B_0_0.WORD[61].W.B3.SEL_B ;
+  wire \B_0_0.WORD[61].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[61].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[61].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[61].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[61].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[61].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[61].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[61].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[61].W.B3.we_wire ;
+  wire \B_0_0.WORD[62].W.B0.GCLK ;
+  wire \B_0_0.WORD[62].W.B0.SEL_B ;
+  wire \B_0_0.WORD[62].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[62].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[62].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[62].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[62].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[62].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[62].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[62].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[62].W.B0.we_wire ;
+  wire \B_0_0.WORD[62].W.B1.GCLK ;
+  wire \B_0_0.WORD[62].W.B1.SEL_B ;
+  wire \B_0_0.WORD[62].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[62].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[62].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[62].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[62].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[62].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[62].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[62].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[62].W.B1.we_wire ;
+  wire \B_0_0.WORD[62].W.B2.GCLK ;
+  wire \B_0_0.WORD[62].W.B2.SEL_B ;
+  wire \B_0_0.WORD[62].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[62].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[62].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[62].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[62].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[62].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[62].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[62].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[62].W.B2.we_wire ;
+  wire \B_0_0.WORD[62].W.B3.GCLK ;
+  wire \B_0_0.WORD[62].W.B3.SEL_B ;
+  wire \B_0_0.WORD[62].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[62].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[62].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[62].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[62].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[62].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[62].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[62].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[62].W.B3.we_wire ;
+  wire \B_0_0.WORD[63].W.B0.GCLK ;
+  wire \B_0_0.WORD[63].W.B0.SEL_B ;
+  wire \B_0_0.WORD[63].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[63].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[63].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[63].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[63].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[63].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[63].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[63].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[63].W.B0.we_wire ;
+  wire \B_0_0.WORD[63].W.B1.GCLK ;
+  wire \B_0_0.WORD[63].W.B1.SEL_B ;
+  wire \B_0_0.WORD[63].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[63].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[63].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[63].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[63].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[63].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[63].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[63].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[63].W.B1.we_wire ;
+  wire \B_0_0.WORD[63].W.B2.GCLK ;
+  wire \B_0_0.WORD[63].W.B2.SEL_B ;
+  wire \B_0_0.WORD[63].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[63].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[63].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[63].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[63].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[63].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[63].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[63].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[63].W.B2.we_wire ;
+  wire \B_0_0.WORD[63].W.B3.GCLK ;
+  wire \B_0_0.WORD[63].W.B3.SEL_B ;
+  wire \B_0_0.WORD[63].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[63].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[63].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[63].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[63].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[63].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[63].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[63].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[63].W.B3.we_wire ;
+  wire \B_0_0.WORD[6].W.B0.GCLK ;
+  wire \B_0_0.WORD[6].W.B0.SEL_B ;
+  wire \B_0_0.WORD[6].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[6].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[6].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[6].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[6].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[6].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[6].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[6].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[6].W.B0.we_wire ;
+  wire \B_0_0.WORD[6].W.B1.GCLK ;
+  wire \B_0_0.WORD[6].W.B1.SEL_B ;
+  wire \B_0_0.WORD[6].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[6].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[6].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[6].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[6].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[6].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[6].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[6].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[6].W.B1.we_wire ;
+  wire \B_0_0.WORD[6].W.B2.GCLK ;
+  wire \B_0_0.WORD[6].W.B2.SEL_B ;
+  wire \B_0_0.WORD[6].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[6].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[6].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[6].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[6].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[6].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[6].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[6].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[6].W.B2.we_wire ;
+  wire \B_0_0.WORD[6].W.B3.GCLK ;
+  wire \B_0_0.WORD[6].W.B3.SEL_B ;
+  wire \B_0_0.WORD[6].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[6].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[6].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[6].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[6].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[6].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[6].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[6].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[6].W.B3.we_wire ;
+  wire \B_0_0.WORD[7].W.B0.GCLK ;
+  wire \B_0_0.WORD[7].W.B0.SEL_B ;
+  wire \B_0_0.WORD[7].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[7].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[7].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[7].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[7].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[7].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[7].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[7].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[7].W.B0.we_wire ;
+  wire \B_0_0.WORD[7].W.B1.GCLK ;
+  wire \B_0_0.WORD[7].W.B1.SEL_B ;
+  wire \B_0_0.WORD[7].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[7].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[7].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[7].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[7].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[7].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[7].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[7].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[7].W.B1.we_wire ;
+  wire \B_0_0.WORD[7].W.B2.GCLK ;
+  wire \B_0_0.WORD[7].W.B2.SEL_B ;
+  wire \B_0_0.WORD[7].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[7].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[7].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[7].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[7].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[7].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[7].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[7].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[7].W.B2.we_wire ;
+  wire \B_0_0.WORD[7].W.B3.GCLK ;
+  wire \B_0_0.WORD[7].W.B3.SEL_B ;
+  wire \B_0_0.WORD[7].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[7].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[7].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[7].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[7].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[7].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[7].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[7].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[7].W.B3.we_wire ;
+  wire \B_0_0.WORD[8].W.B0.GCLK ;
+  wire \B_0_0.WORD[8].W.B0.SEL_B ;
+  wire \B_0_0.WORD[8].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[8].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[8].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[8].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[8].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[8].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[8].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[8].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[8].W.B0.we_wire ;
+  wire \B_0_0.WORD[8].W.B1.GCLK ;
+  wire \B_0_0.WORD[8].W.B1.SEL_B ;
+  wire \B_0_0.WORD[8].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[8].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[8].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[8].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[8].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[8].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[8].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[8].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[8].W.B1.we_wire ;
+  wire \B_0_0.WORD[8].W.B2.GCLK ;
+  wire \B_0_0.WORD[8].W.B2.SEL_B ;
+  wire \B_0_0.WORD[8].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[8].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[8].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[8].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[8].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[8].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[8].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[8].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[8].W.B2.we_wire ;
+  wire \B_0_0.WORD[8].W.B3.GCLK ;
+  wire \B_0_0.WORD[8].W.B3.SEL_B ;
+  wire \B_0_0.WORD[8].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[8].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[8].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[8].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[8].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[8].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[8].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[8].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[8].W.B3.we_wire ;
+  wire \B_0_0.WORD[9].W.B0.GCLK ;
+  wire \B_0_0.WORD[9].W.B0.SEL_B ;
+  wire \B_0_0.WORD[9].W.B0.q_wire[0] ;
+  wire \B_0_0.WORD[9].W.B0.q_wire[1] ;
+  wire \B_0_0.WORD[9].W.B0.q_wire[2] ;
+  wire \B_0_0.WORD[9].W.B0.q_wire[3] ;
+  wire \B_0_0.WORD[9].W.B0.q_wire[4] ;
+  wire \B_0_0.WORD[9].W.B0.q_wire[5] ;
+  wire \B_0_0.WORD[9].W.B0.q_wire[6] ;
+  wire \B_0_0.WORD[9].W.B0.q_wire[7] ;
+  wire \B_0_0.WORD[9].W.B0.we_wire ;
+  wire \B_0_0.WORD[9].W.B1.GCLK ;
+  wire \B_0_0.WORD[9].W.B1.SEL_B ;
+  wire \B_0_0.WORD[9].W.B1.q_wire[0] ;
+  wire \B_0_0.WORD[9].W.B1.q_wire[1] ;
+  wire \B_0_0.WORD[9].W.B1.q_wire[2] ;
+  wire \B_0_0.WORD[9].W.B1.q_wire[3] ;
+  wire \B_0_0.WORD[9].W.B1.q_wire[4] ;
+  wire \B_0_0.WORD[9].W.B1.q_wire[5] ;
+  wire \B_0_0.WORD[9].W.B1.q_wire[6] ;
+  wire \B_0_0.WORD[9].W.B1.q_wire[7] ;
+  wire \B_0_0.WORD[9].W.B1.we_wire ;
+  wire \B_0_0.WORD[9].W.B2.GCLK ;
+  wire \B_0_0.WORD[9].W.B2.SEL_B ;
+  wire \B_0_0.WORD[9].W.B2.q_wire[0] ;
+  wire \B_0_0.WORD[9].W.B2.q_wire[1] ;
+  wire \B_0_0.WORD[9].W.B2.q_wire[2] ;
+  wire \B_0_0.WORD[9].W.B2.q_wire[3] ;
+  wire \B_0_0.WORD[9].W.B2.q_wire[4] ;
+  wire \B_0_0.WORD[9].W.B2.q_wire[5] ;
+  wire \B_0_0.WORD[9].W.B2.q_wire[6] ;
+  wire \B_0_0.WORD[9].W.B2.q_wire[7] ;
+  wire \B_0_0.WORD[9].W.B2.we_wire ;
+  wire \B_0_0.WORD[9].W.B3.GCLK ;
+  wire \B_0_0.WORD[9].W.B3.SEL_B ;
+  wire \B_0_0.WORD[9].W.B3.q_wire[0] ;
+  wire \B_0_0.WORD[9].W.B3.q_wire[1] ;
+  wire \B_0_0.WORD[9].W.B3.q_wire[2] ;
+  wire \B_0_0.WORD[9].W.B3.q_wire[3] ;
+  wire \B_0_0.WORD[9].W.B3.q_wire[4] ;
+  wire \B_0_0.WORD[9].W.B3.q_wire[5] ;
+  wire \B_0_0.WORD[9].W.B3.q_wire[6] ;
+  wire \B_0_0.WORD[9].W.B3.q_wire[7] ;
+  wire \B_0_0.WORD[9].W.B3.we_wire ;
+  wire \B_0_1.CLK_buf ;
+  wire \B_0_1.DEC.DEC_L0.EN ;
+  wire \B_0_1.DEC.DEC_L0.SEL[0] ;
+  wire \B_0_1.DEC.DEC_L0.SEL[1] ;
+  wire \B_0_1.DEC.DEC_L0.SEL[2] ;
+  wire \B_0_1.DEC.DEC_L0.SEL[3] ;
+  wire \B_0_1.DEC.DEC_L0.SEL[4] ;
+  wire \B_0_1.DEC.DEC_L0.SEL[5] ;
+  wire \B_0_1.DEC.DEC_L0.SEL[6] ;
+  wire \B_0_1.DEC.DEC_L0.SEL[7] ;
+  wire \B_0_1.DEC.DEC_L1[0].U.SEL[0] ;
+  wire \B_0_1.DEC.DEC_L1[0].U.SEL[1] ;
+  wire \B_0_1.DEC.DEC_L1[0].U.SEL[2] ;
+  wire \B_0_1.DEC.DEC_L1[0].U.SEL[3] ;
+  wire \B_0_1.DEC.DEC_L1[0].U.SEL[4] ;
+  wire \B_0_1.DEC.DEC_L1[0].U.SEL[5] ;
+  wire \B_0_1.DEC.DEC_L1[0].U.SEL[6] ;
+  wire \B_0_1.DEC.DEC_L1[0].U.SEL[7] ;
+  wire \B_0_1.DEC.DEC_L1[1].U.SEL[0] ;
+  wire \B_0_1.DEC.DEC_L1[1].U.SEL[1] ;
+  wire \B_0_1.DEC.DEC_L1[1].U.SEL[2] ;
+  wire \B_0_1.DEC.DEC_L1[1].U.SEL[3] ;
+  wire \B_0_1.DEC.DEC_L1[1].U.SEL[4] ;
+  wire \B_0_1.DEC.DEC_L1[1].U.SEL[5] ;
+  wire \B_0_1.DEC.DEC_L1[1].U.SEL[6] ;
+  wire \B_0_1.DEC.DEC_L1[1].U.SEL[7] ;
+  wire \B_0_1.DEC.DEC_L1[2].U.SEL[0] ;
+  wire \B_0_1.DEC.DEC_L1[2].U.SEL[1] ;
+  wire \B_0_1.DEC.DEC_L1[2].U.SEL[2] ;
+  wire \B_0_1.DEC.DEC_L1[2].U.SEL[3] ;
+  wire \B_0_1.DEC.DEC_L1[2].U.SEL[4] ;
+  wire \B_0_1.DEC.DEC_L1[2].U.SEL[5] ;
+  wire \B_0_1.DEC.DEC_L1[2].U.SEL[6] ;
+  wire \B_0_1.DEC.DEC_L1[2].U.SEL[7] ;
+  wire \B_0_1.DEC.DEC_L1[3].U.SEL[0] ;
+  wire \B_0_1.DEC.DEC_L1[3].U.SEL[1] ;
+  wire \B_0_1.DEC.DEC_L1[3].U.SEL[2] ;
+  wire \B_0_1.DEC.DEC_L1[3].U.SEL[3] ;
+  wire \B_0_1.DEC.DEC_L1[3].U.SEL[4] ;
+  wire \B_0_1.DEC.DEC_L1[3].U.SEL[5] ;
+  wire \B_0_1.DEC.DEC_L1[3].U.SEL[6] ;
+  wire \B_0_1.DEC.DEC_L1[3].U.SEL[7] ;
+  wire \B_0_1.DEC.DEC_L1[4].U.SEL[0] ;
+  wire \B_0_1.DEC.DEC_L1[4].U.SEL[1] ;
+  wire \B_0_1.DEC.DEC_L1[4].U.SEL[2] ;
+  wire \B_0_1.DEC.DEC_L1[4].U.SEL[3] ;
+  wire \B_0_1.DEC.DEC_L1[4].U.SEL[4] ;
+  wire \B_0_1.DEC.DEC_L1[4].U.SEL[5] ;
+  wire \B_0_1.DEC.DEC_L1[4].U.SEL[6] ;
+  wire \B_0_1.DEC.DEC_L1[4].U.SEL[7] ;
+  wire \B_0_1.DEC.DEC_L1[5].U.SEL[0] ;
+  wire \B_0_1.DEC.DEC_L1[5].U.SEL[1] ;
+  wire \B_0_1.DEC.DEC_L1[5].U.SEL[2] ;
+  wire \B_0_1.DEC.DEC_L1[5].U.SEL[3] ;
+  wire \B_0_1.DEC.DEC_L1[5].U.SEL[4] ;
+  wire \B_0_1.DEC.DEC_L1[5].U.SEL[5] ;
+  wire \B_0_1.DEC.DEC_L1[5].U.SEL[6] ;
+  wire \B_0_1.DEC.DEC_L1[5].U.SEL[7] ;
+  wire \B_0_1.DEC.DEC_L1[6].U.SEL[0] ;
+  wire \B_0_1.DEC.DEC_L1[6].U.SEL[1] ;
+  wire \B_0_1.DEC.DEC_L1[6].U.SEL[2] ;
+  wire \B_0_1.DEC.DEC_L1[6].U.SEL[3] ;
+  wire \B_0_1.DEC.DEC_L1[6].U.SEL[4] ;
+  wire \B_0_1.DEC.DEC_L1[6].U.SEL[5] ;
+  wire \B_0_1.DEC.DEC_L1[6].U.SEL[6] ;
+  wire \B_0_1.DEC.DEC_L1[6].U.SEL[7] ;
+  wire \B_0_1.DEC.DEC_L1[7].U.SEL[0] ;
+  wire \B_0_1.DEC.DEC_L1[7].U.SEL[1] ;
+  wire \B_0_1.DEC.DEC_L1[7].U.SEL[2] ;
+  wire \B_0_1.DEC.DEC_L1[7].U.SEL[3] ;
+  wire \B_0_1.DEC.DEC_L1[7].U.SEL[4] ;
+  wire \B_0_1.DEC.DEC_L1[7].U.SEL[5] ;
+  wire \B_0_1.DEC.DEC_L1[7].U.SEL[6] ;
+  wire \B_0_1.DEC.DEC_L1[7].U.SEL[7] ;
+  wire \B_0_1.Di_buf[0] ;
+  wire \B_0_1.Di_buf[10] ;
+  wire \B_0_1.Di_buf[11] ;
+  wire \B_0_1.Di_buf[12] ;
+  wire \B_0_1.Di_buf[13] ;
+  wire \B_0_1.Di_buf[14] ;
+  wire \B_0_1.Di_buf[15] ;
+  wire \B_0_1.Di_buf[16] ;
+  wire \B_0_1.Di_buf[17] ;
+  wire \B_0_1.Di_buf[18] ;
+  wire \B_0_1.Di_buf[19] ;
+  wire \B_0_1.Di_buf[1] ;
+  wire \B_0_1.Di_buf[20] ;
+  wire \B_0_1.Di_buf[21] ;
+  wire \B_0_1.Di_buf[22] ;
+  wire \B_0_1.Di_buf[23] ;
+  wire \B_0_1.Di_buf[24] ;
+  wire \B_0_1.Di_buf[25] ;
+  wire \B_0_1.Di_buf[26] ;
+  wire \B_0_1.Di_buf[27] ;
+  wire \B_0_1.Di_buf[28] ;
+  wire \B_0_1.Di_buf[29] ;
+  wire \B_0_1.Di_buf[2] ;
+  wire \B_0_1.Di_buf[30] ;
+  wire \B_0_1.Di_buf[31] ;
+  wire \B_0_1.Di_buf[3] ;
+  wire \B_0_1.Di_buf[4] ;
+  wire \B_0_1.Di_buf[5] ;
+  wire \B_0_1.Di_buf[6] ;
+  wire \B_0_1.Di_buf[7] ;
+  wire \B_0_1.Di_buf[8] ;
+  wire \B_0_1.Di_buf[9] ;
+  wire \B_0_1.Do[0] ;
+  wire \B_0_1.Do[10] ;
+  wire \B_0_1.Do[11] ;
+  wire \B_0_1.Do[12] ;
+  wire \B_0_1.Do[13] ;
+  wire \B_0_1.Do[14] ;
+  wire \B_0_1.Do[15] ;
+  wire \B_0_1.Do[16] ;
+  wire \B_0_1.Do[17] ;
+  wire \B_0_1.Do[18] ;
+  wire \B_0_1.Do[19] ;
+  wire \B_0_1.Do[1] ;
+  wire \B_0_1.Do[20] ;
+  wire \B_0_1.Do[21] ;
+  wire \B_0_1.Do[22] ;
+  wire \B_0_1.Do[23] ;
+  wire \B_0_1.Do[24] ;
+  wire \B_0_1.Do[25] ;
+  wire \B_0_1.Do[26] ;
+  wire \B_0_1.Do[27] ;
+  wire \B_0_1.Do[28] ;
+  wire \B_0_1.Do[29] ;
+  wire \B_0_1.Do[2] ;
+  wire \B_0_1.Do[30] ;
+  wire \B_0_1.Do[31] ;
+  wire \B_0_1.Do[3] ;
+  wire \B_0_1.Do[4] ;
+  wire \B_0_1.Do[5] ;
+  wire \B_0_1.Do[6] ;
+  wire \B_0_1.Do[7] ;
+  wire \B_0_1.Do[8] ;
+  wire \B_0_1.Do[9] ;
+  wire \B_0_1.Do_pre[0] ;
+  wire \B_0_1.Do_pre[10] ;
+  wire \B_0_1.Do_pre[11] ;
+  wire \B_0_1.Do_pre[12] ;
+  wire \B_0_1.Do_pre[13] ;
+  wire \B_0_1.Do_pre[14] ;
+  wire \B_0_1.Do_pre[15] ;
+  wire \B_0_1.Do_pre[16] ;
+  wire \B_0_1.Do_pre[17] ;
+  wire \B_0_1.Do_pre[18] ;
+  wire \B_0_1.Do_pre[19] ;
+  wire \B_0_1.Do_pre[1] ;
+  wire \B_0_1.Do_pre[20] ;
+  wire \B_0_1.Do_pre[21] ;
+  wire \B_0_1.Do_pre[22] ;
+  wire \B_0_1.Do_pre[23] ;
+  wire \B_0_1.Do_pre[24] ;
+  wire \B_0_1.Do_pre[25] ;
+  wire \B_0_1.Do_pre[26] ;
+  wire \B_0_1.Do_pre[27] ;
+  wire \B_0_1.Do_pre[28] ;
+  wire \B_0_1.Do_pre[29] ;
+  wire \B_0_1.Do_pre[2] ;
+  wire \B_0_1.Do_pre[30] ;
+  wire \B_0_1.Do_pre[31] ;
+  wire \B_0_1.Do_pre[3] ;
+  wire \B_0_1.Do_pre[4] ;
+  wire \B_0_1.Do_pre[5] ;
+  wire \B_0_1.Do_pre[6] ;
+  wire \B_0_1.Do_pre[7] ;
+  wire \B_0_1.Do_pre[8] ;
+  wire \B_0_1.Do_pre[9] ;
+  wire \B_0_1.WE_buf[0] ;
+  wire \B_0_1.WE_buf[1] ;
+  wire \B_0_1.WE_buf[2] ;
+  wire \B_0_1.WE_buf[3] ;
+  wire \B_0_1.WORD[0].W.B0.GCLK ;
+  wire \B_0_1.WORD[0].W.B0.SEL_B ;
+  wire \B_0_1.WORD[0].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[0].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[0].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[0].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[0].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[0].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[0].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[0].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[0].W.B0.we_wire ;
+  wire \B_0_1.WORD[0].W.B1.GCLK ;
+  wire \B_0_1.WORD[0].W.B1.SEL_B ;
+  wire \B_0_1.WORD[0].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[0].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[0].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[0].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[0].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[0].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[0].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[0].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[0].W.B1.we_wire ;
+  wire \B_0_1.WORD[0].W.B2.GCLK ;
+  wire \B_0_1.WORD[0].W.B2.SEL_B ;
+  wire \B_0_1.WORD[0].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[0].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[0].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[0].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[0].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[0].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[0].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[0].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[0].W.B2.we_wire ;
+  wire \B_0_1.WORD[0].W.B3.GCLK ;
+  wire \B_0_1.WORD[0].W.B3.SEL_B ;
+  wire \B_0_1.WORD[0].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[0].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[0].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[0].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[0].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[0].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[0].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[0].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[0].W.B3.we_wire ;
+  wire \B_0_1.WORD[10].W.B0.GCLK ;
+  wire \B_0_1.WORD[10].W.B0.SEL_B ;
+  wire \B_0_1.WORD[10].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[10].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[10].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[10].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[10].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[10].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[10].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[10].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[10].W.B0.we_wire ;
+  wire \B_0_1.WORD[10].W.B1.GCLK ;
+  wire \B_0_1.WORD[10].W.B1.SEL_B ;
+  wire \B_0_1.WORD[10].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[10].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[10].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[10].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[10].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[10].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[10].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[10].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[10].W.B1.we_wire ;
+  wire \B_0_1.WORD[10].W.B2.GCLK ;
+  wire \B_0_1.WORD[10].W.B2.SEL_B ;
+  wire \B_0_1.WORD[10].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[10].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[10].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[10].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[10].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[10].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[10].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[10].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[10].W.B2.we_wire ;
+  wire \B_0_1.WORD[10].W.B3.GCLK ;
+  wire \B_0_1.WORD[10].W.B3.SEL_B ;
+  wire \B_0_1.WORD[10].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[10].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[10].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[10].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[10].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[10].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[10].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[10].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[10].W.B3.we_wire ;
+  wire \B_0_1.WORD[11].W.B0.GCLK ;
+  wire \B_0_1.WORD[11].W.B0.SEL_B ;
+  wire \B_0_1.WORD[11].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[11].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[11].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[11].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[11].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[11].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[11].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[11].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[11].W.B0.we_wire ;
+  wire \B_0_1.WORD[11].W.B1.GCLK ;
+  wire \B_0_1.WORD[11].W.B1.SEL_B ;
+  wire \B_0_1.WORD[11].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[11].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[11].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[11].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[11].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[11].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[11].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[11].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[11].W.B1.we_wire ;
+  wire \B_0_1.WORD[11].W.B2.GCLK ;
+  wire \B_0_1.WORD[11].W.B2.SEL_B ;
+  wire \B_0_1.WORD[11].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[11].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[11].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[11].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[11].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[11].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[11].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[11].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[11].W.B2.we_wire ;
+  wire \B_0_1.WORD[11].W.B3.GCLK ;
+  wire \B_0_1.WORD[11].W.B3.SEL_B ;
+  wire \B_0_1.WORD[11].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[11].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[11].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[11].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[11].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[11].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[11].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[11].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[11].W.B3.we_wire ;
+  wire \B_0_1.WORD[12].W.B0.GCLK ;
+  wire \B_0_1.WORD[12].W.B0.SEL_B ;
+  wire \B_0_1.WORD[12].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[12].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[12].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[12].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[12].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[12].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[12].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[12].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[12].W.B0.we_wire ;
+  wire \B_0_1.WORD[12].W.B1.GCLK ;
+  wire \B_0_1.WORD[12].W.B1.SEL_B ;
+  wire \B_0_1.WORD[12].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[12].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[12].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[12].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[12].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[12].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[12].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[12].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[12].W.B1.we_wire ;
+  wire \B_0_1.WORD[12].W.B2.GCLK ;
+  wire \B_0_1.WORD[12].W.B2.SEL_B ;
+  wire \B_0_1.WORD[12].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[12].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[12].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[12].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[12].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[12].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[12].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[12].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[12].W.B2.we_wire ;
+  wire \B_0_1.WORD[12].W.B3.GCLK ;
+  wire \B_0_1.WORD[12].W.B3.SEL_B ;
+  wire \B_0_1.WORD[12].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[12].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[12].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[12].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[12].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[12].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[12].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[12].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[12].W.B3.we_wire ;
+  wire \B_0_1.WORD[13].W.B0.GCLK ;
+  wire \B_0_1.WORD[13].W.B0.SEL_B ;
+  wire \B_0_1.WORD[13].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[13].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[13].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[13].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[13].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[13].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[13].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[13].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[13].W.B0.we_wire ;
+  wire \B_0_1.WORD[13].W.B1.GCLK ;
+  wire \B_0_1.WORD[13].W.B1.SEL_B ;
+  wire \B_0_1.WORD[13].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[13].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[13].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[13].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[13].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[13].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[13].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[13].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[13].W.B1.we_wire ;
+  wire \B_0_1.WORD[13].W.B2.GCLK ;
+  wire \B_0_1.WORD[13].W.B2.SEL_B ;
+  wire \B_0_1.WORD[13].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[13].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[13].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[13].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[13].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[13].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[13].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[13].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[13].W.B2.we_wire ;
+  wire \B_0_1.WORD[13].W.B3.GCLK ;
+  wire \B_0_1.WORD[13].W.B3.SEL_B ;
+  wire \B_0_1.WORD[13].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[13].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[13].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[13].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[13].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[13].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[13].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[13].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[13].W.B3.we_wire ;
+  wire \B_0_1.WORD[14].W.B0.GCLK ;
+  wire \B_0_1.WORD[14].W.B0.SEL_B ;
+  wire \B_0_1.WORD[14].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[14].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[14].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[14].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[14].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[14].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[14].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[14].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[14].W.B0.we_wire ;
+  wire \B_0_1.WORD[14].W.B1.GCLK ;
+  wire \B_0_1.WORD[14].W.B1.SEL_B ;
+  wire \B_0_1.WORD[14].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[14].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[14].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[14].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[14].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[14].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[14].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[14].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[14].W.B1.we_wire ;
+  wire \B_0_1.WORD[14].W.B2.GCLK ;
+  wire \B_0_1.WORD[14].W.B2.SEL_B ;
+  wire \B_0_1.WORD[14].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[14].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[14].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[14].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[14].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[14].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[14].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[14].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[14].W.B2.we_wire ;
+  wire \B_0_1.WORD[14].W.B3.GCLK ;
+  wire \B_0_1.WORD[14].W.B3.SEL_B ;
+  wire \B_0_1.WORD[14].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[14].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[14].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[14].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[14].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[14].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[14].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[14].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[14].W.B3.we_wire ;
+  wire \B_0_1.WORD[15].W.B0.GCLK ;
+  wire \B_0_1.WORD[15].W.B0.SEL_B ;
+  wire \B_0_1.WORD[15].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[15].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[15].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[15].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[15].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[15].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[15].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[15].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[15].W.B0.we_wire ;
+  wire \B_0_1.WORD[15].W.B1.GCLK ;
+  wire \B_0_1.WORD[15].W.B1.SEL_B ;
+  wire \B_0_1.WORD[15].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[15].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[15].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[15].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[15].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[15].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[15].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[15].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[15].W.B1.we_wire ;
+  wire \B_0_1.WORD[15].W.B2.GCLK ;
+  wire \B_0_1.WORD[15].W.B2.SEL_B ;
+  wire \B_0_1.WORD[15].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[15].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[15].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[15].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[15].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[15].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[15].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[15].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[15].W.B2.we_wire ;
+  wire \B_0_1.WORD[15].W.B3.GCLK ;
+  wire \B_0_1.WORD[15].W.B3.SEL_B ;
+  wire \B_0_1.WORD[15].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[15].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[15].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[15].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[15].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[15].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[15].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[15].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[15].W.B3.we_wire ;
+  wire \B_0_1.WORD[16].W.B0.GCLK ;
+  wire \B_0_1.WORD[16].W.B0.SEL_B ;
+  wire \B_0_1.WORD[16].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[16].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[16].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[16].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[16].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[16].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[16].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[16].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[16].W.B0.we_wire ;
+  wire \B_0_1.WORD[16].W.B1.GCLK ;
+  wire \B_0_1.WORD[16].W.B1.SEL_B ;
+  wire \B_0_1.WORD[16].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[16].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[16].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[16].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[16].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[16].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[16].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[16].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[16].W.B1.we_wire ;
+  wire \B_0_1.WORD[16].W.B2.GCLK ;
+  wire \B_0_1.WORD[16].W.B2.SEL_B ;
+  wire \B_0_1.WORD[16].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[16].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[16].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[16].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[16].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[16].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[16].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[16].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[16].W.B2.we_wire ;
+  wire \B_0_1.WORD[16].W.B3.GCLK ;
+  wire \B_0_1.WORD[16].W.B3.SEL_B ;
+  wire \B_0_1.WORD[16].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[16].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[16].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[16].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[16].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[16].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[16].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[16].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[16].W.B3.we_wire ;
+  wire \B_0_1.WORD[17].W.B0.GCLK ;
+  wire \B_0_1.WORD[17].W.B0.SEL_B ;
+  wire \B_0_1.WORD[17].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[17].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[17].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[17].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[17].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[17].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[17].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[17].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[17].W.B0.we_wire ;
+  wire \B_0_1.WORD[17].W.B1.GCLK ;
+  wire \B_0_1.WORD[17].W.B1.SEL_B ;
+  wire \B_0_1.WORD[17].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[17].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[17].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[17].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[17].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[17].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[17].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[17].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[17].W.B1.we_wire ;
+  wire \B_0_1.WORD[17].W.B2.GCLK ;
+  wire \B_0_1.WORD[17].W.B2.SEL_B ;
+  wire \B_0_1.WORD[17].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[17].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[17].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[17].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[17].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[17].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[17].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[17].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[17].W.B2.we_wire ;
+  wire \B_0_1.WORD[17].W.B3.GCLK ;
+  wire \B_0_1.WORD[17].W.B3.SEL_B ;
+  wire \B_0_1.WORD[17].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[17].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[17].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[17].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[17].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[17].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[17].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[17].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[17].W.B3.we_wire ;
+  wire \B_0_1.WORD[18].W.B0.GCLK ;
+  wire \B_0_1.WORD[18].W.B0.SEL_B ;
+  wire \B_0_1.WORD[18].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[18].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[18].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[18].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[18].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[18].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[18].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[18].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[18].W.B0.we_wire ;
+  wire \B_0_1.WORD[18].W.B1.GCLK ;
+  wire \B_0_1.WORD[18].W.B1.SEL_B ;
+  wire \B_0_1.WORD[18].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[18].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[18].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[18].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[18].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[18].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[18].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[18].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[18].W.B1.we_wire ;
+  wire \B_0_1.WORD[18].W.B2.GCLK ;
+  wire \B_0_1.WORD[18].W.B2.SEL_B ;
+  wire \B_0_1.WORD[18].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[18].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[18].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[18].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[18].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[18].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[18].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[18].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[18].W.B2.we_wire ;
+  wire \B_0_1.WORD[18].W.B3.GCLK ;
+  wire \B_0_1.WORD[18].W.B3.SEL_B ;
+  wire \B_0_1.WORD[18].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[18].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[18].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[18].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[18].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[18].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[18].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[18].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[18].W.B3.we_wire ;
+  wire \B_0_1.WORD[19].W.B0.GCLK ;
+  wire \B_0_1.WORD[19].W.B0.SEL_B ;
+  wire \B_0_1.WORD[19].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[19].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[19].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[19].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[19].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[19].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[19].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[19].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[19].W.B0.we_wire ;
+  wire \B_0_1.WORD[19].W.B1.GCLK ;
+  wire \B_0_1.WORD[19].W.B1.SEL_B ;
+  wire \B_0_1.WORD[19].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[19].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[19].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[19].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[19].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[19].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[19].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[19].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[19].W.B1.we_wire ;
+  wire \B_0_1.WORD[19].W.B2.GCLK ;
+  wire \B_0_1.WORD[19].W.B2.SEL_B ;
+  wire \B_0_1.WORD[19].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[19].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[19].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[19].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[19].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[19].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[19].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[19].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[19].W.B2.we_wire ;
+  wire \B_0_1.WORD[19].W.B3.GCLK ;
+  wire \B_0_1.WORD[19].W.B3.SEL_B ;
+  wire \B_0_1.WORD[19].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[19].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[19].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[19].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[19].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[19].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[19].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[19].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[19].W.B3.we_wire ;
+  wire \B_0_1.WORD[1].W.B0.GCLK ;
+  wire \B_0_1.WORD[1].W.B0.SEL_B ;
+  wire \B_0_1.WORD[1].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[1].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[1].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[1].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[1].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[1].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[1].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[1].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[1].W.B0.we_wire ;
+  wire \B_0_1.WORD[1].W.B1.GCLK ;
+  wire \B_0_1.WORD[1].W.B1.SEL_B ;
+  wire \B_0_1.WORD[1].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[1].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[1].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[1].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[1].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[1].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[1].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[1].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[1].W.B1.we_wire ;
+  wire \B_0_1.WORD[1].W.B2.GCLK ;
+  wire \B_0_1.WORD[1].W.B2.SEL_B ;
+  wire \B_0_1.WORD[1].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[1].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[1].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[1].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[1].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[1].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[1].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[1].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[1].W.B2.we_wire ;
+  wire \B_0_1.WORD[1].W.B3.GCLK ;
+  wire \B_0_1.WORD[1].W.B3.SEL_B ;
+  wire \B_0_1.WORD[1].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[1].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[1].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[1].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[1].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[1].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[1].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[1].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[1].W.B3.we_wire ;
+  wire \B_0_1.WORD[20].W.B0.GCLK ;
+  wire \B_0_1.WORD[20].W.B0.SEL_B ;
+  wire \B_0_1.WORD[20].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[20].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[20].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[20].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[20].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[20].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[20].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[20].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[20].W.B0.we_wire ;
+  wire \B_0_1.WORD[20].W.B1.GCLK ;
+  wire \B_0_1.WORD[20].W.B1.SEL_B ;
+  wire \B_0_1.WORD[20].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[20].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[20].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[20].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[20].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[20].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[20].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[20].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[20].W.B1.we_wire ;
+  wire \B_0_1.WORD[20].W.B2.GCLK ;
+  wire \B_0_1.WORD[20].W.B2.SEL_B ;
+  wire \B_0_1.WORD[20].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[20].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[20].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[20].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[20].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[20].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[20].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[20].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[20].W.B2.we_wire ;
+  wire \B_0_1.WORD[20].W.B3.GCLK ;
+  wire \B_0_1.WORD[20].W.B3.SEL_B ;
+  wire \B_0_1.WORD[20].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[20].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[20].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[20].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[20].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[20].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[20].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[20].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[20].W.B3.we_wire ;
+  wire \B_0_1.WORD[21].W.B0.GCLK ;
+  wire \B_0_1.WORD[21].W.B0.SEL_B ;
+  wire \B_0_1.WORD[21].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[21].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[21].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[21].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[21].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[21].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[21].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[21].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[21].W.B0.we_wire ;
+  wire \B_0_1.WORD[21].W.B1.GCLK ;
+  wire \B_0_1.WORD[21].W.B1.SEL_B ;
+  wire \B_0_1.WORD[21].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[21].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[21].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[21].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[21].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[21].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[21].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[21].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[21].W.B1.we_wire ;
+  wire \B_0_1.WORD[21].W.B2.GCLK ;
+  wire \B_0_1.WORD[21].W.B2.SEL_B ;
+  wire \B_0_1.WORD[21].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[21].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[21].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[21].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[21].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[21].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[21].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[21].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[21].W.B2.we_wire ;
+  wire \B_0_1.WORD[21].W.B3.GCLK ;
+  wire \B_0_1.WORD[21].W.B3.SEL_B ;
+  wire \B_0_1.WORD[21].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[21].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[21].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[21].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[21].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[21].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[21].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[21].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[21].W.B3.we_wire ;
+  wire \B_0_1.WORD[22].W.B0.GCLK ;
+  wire \B_0_1.WORD[22].W.B0.SEL_B ;
+  wire \B_0_1.WORD[22].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[22].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[22].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[22].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[22].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[22].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[22].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[22].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[22].W.B0.we_wire ;
+  wire \B_0_1.WORD[22].W.B1.GCLK ;
+  wire \B_0_1.WORD[22].W.B1.SEL_B ;
+  wire \B_0_1.WORD[22].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[22].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[22].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[22].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[22].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[22].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[22].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[22].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[22].W.B1.we_wire ;
+  wire \B_0_1.WORD[22].W.B2.GCLK ;
+  wire \B_0_1.WORD[22].W.B2.SEL_B ;
+  wire \B_0_1.WORD[22].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[22].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[22].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[22].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[22].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[22].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[22].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[22].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[22].W.B2.we_wire ;
+  wire \B_0_1.WORD[22].W.B3.GCLK ;
+  wire \B_0_1.WORD[22].W.B3.SEL_B ;
+  wire \B_0_1.WORD[22].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[22].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[22].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[22].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[22].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[22].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[22].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[22].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[22].W.B3.we_wire ;
+  wire \B_0_1.WORD[23].W.B0.GCLK ;
+  wire \B_0_1.WORD[23].W.B0.SEL_B ;
+  wire \B_0_1.WORD[23].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[23].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[23].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[23].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[23].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[23].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[23].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[23].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[23].W.B0.we_wire ;
+  wire \B_0_1.WORD[23].W.B1.GCLK ;
+  wire \B_0_1.WORD[23].W.B1.SEL_B ;
+  wire \B_0_1.WORD[23].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[23].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[23].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[23].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[23].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[23].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[23].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[23].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[23].W.B1.we_wire ;
+  wire \B_0_1.WORD[23].W.B2.GCLK ;
+  wire \B_0_1.WORD[23].W.B2.SEL_B ;
+  wire \B_0_1.WORD[23].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[23].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[23].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[23].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[23].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[23].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[23].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[23].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[23].W.B2.we_wire ;
+  wire \B_0_1.WORD[23].W.B3.GCLK ;
+  wire \B_0_1.WORD[23].W.B3.SEL_B ;
+  wire \B_0_1.WORD[23].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[23].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[23].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[23].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[23].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[23].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[23].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[23].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[23].W.B3.we_wire ;
+  wire \B_0_1.WORD[24].W.B0.GCLK ;
+  wire \B_0_1.WORD[24].W.B0.SEL_B ;
+  wire \B_0_1.WORD[24].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[24].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[24].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[24].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[24].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[24].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[24].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[24].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[24].W.B0.we_wire ;
+  wire \B_0_1.WORD[24].W.B1.GCLK ;
+  wire \B_0_1.WORD[24].W.B1.SEL_B ;
+  wire \B_0_1.WORD[24].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[24].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[24].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[24].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[24].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[24].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[24].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[24].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[24].W.B1.we_wire ;
+  wire \B_0_1.WORD[24].W.B2.GCLK ;
+  wire \B_0_1.WORD[24].W.B2.SEL_B ;
+  wire \B_0_1.WORD[24].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[24].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[24].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[24].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[24].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[24].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[24].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[24].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[24].W.B2.we_wire ;
+  wire \B_0_1.WORD[24].W.B3.GCLK ;
+  wire \B_0_1.WORD[24].W.B3.SEL_B ;
+  wire \B_0_1.WORD[24].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[24].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[24].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[24].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[24].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[24].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[24].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[24].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[24].W.B3.we_wire ;
+  wire \B_0_1.WORD[25].W.B0.GCLK ;
+  wire \B_0_1.WORD[25].W.B0.SEL_B ;
+  wire \B_0_1.WORD[25].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[25].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[25].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[25].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[25].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[25].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[25].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[25].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[25].W.B0.we_wire ;
+  wire \B_0_1.WORD[25].W.B1.GCLK ;
+  wire \B_0_1.WORD[25].W.B1.SEL_B ;
+  wire \B_0_1.WORD[25].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[25].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[25].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[25].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[25].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[25].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[25].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[25].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[25].W.B1.we_wire ;
+  wire \B_0_1.WORD[25].W.B2.GCLK ;
+  wire \B_0_1.WORD[25].W.B2.SEL_B ;
+  wire \B_0_1.WORD[25].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[25].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[25].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[25].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[25].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[25].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[25].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[25].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[25].W.B2.we_wire ;
+  wire \B_0_1.WORD[25].W.B3.GCLK ;
+  wire \B_0_1.WORD[25].W.B3.SEL_B ;
+  wire \B_0_1.WORD[25].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[25].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[25].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[25].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[25].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[25].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[25].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[25].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[25].W.B3.we_wire ;
+  wire \B_0_1.WORD[26].W.B0.GCLK ;
+  wire \B_0_1.WORD[26].W.B0.SEL_B ;
+  wire \B_0_1.WORD[26].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[26].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[26].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[26].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[26].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[26].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[26].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[26].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[26].W.B0.we_wire ;
+  wire \B_0_1.WORD[26].W.B1.GCLK ;
+  wire \B_0_1.WORD[26].W.B1.SEL_B ;
+  wire \B_0_1.WORD[26].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[26].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[26].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[26].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[26].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[26].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[26].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[26].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[26].W.B1.we_wire ;
+  wire \B_0_1.WORD[26].W.B2.GCLK ;
+  wire \B_0_1.WORD[26].W.B2.SEL_B ;
+  wire \B_0_1.WORD[26].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[26].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[26].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[26].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[26].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[26].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[26].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[26].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[26].W.B2.we_wire ;
+  wire \B_0_1.WORD[26].W.B3.GCLK ;
+  wire \B_0_1.WORD[26].W.B3.SEL_B ;
+  wire \B_0_1.WORD[26].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[26].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[26].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[26].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[26].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[26].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[26].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[26].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[26].W.B3.we_wire ;
+  wire \B_0_1.WORD[27].W.B0.GCLK ;
+  wire \B_0_1.WORD[27].W.B0.SEL_B ;
+  wire \B_0_1.WORD[27].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[27].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[27].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[27].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[27].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[27].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[27].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[27].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[27].W.B0.we_wire ;
+  wire \B_0_1.WORD[27].W.B1.GCLK ;
+  wire \B_0_1.WORD[27].W.B1.SEL_B ;
+  wire \B_0_1.WORD[27].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[27].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[27].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[27].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[27].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[27].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[27].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[27].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[27].W.B1.we_wire ;
+  wire \B_0_1.WORD[27].W.B2.GCLK ;
+  wire \B_0_1.WORD[27].W.B2.SEL_B ;
+  wire \B_0_1.WORD[27].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[27].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[27].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[27].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[27].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[27].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[27].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[27].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[27].W.B2.we_wire ;
+  wire \B_0_1.WORD[27].W.B3.GCLK ;
+  wire \B_0_1.WORD[27].W.B3.SEL_B ;
+  wire \B_0_1.WORD[27].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[27].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[27].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[27].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[27].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[27].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[27].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[27].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[27].W.B3.we_wire ;
+  wire \B_0_1.WORD[28].W.B0.GCLK ;
+  wire \B_0_1.WORD[28].W.B0.SEL_B ;
+  wire \B_0_1.WORD[28].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[28].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[28].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[28].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[28].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[28].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[28].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[28].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[28].W.B0.we_wire ;
+  wire \B_0_1.WORD[28].W.B1.GCLK ;
+  wire \B_0_1.WORD[28].W.B1.SEL_B ;
+  wire \B_0_1.WORD[28].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[28].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[28].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[28].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[28].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[28].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[28].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[28].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[28].W.B1.we_wire ;
+  wire \B_0_1.WORD[28].W.B2.GCLK ;
+  wire \B_0_1.WORD[28].W.B2.SEL_B ;
+  wire \B_0_1.WORD[28].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[28].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[28].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[28].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[28].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[28].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[28].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[28].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[28].W.B2.we_wire ;
+  wire \B_0_1.WORD[28].W.B3.GCLK ;
+  wire \B_0_1.WORD[28].W.B3.SEL_B ;
+  wire \B_0_1.WORD[28].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[28].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[28].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[28].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[28].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[28].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[28].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[28].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[28].W.B3.we_wire ;
+  wire \B_0_1.WORD[29].W.B0.GCLK ;
+  wire \B_0_1.WORD[29].W.B0.SEL_B ;
+  wire \B_0_1.WORD[29].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[29].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[29].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[29].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[29].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[29].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[29].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[29].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[29].W.B0.we_wire ;
+  wire \B_0_1.WORD[29].W.B1.GCLK ;
+  wire \B_0_1.WORD[29].W.B1.SEL_B ;
+  wire \B_0_1.WORD[29].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[29].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[29].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[29].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[29].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[29].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[29].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[29].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[29].W.B1.we_wire ;
+  wire \B_0_1.WORD[29].W.B2.GCLK ;
+  wire \B_0_1.WORD[29].W.B2.SEL_B ;
+  wire \B_0_1.WORD[29].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[29].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[29].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[29].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[29].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[29].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[29].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[29].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[29].W.B2.we_wire ;
+  wire \B_0_1.WORD[29].W.B3.GCLK ;
+  wire \B_0_1.WORD[29].W.B3.SEL_B ;
+  wire \B_0_1.WORD[29].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[29].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[29].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[29].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[29].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[29].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[29].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[29].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[29].W.B3.we_wire ;
+  wire \B_0_1.WORD[2].W.B0.GCLK ;
+  wire \B_0_1.WORD[2].W.B0.SEL_B ;
+  wire \B_0_1.WORD[2].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[2].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[2].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[2].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[2].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[2].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[2].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[2].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[2].W.B0.we_wire ;
+  wire \B_0_1.WORD[2].W.B1.GCLK ;
+  wire \B_0_1.WORD[2].W.B1.SEL_B ;
+  wire \B_0_1.WORD[2].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[2].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[2].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[2].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[2].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[2].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[2].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[2].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[2].W.B1.we_wire ;
+  wire \B_0_1.WORD[2].W.B2.GCLK ;
+  wire \B_0_1.WORD[2].W.B2.SEL_B ;
+  wire \B_0_1.WORD[2].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[2].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[2].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[2].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[2].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[2].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[2].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[2].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[2].W.B2.we_wire ;
+  wire \B_0_1.WORD[2].W.B3.GCLK ;
+  wire \B_0_1.WORD[2].W.B3.SEL_B ;
+  wire \B_0_1.WORD[2].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[2].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[2].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[2].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[2].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[2].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[2].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[2].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[2].W.B3.we_wire ;
+  wire \B_0_1.WORD[30].W.B0.GCLK ;
+  wire \B_0_1.WORD[30].W.B0.SEL_B ;
+  wire \B_0_1.WORD[30].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[30].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[30].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[30].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[30].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[30].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[30].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[30].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[30].W.B0.we_wire ;
+  wire \B_0_1.WORD[30].W.B1.GCLK ;
+  wire \B_0_1.WORD[30].W.B1.SEL_B ;
+  wire \B_0_1.WORD[30].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[30].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[30].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[30].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[30].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[30].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[30].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[30].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[30].W.B1.we_wire ;
+  wire \B_0_1.WORD[30].W.B2.GCLK ;
+  wire \B_0_1.WORD[30].W.B2.SEL_B ;
+  wire \B_0_1.WORD[30].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[30].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[30].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[30].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[30].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[30].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[30].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[30].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[30].W.B2.we_wire ;
+  wire \B_0_1.WORD[30].W.B3.GCLK ;
+  wire \B_0_1.WORD[30].W.B3.SEL_B ;
+  wire \B_0_1.WORD[30].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[30].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[30].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[30].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[30].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[30].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[30].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[30].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[30].W.B3.we_wire ;
+  wire \B_0_1.WORD[31].W.B0.GCLK ;
+  wire \B_0_1.WORD[31].W.B0.SEL_B ;
+  wire \B_0_1.WORD[31].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[31].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[31].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[31].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[31].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[31].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[31].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[31].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[31].W.B0.we_wire ;
+  wire \B_0_1.WORD[31].W.B1.GCLK ;
+  wire \B_0_1.WORD[31].W.B1.SEL_B ;
+  wire \B_0_1.WORD[31].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[31].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[31].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[31].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[31].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[31].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[31].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[31].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[31].W.B1.we_wire ;
+  wire \B_0_1.WORD[31].W.B2.GCLK ;
+  wire \B_0_1.WORD[31].W.B2.SEL_B ;
+  wire \B_0_1.WORD[31].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[31].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[31].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[31].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[31].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[31].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[31].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[31].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[31].W.B2.we_wire ;
+  wire \B_0_1.WORD[31].W.B3.GCLK ;
+  wire \B_0_1.WORD[31].W.B3.SEL_B ;
+  wire \B_0_1.WORD[31].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[31].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[31].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[31].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[31].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[31].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[31].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[31].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[31].W.B3.we_wire ;
+  wire \B_0_1.WORD[32].W.B0.GCLK ;
+  wire \B_0_1.WORD[32].W.B0.SEL_B ;
+  wire \B_0_1.WORD[32].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[32].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[32].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[32].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[32].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[32].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[32].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[32].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[32].W.B0.we_wire ;
+  wire \B_0_1.WORD[32].W.B1.GCLK ;
+  wire \B_0_1.WORD[32].W.B1.SEL_B ;
+  wire \B_0_1.WORD[32].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[32].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[32].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[32].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[32].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[32].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[32].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[32].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[32].W.B1.we_wire ;
+  wire \B_0_1.WORD[32].W.B2.GCLK ;
+  wire \B_0_1.WORD[32].W.B2.SEL_B ;
+  wire \B_0_1.WORD[32].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[32].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[32].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[32].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[32].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[32].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[32].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[32].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[32].W.B2.we_wire ;
+  wire \B_0_1.WORD[32].W.B3.GCLK ;
+  wire \B_0_1.WORD[32].W.B3.SEL_B ;
+  wire \B_0_1.WORD[32].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[32].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[32].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[32].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[32].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[32].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[32].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[32].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[32].W.B3.we_wire ;
+  wire \B_0_1.WORD[33].W.B0.GCLK ;
+  wire \B_0_1.WORD[33].W.B0.SEL_B ;
+  wire \B_0_1.WORD[33].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[33].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[33].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[33].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[33].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[33].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[33].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[33].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[33].W.B0.we_wire ;
+  wire \B_0_1.WORD[33].W.B1.GCLK ;
+  wire \B_0_1.WORD[33].W.B1.SEL_B ;
+  wire \B_0_1.WORD[33].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[33].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[33].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[33].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[33].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[33].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[33].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[33].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[33].W.B1.we_wire ;
+  wire \B_0_1.WORD[33].W.B2.GCLK ;
+  wire \B_0_1.WORD[33].W.B2.SEL_B ;
+  wire \B_0_1.WORD[33].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[33].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[33].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[33].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[33].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[33].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[33].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[33].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[33].W.B2.we_wire ;
+  wire \B_0_1.WORD[33].W.B3.GCLK ;
+  wire \B_0_1.WORD[33].W.B3.SEL_B ;
+  wire \B_0_1.WORD[33].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[33].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[33].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[33].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[33].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[33].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[33].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[33].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[33].W.B3.we_wire ;
+  wire \B_0_1.WORD[34].W.B0.GCLK ;
+  wire \B_0_1.WORD[34].W.B0.SEL_B ;
+  wire \B_0_1.WORD[34].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[34].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[34].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[34].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[34].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[34].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[34].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[34].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[34].W.B0.we_wire ;
+  wire \B_0_1.WORD[34].W.B1.GCLK ;
+  wire \B_0_1.WORD[34].W.B1.SEL_B ;
+  wire \B_0_1.WORD[34].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[34].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[34].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[34].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[34].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[34].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[34].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[34].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[34].W.B1.we_wire ;
+  wire \B_0_1.WORD[34].W.B2.GCLK ;
+  wire \B_0_1.WORD[34].W.B2.SEL_B ;
+  wire \B_0_1.WORD[34].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[34].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[34].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[34].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[34].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[34].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[34].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[34].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[34].W.B2.we_wire ;
+  wire \B_0_1.WORD[34].W.B3.GCLK ;
+  wire \B_0_1.WORD[34].W.B3.SEL_B ;
+  wire \B_0_1.WORD[34].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[34].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[34].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[34].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[34].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[34].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[34].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[34].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[34].W.B3.we_wire ;
+  wire \B_0_1.WORD[35].W.B0.GCLK ;
+  wire \B_0_1.WORD[35].W.B0.SEL_B ;
+  wire \B_0_1.WORD[35].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[35].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[35].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[35].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[35].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[35].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[35].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[35].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[35].W.B0.we_wire ;
+  wire \B_0_1.WORD[35].W.B1.GCLK ;
+  wire \B_0_1.WORD[35].W.B1.SEL_B ;
+  wire \B_0_1.WORD[35].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[35].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[35].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[35].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[35].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[35].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[35].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[35].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[35].W.B1.we_wire ;
+  wire \B_0_1.WORD[35].W.B2.GCLK ;
+  wire \B_0_1.WORD[35].W.B2.SEL_B ;
+  wire \B_0_1.WORD[35].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[35].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[35].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[35].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[35].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[35].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[35].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[35].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[35].W.B2.we_wire ;
+  wire \B_0_1.WORD[35].W.B3.GCLK ;
+  wire \B_0_1.WORD[35].W.B3.SEL_B ;
+  wire \B_0_1.WORD[35].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[35].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[35].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[35].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[35].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[35].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[35].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[35].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[35].W.B3.we_wire ;
+  wire \B_0_1.WORD[36].W.B0.GCLK ;
+  wire \B_0_1.WORD[36].W.B0.SEL_B ;
+  wire \B_0_1.WORD[36].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[36].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[36].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[36].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[36].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[36].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[36].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[36].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[36].W.B0.we_wire ;
+  wire \B_0_1.WORD[36].W.B1.GCLK ;
+  wire \B_0_1.WORD[36].W.B1.SEL_B ;
+  wire \B_0_1.WORD[36].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[36].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[36].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[36].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[36].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[36].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[36].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[36].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[36].W.B1.we_wire ;
+  wire \B_0_1.WORD[36].W.B2.GCLK ;
+  wire \B_0_1.WORD[36].W.B2.SEL_B ;
+  wire \B_0_1.WORD[36].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[36].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[36].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[36].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[36].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[36].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[36].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[36].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[36].W.B2.we_wire ;
+  wire \B_0_1.WORD[36].W.B3.GCLK ;
+  wire \B_0_1.WORD[36].W.B3.SEL_B ;
+  wire \B_0_1.WORD[36].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[36].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[36].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[36].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[36].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[36].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[36].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[36].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[36].W.B3.we_wire ;
+  wire \B_0_1.WORD[37].W.B0.GCLK ;
+  wire \B_0_1.WORD[37].W.B0.SEL_B ;
+  wire \B_0_1.WORD[37].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[37].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[37].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[37].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[37].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[37].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[37].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[37].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[37].W.B0.we_wire ;
+  wire \B_0_1.WORD[37].W.B1.GCLK ;
+  wire \B_0_1.WORD[37].W.B1.SEL_B ;
+  wire \B_0_1.WORD[37].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[37].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[37].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[37].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[37].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[37].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[37].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[37].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[37].W.B1.we_wire ;
+  wire \B_0_1.WORD[37].W.B2.GCLK ;
+  wire \B_0_1.WORD[37].W.B2.SEL_B ;
+  wire \B_0_1.WORD[37].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[37].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[37].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[37].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[37].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[37].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[37].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[37].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[37].W.B2.we_wire ;
+  wire \B_0_1.WORD[37].W.B3.GCLK ;
+  wire \B_0_1.WORD[37].W.B3.SEL_B ;
+  wire \B_0_1.WORD[37].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[37].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[37].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[37].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[37].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[37].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[37].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[37].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[37].W.B3.we_wire ;
+  wire \B_0_1.WORD[38].W.B0.GCLK ;
+  wire \B_0_1.WORD[38].W.B0.SEL_B ;
+  wire \B_0_1.WORD[38].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[38].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[38].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[38].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[38].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[38].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[38].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[38].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[38].W.B0.we_wire ;
+  wire \B_0_1.WORD[38].W.B1.GCLK ;
+  wire \B_0_1.WORD[38].W.B1.SEL_B ;
+  wire \B_0_1.WORD[38].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[38].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[38].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[38].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[38].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[38].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[38].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[38].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[38].W.B1.we_wire ;
+  wire \B_0_1.WORD[38].W.B2.GCLK ;
+  wire \B_0_1.WORD[38].W.B2.SEL_B ;
+  wire \B_0_1.WORD[38].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[38].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[38].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[38].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[38].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[38].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[38].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[38].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[38].W.B2.we_wire ;
+  wire \B_0_1.WORD[38].W.B3.GCLK ;
+  wire \B_0_1.WORD[38].W.B3.SEL_B ;
+  wire \B_0_1.WORD[38].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[38].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[38].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[38].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[38].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[38].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[38].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[38].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[38].W.B3.we_wire ;
+  wire \B_0_1.WORD[39].W.B0.GCLK ;
+  wire \B_0_1.WORD[39].W.B0.SEL_B ;
+  wire \B_0_1.WORD[39].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[39].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[39].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[39].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[39].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[39].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[39].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[39].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[39].W.B0.we_wire ;
+  wire \B_0_1.WORD[39].W.B1.GCLK ;
+  wire \B_0_1.WORD[39].W.B1.SEL_B ;
+  wire \B_0_1.WORD[39].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[39].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[39].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[39].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[39].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[39].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[39].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[39].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[39].W.B1.we_wire ;
+  wire \B_0_1.WORD[39].W.B2.GCLK ;
+  wire \B_0_1.WORD[39].W.B2.SEL_B ;
+  wire \B_0_1.WORD[39].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[39].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[39].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[39].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[39].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[39].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[39].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[39].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[39].W.B2.we_wire ;
+  wire \B_0_1.WORD[39].W.B3.GCLK ;
+  wire \B_0_1.WORD[39].W.B3.SEL_B ;
+  wire \B_0_1.WORD[39].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[39].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[39].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[39].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[39].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[39].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[39].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[39].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[39].W.B3.we_wire ;
+  wire \B_0_1.WORD[3].W.B0.GCLK ;
+  wire \B_0_1.WORD[3].W.B0.SEL_B ;
+  wire \B_0_1.WORD[3].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[3].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[3].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[3].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[3].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[3].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[3].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[3].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[3].W.B0.we_wire ;
+  wire \B_0_1.WORD[3].W.B1.GCLK ;
+  wire \B_0_1.WORD[3].W.B1.SEL_B ;
+  wire \B_0_1.WORD[3].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[3].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[3].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[3].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[3].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[3].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[3].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[3].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[3].W.B1.we_wire ;
+  wire \B_0_1.WORD[3].W.B2.GCLK ;
+  wire \B_0_1.WORD[3].W.B2.SEL_B ;
+  wire \B_0_1.WORD[3].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[3].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[3].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[3].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[3].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[3].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[3].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[3].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[3].W.B2.we_wire ;
+  wire \B_0_1.WORD[3].W.B3.GCLK ;
+  wire \B_0_1.WORD[3].W.B3.SEL_B ;
+  wire \B_0_1.WORD[3].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[3].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[3].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[3].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[3].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[3].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[3].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[3].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[3].W.B3.we_wire ;
+  wire \B_0_1.WORD[40].W.B0.GCLK ;
+  wire \B_0_1.WORD[40].W.B0.SEL_B ;
+  wire \B_0_1.WORD[40].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[40].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[40].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[40].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[40].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[40].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[40].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[40].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[40].W.B0.we_wire ;
+  wire \B_0_1.WORD[40].W.B1.GCLK ;
+  wire \B_0_1.WORD[40].W.B1.SEL_B ;
+  wire \B_0_1.WORD[40].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[40].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[40].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[40].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[40].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[40].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[40].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[40].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[40].W.B1.we_wire ;
+  wire \B_0_1.WORD[40].W.B2.GCLK ;
+  wire \B_0_1.WORD[40].W.B2.SEL_B ;
+  wire \B_0_1.WORD[40].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[40].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[40].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[40].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[40].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[40].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[40].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[40].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[40].W.B2.we_wire ;
+  wire \B_0_1.WORD[40].W.B3.GCLK ;
+  wire \B_0_1.WORD[40].W.B3.SEL_B ;
+  wire \B_0_1.WORD[40].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[40].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[40].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[40].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[40].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[40].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[40].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[40].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[40].W.B3.we_wire ;
+  wire \B_0_1.WORD[41].W.B0.GCLK ;
+  wire \B_0_1.WORD[41].W.B0.SEL_B ;
+  wire \B_0_1.WORD[41].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[41].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[41].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[41].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[41].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[41].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[41].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[41].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[41].W.B0.we_wire ;
+  wire \B_0_1.WORD[41].W.B1.GCLK ;
+  wire \B_0_1.WORD[41].W.B1.SEL_B ;
+  wire \B_0_1.WORD[41].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[41].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[41].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[41].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[41].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[41].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[41].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[41].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[41].W.B1.we_wire ;
+  wire \B_0_1.WORD[41].W.B2.GCLK ;
+  wire \B_0_1.WORD[41].W.B2.SEL_B ;
+  wire \B_0_1.WORD[41].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[41].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[41].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[41].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[41].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[41].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[41].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[41].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[41].W.B2.we_wire ;
+  wire \B_0_1.WORD[41].W.B3.GCLK ;
+  wire \B_0_1.WORD[41].W.B3.SEL_B ;
+  wire \B_0_1.WORD[41].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[41].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[41].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[41].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[41].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[41].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[41].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[41].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[41].W.B3.we_wire ;
+  wire \B_0_1.WORD[42].W.B0.GCLK ;
+  wire \B_0_1.WORD[42].W.B0.SEL_B ;
+  wire \B_0_1.WORD[42].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[42].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[42].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[42].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[42].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[42].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[42].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[42].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[42].W.B0.we_wire ;
+  wire \B_0_1.WORD[42].W.B1.GCLK ;
+  wire \B_0_1.WORD[42].W.B1.SEL_B ;
+  wire \B_0_1.WORD[42].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[42].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[42].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[42].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[42].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[42].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[42].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[42].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[42].W.B1.we_wire ;
+  wire \B_0_1.WORD[42].W.B2.GCLK ;
+  wire \B_0_1.WORD[42].W.B2.SEL_B ;
+  wire \B_0_1.WORD[42].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[42].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[42].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[42].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[42].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[42].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[42].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[42].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[42].W.B2.we_wire ;
+  wire \B_0_1.WORD[42].W.B3.GCLK ;
+  wire \B_0_1.WORD[42].W.B3.SEL_B ;
+  wire \B_0_1.WORD[42].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[42].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[42].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[42].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[42].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[42].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[42].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[42].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[42].W.B3.we_wire ;
+  wire \B_0_1.WORD[43].W.B0.GCLK ;
+  wire \B_0_1.WORD[43].W.B0.SEL_B ;
+  wire \B_0_1.WORD[43].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[43].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[43].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[43].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[43].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[43].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[43].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[43].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[43].W.B0.we_wire ;
+  wire \B_0_1.WORD[43].W.B1.GCLK ;
+  wire \B_0_1.WORD[43].W.B1.SEL_B ;
+  wire \B_0_1.WORD[43].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[43].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[43].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[43].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[43].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[43].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[43].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[43].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[43].W.B1.we_wire ;
+  wire \B_0_1.WORD[43].W.B2.GCLK ;
+  wire \B_0_1.WORD[43].W.B2.SEL_B ;
+  wire \B_0_1.WORD[43].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[43].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[43].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[43].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[43].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[43].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[43].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[43].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[43].W.B2.we_wire ;
+  wire \B_0_1.WORD[43].W.B3.GCLK ;
+  wire \B_0_1.WORD[43].W.B3.SEL_B ;
+  wire \B_0_1.WORD[43].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[43].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[43].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[43].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[43].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[43].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[43].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[43].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[43].W.B3.we_wire ;
+  wire \B_0_1.WORD[44].W.B0.GCLK ;
+  wire \B_0_1.WORD[44].W.B0.SEL_B ;
+  wire \B_0_1.WORD[44].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[44].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[44].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[44].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[44].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[44].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[44].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[44].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[44].W.B0.we_wire ;
+  wire \B_0_1.WORD[44].W.B1.GCLK ;
+  wire \B_0_1.WORD[44].W.B1.SEL_B ;
+  wire \B_0_1.WORD[44].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[44].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[44].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[44].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[44].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[44].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[44].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[44].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[44].W.B1.we_wire ;
+  wire \B_0_1.WORD[44].W.B2.GCLK ;
+  wire \B_0_1.WORD[44].W.B2.SEL_B ;
+  wire \B_0_1.WORD[44].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[44].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[44].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[44].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[44].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[44].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[44].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[44].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[44].W.B2.we_wire ;
+  wire \B_0_1.WORD[44].W.B3.GCLK ;
+  wire \B_0_1.WORD[44].W.B3.SEL_B ;
+  wire \B_0_1.WORD[44].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[44].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[44].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[44].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[44].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[44].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[44].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[44].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[44].W.B3.we_wire ;
+  wire \B_0_1.WORD[45].W.B0.GCLK ;
+  wire \B_0_1.WORD[45].W.B0.SEL_B ;
+  wire \B_0_1.WORD[45].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[45].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[45].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[45].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[45].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[45].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[45].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[45].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[45].W.B0.we_wire ;
+  wire \B_0_1.WORD[45].W.B1.GCLK ;
+  wire \B_0_1.WORD[45].W.B1.SEL_B ;
+  wire \B_0_1.WORD[45].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[45].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[45].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[45].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[45].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[45].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[45].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[45].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[45].W.B1.we_wire ;
+  wire \B_0_1.WORD[45].W.B2.GCLK ;
+  wire \B_0_1.WORD[45].W.B2.SEL_B ;
+  wire \B_0_1.WORD[45].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[45].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[45].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[45].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[45].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[45].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[45].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[45].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[45].W.B2.we_wire ;
+  wire \B_0_1.WORD[45].W.B3.GCLK ;
+  wire \B_0_1.WORD[45].W.B3.SEL_B ;
+  wire \B_0_1.WORD[45].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[45].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[45].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[45].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[45].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[45].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[45].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[45].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[45].W.B3.we_wire ;
+  wire \B_0_1.WORD[46].W.B0.GCLK ;
+  wire \B_0_1.WORD[46].W.B0.SEL_B ;
+  wire \B_0_1.WORD[46].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[46].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[46].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[46].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[46].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[46].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[46].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[46].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[46].W.B0.we_wire ;
+  wire \B_0_1.WORD[46].W.B1.GCLK ;
+  wire \B_0_1.WORD[46].W.B1.SEL_B ;
+  wire \B_0_1.WORD[46].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[46].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[46].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[46].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[46].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[46].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[46].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[46].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[46].W.B1.we_wire ;
+  wire \B_0_1.WORD[46].W.B2.GCLK ;
+  wire \B_0_1.WORD[46].W.B2.SEL_B ;
+  wire \B_0_1.WORD[46].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[46].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[46].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[46].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[46].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[46].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[46].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[46].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[46].W.B2.we_wire ;
+  wire \B_0_1.WORD[46].W.B3.GCLK ;
+  wire \B_0_1.WORD[46].W.B3.SEL_B ;
+  wire \B_0_1.WORD[46].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[46].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[46].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[46].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[46].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[46].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[46].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[46].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[46].W.B3.we_wire ;
+  wire \B_0_1.WORD[47].W.B0.GCLK ;
+  wire \B_0_1.WORD[47].W.B0.SEL_B ;
+  wire \B_0_1.WORD[47].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[47].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[47].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[47].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[47].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[47].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[47].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[47].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[47].W.B0.we_wire ;
+  wire \B_0_1.WORD[47].W.B1.GCLK ;
+  wire \B_0_1.WORD[47].W.B1.SEL_B ;
+  wire \B_0_1.WORD[47].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[47].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[47].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[47].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[47].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[47].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[47].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[47].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[47].W.B1.we_wire ;
+  wire \B_0_1.WORD[47].W.B2.GCLK ;
+  wire \B_0_1.WORD[47].W.B2.SEL_B ;
+  wire \B_0_1.WORD[47].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[47].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[47].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[47].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[47].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[47].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[47].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[47].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[47].W.B2.we_wire ;
+  wire \B_0_1.WORD[47].W.B3.GCLK ;
+  wire \B_0_1.WORD[47].W.B3.SEL_B ;
+  wire \B_0_1.WORD[47].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[47].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[47].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[47].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[47].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[47].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[47].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[47].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[47].W.B3.we_wire ;
+  wire \B_0_1.WORD[48].W.B0.GCLK ;
+  wire \B_0_1.WORD[48].W.B0.SEL_B ;
+  wire \B_0_1.WORD[48].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[48].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[48].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[48].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[48].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[48].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[48].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[48].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[48].W.B0.we_wire ;
+  wire \B_0_1.WORD[48].W.B1.GCLK ;
+  wire \B_0_1.WORD[48].W.B1.SEL_B ;
+  wire \B_0_1.WORD[48].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[48].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[48].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[48].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[48].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[48].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[48].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[48].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[48].W.B1.we_wire ;
+  wire \B_0_1.WORD[48].W.B2.GCLK ;
+  wire \B_0_1.WORD[48].W.B2.SEL_B ;
+  wire \B_0_1.WORD[48].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[48].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[48].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[48].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[48].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[48].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[48].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[48].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[48].W.B2.we_wire ;
+  wire \B_0_1.WORD[48].W.B3.GCLK ;
+  wire \B_0_1.WORD[48].W.B3.SEL_B ;
+  wire \B_0_1.WORD[48].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[48].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[48].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[48].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[48].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[48].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[48].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[48].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[48].W.B3.we_wire ;
+  wire \B_0_1.WORD[49].W.B0.GCLK ;
+  wire \B_0_1.WORD[49].W.B0.SEL_B ;
+  wire \B_0_1.WORD[49].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[49].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[49].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[49].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[49].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[49].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[49].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[49].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[49].W.B0.we_wire ;
+  wire \B_0_1.WORD[49].W.B1.GCLK ;
+  wire \B_0_1.WORD[49].W.B1.SEL_B ;
+  wire \B_0_1.WORD[49].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[49].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[49].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[49].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[49].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[49].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[49].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[49].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[49].W.B1.we_wire ;
+  wire \B_0_1.WORD[49].W.B2.GCLK ;
+  wire \B_0_1.WORD[49].W.B2.SEL_B ;
+  wire \B_0_1.WORD[49].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[49].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[49].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[49].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[49].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[49].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[49].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[49].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[49].W.B2.we_wire ;
+  wire \B_0_1.WORD[49].W.B3.GCLK ;
+  wire \B_0_1.WORD[49].W.B3.SEL_B ;
+  wire \B_0_1.WORD[49].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[49].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[49].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[49].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[49].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[49].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[49].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[49].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[49].W.B3.we_wire ;
+  wire \B_0_1.WORD[4].W.B0.GCLK ;
+  wire \B_0_1.WORD[4].W.B0.SEL_B ;
+  wire \B_0_1.WORD[4].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[4].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[4].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[4].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[4].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[4].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[4].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[4].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[4].W.B0.we_wire ;
+  wire \B_0_1.WORD[4].W.B1.GCLK ;
+  wire \B_0_1.WORD[4].W.B1.SEL_B ;
+  wire \B_0_1.WORD[4].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[4].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[4].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[4].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[4].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[4].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[4].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[4].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[4].W.B1.we_wire ;
+  wire \B_0_1.WORD[4].W.B2.GCLK ;
+  wire \B_0_1.WORD[4].W.B2.SEL_B ;
+  wire \B_0_1.WORD[4].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[4].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[4].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[4].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[4].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[4].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[4].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[4].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[4].W.B2.we_wire ;
+  wire \B_0_1.WORD[4].W.B3.GCLK ;
+  wire \B_0_1.WORD[4].W.B3.SEL_B ;
+  wire \B_0_1.WORD[4].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[4].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[4].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[4].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[4].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[4].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[4].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[4].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[4].W.B3.we_wire ;
+  wire \B_0_1.WORD[50].W.B0.GCLK ;
+  wire \B_0_1.WORD[50].W.B0.SEL_B ;
+  wire \B_0_1.WORD[50].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[50].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[50].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[50].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[50].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[50].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[50].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[50].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[50].W.B0.we_wire ;
+  wire \B_0_1.WORD[50].W.B1.GCLK ;
+  wire \B_0_1.WORD[50].W.B1.SEL_B ;
+  wire \B_0_1.WORD[50].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[50].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[50].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[50].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[50].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[50].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[50].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[50].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[50].W.B1.we_wire ;
+  wire \B_0_1.WORD[50].W.B2.GCLK ;
+  wire \B_0_1.WORD[50].W.B2.SEL_B ;
+  wire \B_0_1.WORD[50].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[50].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[50].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[50].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[50].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[50].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[50].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[50].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[50].W.B2.we_wire ;
+  wire \B_0_1.WORD[50].W.B3.GCLK ;
+  wire \B_0_1.WORD[50].W.B3.SEL_B ;
+  wire \B_0_1.WORD[50].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[50].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[50].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[50].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[50].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[50].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[50].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[50].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[50].W.B3.we_wire ;
+  wire \B_0_1.WORD[51].W.B0.GCLK ;
+  wire \B_0_1.WORD[51].W.B0.SEL_B ;
+  wire \B_0_1.WORD[51].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[51].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[51].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[51].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[51].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[51].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[51].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[51].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[51].W.B0.we_wire ;
+  wire \B_0_1.WORD[51].W.B1.GCLK ;
+  wire \B_0_1.WORD[51].W.B1.SEL_B ;
+  wire \B_0_1.WORD[51].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[51].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[51].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[51].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[51].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[51].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[51].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[51].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[51].W.B1.we_wire ;
+  wire \B_0_1.WORD[51].W.B2.GCLK ;
+  wire \B_0_1.WORD[51].W.B2.SEL_B ;
+  wire \B_0_1.WORD[51].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[51].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[51].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[51].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[51].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[51].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[51].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[51].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[51].W.B2.we_wire ;
+  wire \B_0_1.WORD[51].W.B3.GCLK ;
+  wire \B_0_1.WORD[51].W.B3.SEL_B ;
+  wire \B_0_1.WORD[51].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[51].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[51].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[51].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[51].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[51].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[51].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[51].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[51].W.B3.we_wire ;
+  wire \B_0_1.WORD[52].W.B0.GCLK ;
+  wire \B_0_1.WORD[52].W.B0.SEL_B ;
+  wire \B_0_1.WORD[52].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[52].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[52].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[52].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[52].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[52].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[52].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[52].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[52].W.B0.we_wire ;
+  wire \B_0_1.WORD[52].W.B1.GCLK ;
+  wire \B_0_1.WORD[52].W.B1.SEL_B ;
+  wire \B_0_1.WORD[52].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[52].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[52].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[52].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[52].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[52].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[52].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[52].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[52].W.B1.we_wire ;
+  wire \B_0_1.WORD[52].W.B2.GCLK ;
+  wire \B_0_1.WORD[52].W.B2.SEL_B ;
+  wire \B_0_1.WORD[52].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[52].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[52].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[52].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[52].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[52].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[52].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[52].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[52].W.B2.we_wire ;
+  wire \B_0_1.WORD[52].W.B3.GCLK ;
+  wire \B_0_1.WORD[52].W.B3.SEL_B ;
+  wire \B_0_1.WORD[52].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[52].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[52].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[52].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[52].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[52].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[52].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[52].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[52].W.B3.we_wire ;
+  wire \B_0_1.WORD[53].W.B0.GCLK ;
+  wire \B_0_1.WORD[53].W.B0.SEL_B ;
+  wire \B_0_1.WORD[53].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[53].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[53].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[53].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[53].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[53].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[53].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[53].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[53].W.B0.we_wire ;
+  wire \B_0_1.WORD[53].W.B1.GCLK ;
+  wire \B_0_1.WORD[53].W.B1.SEL_B ;
+  wire \B_0_1.WORD[53].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[53].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[53].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[53].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[53].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[53].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[53].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[53].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[53].W.B1.we_wire ;
+  wire \B_0_1.WORD[53].W.B2.GCLK ;
+  wire \B_0_1.WORD[53].W.B2.SEL_B ;
+  wire \B_0_1.WORD[53].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[53].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[53].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[53].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[53].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[53].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[53].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[53].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[53].W.B2.we_wire ;
+  wire \B_0_1.WORD[53].W.B3.GCLK ;
+  wire \B_0_1.WORD[53].W.B3.SEL_B ;
+  wire \B_0_1.WORD[53].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[53].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[53].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[53].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[53].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[53].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[53].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[53].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[53].W.B3.we_wire ;
+  wire \B_0_1.WORD[54].W.B0.GCLK ;
+  wire \B_0_1.WORD[54].W.B0.SEL_B ;
+  wire \B_0_1.WORD[54].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[54].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[54].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[54].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[54].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[54].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[54].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[54].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[54].W.B0.we_wire ;
+  wire \B_0_1.WORD[54].W.B1.GCLK ;
+  wire \B_0_1.WORD[54].W.B1.SEL_B ;
+  wire \B_0_1.WORD[54].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[54].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[54].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[54].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[54].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[54].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[54].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[54].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[54].W.B1.we_wire ;
+  wire \B_0_1.WORD[54].W.B2.GCLK ;
+  wire \B_0_1.WORD[54].W.B2.SEL_B ;
+  wire \B_0_1.WORD[54].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[54].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[54].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[54].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[54].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[54].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[54].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[54].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[54].W.B2.we_wire ;
+  wire \B_0_1.WORD[54].W.B3.GCLK ;
+  wire \B_0_1.WORD[54].W.B3.SEL_B ;
+  wire \B_0_1.WORD[54].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[54].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[54].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[54].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[54].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[54].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[54].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[54].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[54].W.B3.we_wire ;
+  wire \B_0_1.WORD[55].W.B0.GCLK ;
+  wire \B_0_1.WORD[55].W.B0.SEL_B ;
+  wire \B_0_1.WORD[55].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[55].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[55].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[55].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[55].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[55].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[55].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[55].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[55].W.B0.we_wire ;
+  wire \B_0_1.WORD[55].W.B1.GCLK ;
+  wire \B_0_1.WORD[55].W.B1.SEL_B ;
+  wire \B_0_1.WORD[55].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[55].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[55].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[55].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[55].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[55].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[55].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[55].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[55].W.B1.we_wire ;
+  wire \B_0_1.WORD[55].W.B2.GCLK ;
+  wire \B_0_1.WORD[55].W.B2.SEL_B ;
+  wire \B_0_1.WORD[55].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[55].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[55].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[55].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[55].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[55].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[55].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[55].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[55].W.B2.we_wire ;
+  wire \B_0_1.WORD[55].W.B3.GCLK ;
+  wire \B_0_1.WORD[55].W.B3.SEL_B ;
+  wire \B_0_1.WORD[55].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[55].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[55].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[55].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[55].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[55].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[55].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[55].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[55].W.B3.we_wire ;
+  wire \B_0_1.WORD[56].W.B0.GCLK ;
+  wire \B_0_1.WORD[56].W.B0.SEL_B ;
+  wire \B_0_1.WORD[56].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[56].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[56].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[56].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[56].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[56].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[56].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[56].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[56].W.B0.we_wire ;
+  wire \B_0_1.WORD[56].W.B1.GCLK ;
+  wire \B_0_1.WORD[56].W.B1.SEL_B ;
+  wire \B_0_1.WORD[56].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[56].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[56].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[56].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[56].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[56].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[56].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[56].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[56].W.B1.we_wire ;
+  wire \B_0_1.WORD[56].W.B2.GCLK ;
+  wire \B_0_1.WORD[56].W.B2.SEL_B ;
+  wire \B_0_1.WORD[56].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[56].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[56].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[56].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[56].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[56].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[56].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[56].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[56].W.B2.we_wire ;
+  wire \B_0_1.WORD[56].W.B3.GCLK ;
+  wire \B_0_1.WORD[56].W.B3.SEL_B ;
+  wire \B_0_1.WORD[56].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[56].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[56].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[56].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[56].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[56].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[56].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[56].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[56].W.B3.we_wire ;
+  wire \B_0_1.WORD[57].W.B0.GCLK ;
+  wire \B_0_1.WORD[57].W.B0.SEL_B ;
+  wire \B_0_1.WORD[57].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[57].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[57].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[57].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[57].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[57].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[57].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[57].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[57].W.B0.we_wire ;
+  wire \B_0_1.WORD[57].W.B1.GCLK ;
+  wire \B_0_1.WORD[57].W.B1.SEL_B ;
+  wire \B_0_1.WORD[57].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[57].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[57].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[57].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[57].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[57].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[57].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[57].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[57].W.B1.we_wire ;
+  wire \B_0_1.WORD[57].W.B2.GCLK ;
+  wire \B_0_1.WORD[57].W.B2.SEL_B ;
+  wire \B_0_1.WORD[57].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[57].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[57].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[57].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[57].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[57].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[57].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[57].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[57].W.B2.we_wire ;
+  wire \B_0_1.WORD[57].W.B3.GCLK ;
+  wire \B_0_1.WORD[57].W.B3.SEL_B ;
+  wire \B_0_1.WORD[57].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[57].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[57].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[57].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[57].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[57].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[57].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[57].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[57].W.B3.we_wire ;
+  wire \B_0_1.WORD[58].W.B0.GCLK ;
+  wire \B_0_1.WORD[58].W.B0.SEL_B ;
+  wire \B_0_1.WORD[58].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[58].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[58].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[58].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[58].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[58].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[58].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[58].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[58].W.B0.we_wire ;
+  wire \B_0_1.WORD[58].W.B1.GCLK ;
+  wire \B_0_1.WORD[58].W.B1.SEL_B ;
+  wire \B_0_1.WORD[58].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[58].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[58].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[58].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[58].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[58].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[58].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[58].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[58].W.B1.we_wire ;
+  wire \B_0_1.WORD[58].W.B2.GCLK ;
+  wire \B_0_1.WORD[58].W.B2.SEL_B ;
+  wire \B_0_1.WORD[58].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[58].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[58].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[58].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[58].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[58].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[58].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[58].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[58].W.B2.we_wire ;
+  wire \B_0_1.WORD[58].W.B3.GCLK ;
+  wire \B_0_1.WORD[58].W.B3.SEL_B ;
+  wire \B_0_1.WORD[58].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[58].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[58].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[58].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[58].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[58].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[58].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[58].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[58].W.B3.we_wire ;
+  wire \B_0_1.WORD[59].W.B0.GCLK ;
+  wire \B_0_1.WORD[59].W.B0.SEL_B ;
+  wire \B_0_1.WORD[59].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[59].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[59].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[59].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[59].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[59].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[59].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[59].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[59].W.B0.we_wire ;
+  wire \B_0_1.WORD[59].W.B1.GCLK ;
+  wire \B_0_1.WORD[59].W.B1.SEL_B ;
+  wire \B_0_1.WORD[59].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[59].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[59].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[59].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[59].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[59].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[59].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[59].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[59].W.B1.we_wire ;
+  wire \B_0_1.WORD[59].W.B2.GCLK ;
+  wire \B_0_1.WORD[59].W.B2.SEL_B ;
+  wire \B_0_1.WORD[59].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[59].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[59].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[59].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[59].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[59].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[59].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[59].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[59].W.B2.we_wire ;
+  wire \B_0_1.WORD[59].W.B3.GCLK ;
+  wire \B_0_1.WORD[59].W.B3.SEL_B ;
+  wire \B_0_1.WORD[59].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[59].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[59].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[59].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[59].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[59].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[59].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[59].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[59].W.B3.we_wire ;
+  wire \B_0_1.WORD[5].W.B0.GCLK ;
+  wire \B_0_1.WORD[5].W.B0.SEL_B ;
+  wire \B_0_1.WORD[5].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[5].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[5].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[5].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[5].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[5].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[5].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[5].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[5].W.B0.we_wire ;
+  wire \B_0_1.WORD[5].W.B1.GCLK ;
+  wire \B_0_1.WORD[5].W.B1.SEL_B ;
+  wire \B_0_1.WORD[5].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[5].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[5].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[5].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[5].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[5].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[5].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[5].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[5].W.B1.we_wire ;
+  wire \B_0_1.WORD[5].W.B2.GCLK ;
+  wire \B_0_1.WORD[5].W.B2.SEL_B ;
+  wire \B_0_1.WORD[5].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[5].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[5].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[5].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[5].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[5].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[5].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[5].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[5].W.B2.we_wire ;
+  wire \B_0_1.WORD[5].W.B3.GCLK ;
+  wire \B_0_1.WORD[5].W.B3.SEL_B ;
+  wire \B_0_1.WORD[5].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[5].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[5].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[5].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[5].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[5].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[5].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[5].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[5].W.B3.we_wire ;
+  wire \B_0_1.WORD[60].W.B0.GCLK ;
+  wire \B_0_1.WORD[60].W.B0.SEL_B ;
+  wire \B_0_1.WORD[60].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[60].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[60].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[60].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[60].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[60].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[60].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[60].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[60].W.B0.we_wire ;
+  wire \B_0_1.WORD[60].W.B1.GCLK ;
+  wire \B_0_1.WORD[60].W.B1.SEL_B ;
+  wire \B_0_1.WORD[60].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[60].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[60].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[60].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[60].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[60].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[60].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[60].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[60].W.B1.we_wire ;
+  wire \B_0_1.WORD[60].W.B2.GCLK ;
+  wire \B_0_1.WORD[60].W.B2.SEL_B ;
+  wire \B_0_1.WORD[60].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[60].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[60].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[60].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[60].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[60].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[60].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[60].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[60].W.B2.we_wire ;
+  wire \B_0_1.WORD[60].W.B3.GCLK ;
+  wire \B_0_1.WORD[60].W.B3.SEL_B ;
+  wire \B_0_1.WORD[60].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[60].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[60].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[60].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[60].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[60].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[60].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[60].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[60].W.B3.we_wire ;
+  wire \B_0_1.WORD[61].W.B0.GCLK ;
+  wire \B_0_1.WORD[61].W.B0.SEL_B ;
+  wire \B_0_1.WORD[61].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[61].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[61].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[61].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[61].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[61].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[61].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[61].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[61].W.B0.we_wire ;
+  wire \B_0_1.WORD[61].W.B1.GCLK ;
+  wire \B_0_1.WORD[61].W.B1.SEL_B ;
+  wire \B_0_1.WORD[61].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[61].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[61].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[61].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[61].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[61].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[61].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[61].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[61].W.B1.we_wire ;
+  wire \B_0_1.WORD[61].W.B2.GCLK ;
+  wire \B_0_1.WORD[61].W.B2.SEL_B ;
+  wire \B_0_1.WORD[61].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[61].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[61].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[61].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[61].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[61].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[61].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[61].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[61].W.B2.we_wire ;
+  wire \B_0_1.WORD[61].W.B3.GCLK ;
+  wire \B_0_1.WORD[61].W.B3.SEL_B ;
+  wire \B_0_1.WORD[61].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[61].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[61].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[61].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[61].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[61].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[61].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[61].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[61].W.B3.we_wire ;
+  wire \B_0_1.WORD[62].W.B0.GCLK ;
+  wire \B_0_1.WORD[62].W.B0.SEL_B ;
+  wire \B_0_1.WORD[62].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[62].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[62].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[62].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[62].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[62].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[62].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[62].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[62].W.B0.we_wire ;
+  wire \B_0_1.WORD[62].W.B1.GCLK ;
+  wire \B_0_1.WORD[62].W.B1.SEL_B ;
+  wire \B_0_1.WORD[62].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[62].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[62].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[62].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[62].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[62].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[62].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[62].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[62].W.B1.we_wire ;
+  wire \B_0_1.WORD[62].W.B2.GCLK ;
+  wire \B_0_1.WORD[62].W.B2.SEL_B ;
+  wire \B_0_1.WORD[62].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[62].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[62].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[62].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[62].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[62].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[62].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[62].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[62].W.B2.we_wire ;
+  wire \B_0_1.WORD[62].W.B3.GCLK ;
+  wire \B_0_1.WORD[62].W.B3.SEL_B ;
+  wire \B_0_1.WORD[62].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[62].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[62].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[62].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[62].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[62].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[62].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[62].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[62].W.B3.we_wire ;
+  wire \B_0_1.WORD[63].W.B0.GCLK ;
+  wire \B_0_1.WORD[63].W.B0.SEL_B ;
+  wire \B_0_1.WORD[63].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[63].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[63].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[63].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[63].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[63].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[63].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[63].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[63].W.B0.we_wire ;
+  wire \B_0_1.WORD[63].W.B1.GCLK ;
+  wire \B_0_1.WORD[63].W.B1.SEL_B ;
+  wire \B_0_1.WORD[63].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[63].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[63].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[63].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[63].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[63].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[63].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[63].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[63].W.B1.we_wire ;
+  wire \B_0_1.WORD[63].W.B2.GCLK ;
+  wire \B_0_1.WORD[63].W.B2.SEL_B ;
+  wire \B_0_1.WORD[63].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[63].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[63].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[63].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[63].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[63].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[63].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[63].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[63].W.B2.we_wire ;
+  wire \B_0_1.WORD[63].W.B3.GCLK ;
+  wire \B_0_1.WORD[63].W.B3.SEL_B ;
+  wire \B_0_1.WORD[63].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[63].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[63].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[63].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[63].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[63].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[63].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[63].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[63].W.B3.we_wire ;
+  wire \B_0_1.WORD[6].W.B0.GCLK ;
+  wire \B_0_1.WORD[6].W.B0.SEL_B ;
+  wire \B_0_1.WORD[6].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[6].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[6].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[6].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[6].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[6].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[6].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[6].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[6].W.B0.we_wire ;
+  wire \B_0_1.WORD[6].W.B1.GCLK ;
+  wire \B_0_1.WORD[6].W.B1.SEL_B ;
+  wire \B_0_1.WORD[6].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[6].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[6].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[6].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[6].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[6].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[6].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[6].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[6].W.B1.we_wire ;
+  wire \B_0_1.WORD[6].W.B2.GCLK ;
+  wire \B_0_1.WORD[6].W.B2.SEL_B ;
+  wire \B_0_1.WORD[6].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[6].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[6].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[6].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[6].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[6].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[6].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[6].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[6].W.B2.we_wire ;
+  wire \B_0_1.WORD[6].W.B3.GCLK ;
+  wire \B_0_1.WORD[6].W.B3.SEL_B ;
+  wire \B_0_1.WORD[6].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[6].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[6].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[6].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[6].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[6].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[6].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[6].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[6].W.B3.we_wire ;
+  wire \B_0_1.WORD[7].W.B0.GCLK ;
+  wire \B_0_1.WORD[7].W.B0.SEL_B ;
+  wire \B_0_1.WORD[7].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[7].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[7].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[7].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[7].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[7].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[7].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[7].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[7].W.B0.we_wire ;
+  wire \B_0_1.WORD[7].W.B1.GCLK ;
+  wire \B_0_1.WORD[7].W.B1.SEL_B ;
+  wire \B_0_1.WORD[7].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[7].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[7].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[7].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[7].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[7].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[7].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[7].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[7].W.B1.we_wire ;
+  wire \B_0_1.WORD[7].W.B2.GCLK ;
+  wire \B_0_1.WORD[7].W.B2.SEL_B ;
+  wire \B_0_1.WORD[7].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[7].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[7].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[7].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[7].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[7].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[7].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[7].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[7].W.B2.we_wire ;
+  wire \B_0_1.WORD[7].W.B3.GCLK ;
+  wire \B_0_1.WORD[7].W.B3.SEL_B ;
+  wire \B_0_1.WORD[7].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[7].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[7].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[7].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[7].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[7].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[7].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[7].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[7].W.B3.we_wire ;
+  wire \B_0_1.WORD[8].W.B0.GCLK ;
+  wire \B_0_1.WORD[8].W.B0.SEL_B ;
+  wire \B_0_1.WORD[8].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[8].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[8].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[8].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[8].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[8].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[8].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[8].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[8].W.B0.we_wire ;
+  wire \B_0_1.WORD[8].W.B1.GCLK ;
+  wire \B_0_1.WORD[8].W.B1.SEL_B ;
+  wire \B_0_1.WORD[8].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[8].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[8].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[8].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[8].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[8].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[8].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[8].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[8].W.B1.we_wire ;
+  wire \B_0_1.WORD[8].W.B2.GCLK ;
+  wire \B_0_1.WORD[8].W.B2.SEL_B ;
+  wire \B_0_1.WORD[8].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[8].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[8].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[8].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[8].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[8].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[8].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[8].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[8].W.B2.we_wire ;
+  wire \B_0_1.WORD[8].W.B3.GCLK ;
+  wire \B_0_1.WORD[8].W.B3.SEL_B ;
+  wire \B_0_1.WORD[8].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[8].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[8].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[8].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[8].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[8].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[8].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[8].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[8].W.B3.we_wire ;
+  wire \B_0_1.WORD[9].W.B0.GCLK ;
+  wire \B_0_1.WORD[9].W.B0.SEL_B ;
+  wire \B_0_1.WORD[9].W.B0.q_wire[0] ;
+  wire \B_0_1.WORD[9].W.B0.q_wire[1] ;
+  wire \B_0_1.WORD[9].W.B0.q_wire[2] ;
+  wire \B_0_1.WORD[9].W.B0.q_wire[3] ;
+  wire \B_0_1.WORD[9].W.B0.q_wire[4] ;
+  wire \B_0_1.WORD[9].W.B0.q_wire[5] ;
+  wire \B_0_1.WORD[9].W.B0.q_wire[6] ;
+  wire \B_0_1.WORD[9].W.B0.q_wire[7] ;
+  wire \B_0_1.WORD[9].W.B0.we_wire ;
+  wire \B_0_1.WORD[9].W.B1.GCLK ;
+  wire \B_0_1.WORD[9].W.B1.SEL_B ;
+  wire \B_0_1.WORD[9].W.B1.q_wire[0] ;
+  wire \B_0_1.WORD[9].W.B1.q_wire[1] ;
+  wire \B_0_1.WORD[9].W.B1.q_wire[2] ;
+  wire \B_0_1.WORD[9].W.B1.q_wire[3] ;
+  wire \B_0_1.WORD[9].W.B1.q_wire[4] ;
+  wire \B_0_1.WORD[9].W.B1.q_wire[5] ;
+  wire \B_0_1.WORD[9].W.B1.q_wire[6] ;
+  wire \B_0_1.WORD[9].W.B1.q_wire[7] ;
+  wire \B_0_1.WORD[9].W.B1.we_wire ;
+  wire \B_0_1.WORD[9].W.B2.GCLK ;
+  wire \B_0_1.WORD[9].W.B2.SEL_B ;
+  wire \B_0_1.WORD[9].W.B2.q_wire[0] ;
+  wire \B_0_1.WORD[9].W.B2.q_wire[1] ;
+  wire \B_0_1.WORD[9].W.B2.q_wire[2] ;
+  wire \B_0_1.WORD[9].W.B2.q_wire[3] ;
+  wire \B_0_1.WORD[9].W.B2.q_wire[4] ;
+  wire \B_0_1.WORD[9].W.B2.q_wire[5] ;
+  wire \B_0_1.WORD[9].W.B2.q_wire[6] ;
+  wire \B_0_1.WORD[9].W.B2.q_wire[7] ;
+  wire \B_0_1.WORD[9].W.B2.we_wire ;
+  wire \B_0_1.WORD[9].W.B3.GCLK ;
+  wire \B_0_1.WORD[9].W.B3.SEL_B ;
+  wire \B_0_1.WORD[9].W.B3.q_wire[0] ;
+  wire \B_0_1.WORD[9].W.B3.q_wire[1] ;
+  wire \B_0_1.WORD[9].W.B3.q_wire[2] ;
+  wire \B_0_1.WORD[9].W.B3.q_wire[3] ;
+  wire \B_0_1.WORD[9].W.B3.q_wire[4] ;
+  wire \B_0_1.WORD[9].W.B3.q_wire[5] ;
+  wire \B_0_1.WORD[9].W.B3.q_wire[6] ;
+  wire \B_0_1.WORD[9].W.B3.q_wire[7] ;
+  wire \B_0_1.WORD[9].W.B3.we_wire ;
+  wire \B_0_2.CLK_buf ;
+  wire \B_0_2.DEC.DEC_L0.EN ;
+  wire \B_0_2.DEC.DEC_L0.SEL[0] ;
+  wire \B_0_2.DEC.DEC_L0.SEL[1] ;
+  wire \B_0_2.DEC.DEC_L0.SEL[2] ;
+  wire \B_0_2.DEC.DEC_L0.SEL[3] ;
+  wire \B_0_2.DEC.DEC_L0.SEL[4] ;
+  wire \B_0_2.DEC.DEC_L0.SEL[5] ;
+  wire \B_0_2.DEC.DEC_L0.SEL[6] ;
+  wire \B_0_2.DEC.DEC_L0.SEL[7] ;
+  wire \B_0_2.DEC.DEC_L1[0].U.SEL[0] ;
+  wire \B_0_2.DEC.DEC_L1[0].U.SEL[1] ;
+  wire \B_0_2.DEC.DEC_L1[0].U.SEL[2] ;
+  wire \B_0_2.DEC.DEC_L1[0].U.SEL[3] ;
+  wire \B_0_2.DEC.DEC_L1[0].U.SEL[4] ;
+  wire \B_0_2.DEC.DEC_L1[0].U.SEL[5] ;
+  wire \B_0_2.DEC.DEC_L1[0].U.SEL[6] ;
+  wire \B_0_2.DEC.DEC_L1[0].U.SEL[7] ;
+  wire \B_0_2.DEC.DEC_L1[1].U.SEL[0] ;
+  wire \B_0_2.DEC.DEC_L1[1].U.SEL[1] ;
+  wire \B_0_2.DEC.DEC_L1[1].U.SEL[2] ;
+  wire \B_0_2.DEC.DEC_L1[1].U.SEL[3] ;
+  wire \B_0_2.DEC.DEC_L1[1].U.SEL[4] ;
+  wire \B_0_2.DEC.DEC_L1[1].U.SEL[5] ;
+  wire \B_0_2.DEC.DEC_L1[1].U.SEL[6] ;
+  wire \B_0_2.DEC.DEC_L1[1].U.SEL[7] ;
+  wire \B_0_2.DEC.DEC_L1[2].U.SEL[0] ;
+  wire \B_0_2.DEC.DEC_L1[2].U.SEL[1] ;
+  wire \B_0_2.DEC.DEC_L1[2].U.SEL[2] ;
+  wire \B_0_2.DEC.DEC_L1[2].U.SEL[3] ;
+  wire \B_0_2.DEC.DEC_L1[2].U.SEL[4] ;
+  wire \B_0_2.DEC.DEC_L1[2].U.SEL[5] ;
+  wire \B_0_2.DEC.DEC_L1[2].U.SEL[6] ;
+  wire \B_0_2.DEC.DEC_L1[2].U.SEL[7] ;
+  wire \B_0_2.DEC.DEC_L1[3].U.SEL[0] ;
+  wire \B_0_2.DEC.DEC_L1[3].U.SEL[1] ;
+  wire \B_0_2.DEC.DEC_L1[3].U.SEL[2] ;
+  wire \B_0_2.DEC.DEC_L1[3].U.SEL[3] ;
+  wire \B_0_2.DEC.DEC_L1[3].U.SEL[4] ;
+  wire \B_0_2.DEC.DEC_L1[3].U.SEL[5] ;
+  wire \B_0_2.DEC.DEC_L1[3].U.SEL[6] ;
+  wire \B_0_2.DEC.DEC_L1[3].U.SEL[7] ;
+  wire \B_0_2.DEC.DEC_L1[4].U.SEL[0] ;
+  wire \B_0_2.DEC.DEC_L1[4].U.SEL[1] ;
+  wire \B_0_2.DEC.DEC_L1[4].U.SEL[2] ;
+  wire \B_0_2.DEC.DEC_L1[4].U.SEL[3] ;
+  wire \B_0_2.DEC.DEC_L1[4].U.SEL[4] ;
+  wire \B_0_2.DEC.DEC_L1[4].U.SEL[5] ;
+  wire \B_0_2.DEC.DEC_L1[4].U.SEL[6] ;
+  wire \B_0_2.DEC.DEC_L1[4].U.SEL[7] ;
+  wire \B_0_2.DEC.DEC_L1[5].U.SEL[0] ;
+  wire \B_0_2.DEC.DEC_L1[5].U.SEL[1] ;
+  wire \B_0_2.DEC.DEC_L1[5].U.SEL[2] ;
+  wire \B_0_2.DEC.DEC_L1[5].U.SEL[3] ;
+  wire \B_0_2.DEC.DEC_L1[5].U.SEL[4] ;
+  wire \B_0_2.DEC.DEC_L1[5].U.SEL[5] ;
+  wire \B_0_2.DEC.DEC_L1[5].U.SEL[6] ;
+  wire \B_0_2.DEC.DEC_L1[5].U.SEL[7] ;
+  wire \B_0_2.DEC.DEC_L1[6].U.SEL[0] ;
+  wire \B_0_2.DEC.DEC_L1[6].U.SEL[1] ;
+  wire \B_0_2.DEC.DEC_L1[6].U.SEL[2] ;
+  wire \B_0_2.DEC.DEC_L1[6].U.SEL[3] ;
+  wire \B_0_2.DEC.DEC_L1[6].U.SEL[4] ;
+  wire \B_0_2.DEC.DEC_L1[6].U.SEL[5] ;
+  wire \B_0_2.DEC.DEC_L1[6].U.SEL[6] ;
+  wire \B_0_2.DEC.DEC_L1[6].U.SEL[7] ;
+  wire \B_0_2.DEC.DEC_L1[7].U.SEL[0] ;
+  wire \B_0_2.DEC.DEC_L1[7].U.SEL[1] ;
+  wire \B_0_2.DEC.DEC_L1[7].U.SEL[2] ;
+  wire \B_0_2.DEC.DEC_L1[7].U.SEL[3] ;
+  wire \B_0_2.DEC.DEC_L1[7].U.SEL[4] ;
+  wire \B_0_2.DEC.DEC_L1[7].U.SEL[5] ;
+  wire \B_0_2.DEC.DEC_L1[7].U.SEL[6] ;
+  wire \B_0_2.DEC.DEC_L1[7].U.SEL[7] ;
+  wire \B_0_2.Di_buf[0] ;
+  wire \B_0_2.Di_buf[10] ;
+  wire \B_0_2.Di_buf[11] ;
+  wire \B_0_2.Di_buf[12] ;
+  wire \B_0_2.Di_buf[13] ;
+  wire \B_0_2.Di_buf[14] ;
+  wire \B_0_2.Di_buf[15] ;
+  wire \B_0_2.Di_buf[16] ;
+  wire \B_0_2.Di_buf[17] ;
+  wire \B_0_2.Di_buf[18] ;
+  wire \B_0_2.Di_buf[19] ;
+  wire \B_0_2.Di_buf[1] ;
+  wire \B_0_2.Di_buf[20] ;
+  wire \B_0_2.Di_buf[21] ;
+  wire \B_0_2.Di_buf[22] ;
+  wire \B_0_2.Di_buf[23] ;
+  wire \B_0_2.Di_buf[24] ;
+  wire \B_0_2.Di_buf[25] ;
+  wire \B_0_2.Di_buf[26] ;
+  wire \B_0_2.Di_buf[27] ;
+  wire \B_0_2.Di_buf[28] ;
+  wire \B_0_2.Di_buf[29] ;
+  wire \B_0_2.Di_buf[2] ;
+  wire \B_0_2.Di_buf[30] ;
+  wire \B_0_2.Di_buf[31] ;
+  wire \B_0_2.Di_buf[3] ;
+  wire \B_0_2.Di_buf[4] ;
+  wire \B_0_2.Di_buf[5] ;
+  wire \B_0_2.Di_buf[6] ;
+  wire \B_0_2.Di_buf[7] ;
+  wire \B_0_2.Di_buf[8] ;
+  wire \B_0_2.Di_buf[9] ;
+  wire \B_0_2.Do[0] ;
+  wire \B_0_2.Do[10] ;
+  wire \B_0_2.Do[11] ;
+  wire \B_0_2.Do[12] ;
+  wire \B_0_2.Do[13] ;
+  wire \B_0_2.Do[14] ;
+  wire \B_0_2.Do[15] ;
+  wire \B_0_2.Do[16] ;
+  wire \B_0_2.Do[17] ;
+  wire \B_0_2.Do[18] ;
+  wire \B_0_2.Do[19] ;
+  wire \B_0_2.Do[1] ;
+  wire \B_0_2.Do[20] ;
+  wire \B_0_2.Do[21] ;
+  wire \B_0_2.Do[22] ;
+  wire \B_0_2.Do[23] ;
+  wire \B_0_2.Do[24] ;
+  wire \B_0_2.Do[25] ;
+  wire \B_0_2.Do[26] ;
+  wire \B_0_2.Do[27] ;
+  wire \B_0_2.Do[28] ;
+  wire \B_0_2.Do[29] ;
+  wire \B_0_2.Do[2] ;
+  wire \B_0_2.Do[30] ;
+  wire \B_0_2.Do[31] ;
+  wire \B_0_2.Do[3] ;
+  wire \B_0_2.Do[4] ;
+  wire \B_0_2.Do[5] ;
+  wire \B_0_2.Do[6] ;
+  wire \B_0_2.Do[7] ;
+  wire \B_0_2.Do[8] ;
+  wire \B_0_2.Do[9] ;
+  wire \B_0_2.Do_pre[0] ;
+  wire \B_0_2.Do_pre[10] ;
+  wire \B_0_2.Do_pre[11] ;
+  wire \B_0_2.Do_pre[12] ;
+  wire \B_0_2.Do_pre[13] ;
+  wire \B_0_2.Do_pre[14] ;
+  wire \B_0_2.Do_pre[15] ;
+  wire \B_0_2.Do_pre[16] ;
+  wire \B_0_2.Do_pre[17] ;
+  wire \B_0_2.Do_pre[18] ;
+  wire \B_0_2.Do_pre[19] ;
+  wire \B_0_2.Do_pre[1] ;
+  wire \B_0_2.Do_pre[20] ;
+  wire \B_0_2.Do_pre[21] ;
+  wire \B_0_2.Do_pre[22] ;
+  wire \B_0_2.Do_pre[23] ;
+  wire \B_0_2.Do_pre[24] ;
+  wire \B_0_2.Do_pre[25] ;
+  wire \B_0_2.Do_pre[26] ;
+  wire \B_0_2.Do_pre[27] ;
+  wire \B_0_2.Do_pre[28] ;
+  wire \B_0_2.Do_pre[29] ;
+  wire \B_0_2.Do_pre[2] ;
+  wire \B_0_2.Do_pre[30] ;
+  wire \B_0_2.Do_pre[31] ;
+  wire \B_0_2.Do_pre[3] ;
+  wire \B_0_2.Do_pre[4] ;
+  wire \B_0_2.Do_pre[5] ;
+  wire \B_0_2.Do_pre[6] ;
+  wire \B_0_2.Do_pre[7] ;
+  wire \B_0_2.Do_pre[8] ;
+  wire \B_0_2.Do_pre[9] ;
+  wire \B_0_2.WE_buf[0] ;
+  wire \B_0_2.WE_buf[1] ;
+  wire \B_0_2.WE_buf[2] ;
+  wire \B_0_2.WE_buf[3] ;
+  wire \B_0_2.WORD[0].W.B0.GCLK ;
+  wire \B_0_2.WORD[0].W.B0.SEL_B ;
+  wire \B_0_2.WORD[0].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[0].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[0].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[0].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[0].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[0].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[0].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[0].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[0].W.B0.we_wire ;
+  wire \B_0_2.WORD[0].W.B1.GCLK ;
+  wire \B_0_2.WORD[0].W.B1.SEL_B ;
+  wire \B_0_2.WORD[0].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[0].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[0].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[0].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[0].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[0].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[0].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[0].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[0].W.B1.we_wire ;
+  wire \B_0_2.WORD[0].W.B2.GCLK ;
+  wire \B_0_2.WORD[0].W.B2.SEL_B ;
+  wire \B_0_2.WORD[0].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[0].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[0].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[0].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[0].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[0].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[0].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[0].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[0].W.B2.we_wire ;
+  wire \B_0_2.WORD[0].W.B3.GCLK ;
+  wire \B_0_2.WORD[0].W.B3.SEL_B ;
+  wire \B_0_2.WORD[0].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[0].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[0].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[0].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[0].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[0].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[0].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[0].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[0].W.B3.we_wire ;
+  wire \B_0_2.WORD[10].W.B0.GCLK ;
+  wire \B_0_2.WORD[10].W.B0.SEL_B ;
+  wire \B_0_2.WORD[10].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[10].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[10].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[10].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[10].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[10].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[10].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[10].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[10].W.B0.we_wire ;
+  wire \B_0_2.WORD[10].W.B1.GCLK ;
+  wire \B_0_2.WORD[10].W.B1.SEL_B ;
+  wire \B_0_2.WORD[10].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[10].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[10].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[10].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[10].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[10].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[10].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[10].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[10].W.B1.we_wire ;
+  wire \B_0_2.WORD[10].W.B2.GCLK ;
+  wire \B_0_2.WORD[10].W.B2.SEL_B ;
+  wire \B_0_2.WORD[10].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[10].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[10].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[10].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[10].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[10].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[10].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[10].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[10].W.B2.we_wire ;
+  wire \B_0_2.WORD[10].W.B3.GCLK ;
+  wire \B_0_2.WORD[10].W.B3.SEL_B ;
+  wire \B_0_2.WORD[10].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[10].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[10].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[10].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[10].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[10].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[10].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[10].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[10].W.B3.we_wire ;
+  wire \B_0_2.WORD[11].W.B0.GCLK ;
+  wire \B_0_2.WORD[11].W.B0.SEL_B ;
+  wire \B_0_2.WORD[11].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[11].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[11].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[11].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[11].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[11].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[11].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[11].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[11].W.B0.we_wire ;
+  wire \B_0_2.WORD[11].W.B1.GCLK ;
+  wire \B_0_2.WORD[11].W.B1.SEL_B ;
+  wire \B_0_2.WORD[11].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[11].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[11].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[11].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[11].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[11].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[11].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[11].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[11].W.B1.we_wire ;
+  wire \B_0_2.WORD[11].W.B2.GCLK ;
+  wire \B_0_2.WORD[11].W.B2.SEL_B ;
+  wire \B_0_2.WORD[11].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[11].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[11].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[11].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[11].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[11].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[11].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[11].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[11].W.B2.we_wire ;
+  wire \B_0_2.WORD[11].W.B3.GCLK ;
+  wire \B_0_2.WORD[11].W.B3.SEL_B ;
+  wire \B_0_2.WORD[11].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[11].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[11].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[11].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[11].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[11].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[11].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[11].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[11].W.B3.we_wire ;
+  wire \B_0_2.WORD[12].W.B0.GCLK ;
+  wire \B_0_2.WORD[12].W.B0.SEL_B ;
+  wire \B_0_2.WORD[12].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[12].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[12].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[12].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[12].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[12].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[12].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[12].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[12].W.B0.we_wire ;
+  wire \B_0_2.WORD[12].W.B1.GCLK ;
+  wire \B_0_2.WORD[12].W.B1.SEL_B ;
+  wire \B_0_2.WORD[12].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[12].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[12].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[12].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[12].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[12].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[12].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[12].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[12].W.B1.we_wire ;
+  wire \B_0_2.WORD[12].W.B2.GCLK ;
+  wire \B_0_2.WORD[12].W.B2.SEL_B ;
+  wire \B_0_2.WORD[12].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[12].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[12].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[12].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[12].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[12].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[12].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[12].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[12].W.B2.we_wire ;
+  wire \B_0_2.WORD[12].W.B3.GCLK ;
+  wire \B_0_2.WORD[12].W.B3.SEL_B ;
+  wire \B_0_2.WORD[12].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[12].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[12].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[12].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[12].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[12].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[12].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[12].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[12].W.B3.we_wire ;
+  wire \B_0_2.WORD[13].W.B0.GCLK ;
+  wire \B_0_2.WORD[13].W.B0.SEL_B ;
+  wire \B_0_2.WORD[13].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[13].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[13].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[13].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[13].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[13].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[13].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[13].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[13].W.B0.we_wire ;
+  wire \B_0_2.WORD[13].W.B1.GCLK ;
+  wire \B_0_2.WORD[13].W.B1.SEL_B ;
+  wire \B_0_2.WORD[13].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[13].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[13].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[13].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[13].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[13].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[13].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[13].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[13].W.B1.we_wire ;
+  wire \B_0_2.WORD[13].W.B2.GCLK ;
+  wire \B_0_2.WORD[13].W.B2.SEL_B ;
+  wire \B_0_2.WORD[13].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[13].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[13].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[13].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[13].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[13].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[13].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[13].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[13].W.B2.we_wire ;
+  wire \B_0_2.WORD[13].W.B3.GCLK ;
+  wire \B_0_2.WORD[13].W.B3.SEL_B ;
+  wire \B_0_2.WORD[13].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[13].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[13].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[13].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[13].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[13].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[13].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[13].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[13].W.B3.we_wire ;
+  wire \B_0_2.WORD[14].W.B0.GCLK ;
+  wire \B_0_2.WORD[14].W.B0.SEL_B ;
+  wire \B_0_2.WORD[14].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[14].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[14].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[14].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[14].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[14].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[14].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[14].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[14].W.B0.we_wire ;
+  wire \B_0_2.WORD[14].W.B1.GCLK ;
+  wire \B_0_2.WORD[14].W.B1.SEL_B ;
+  wire \B_0_2.WORD[14].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[14].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[14].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[14].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[14].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[14].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[14].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[14].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[14].W.B1.we_wire ;
+  wire \B_0_2.WORD[14].W.B2.GCLK ;
+  wire \B_0_2.WORD[14].W.B2.SEL_B ;
+  wire \B_0_2.WORD[14].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[14].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[14].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[14].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[14].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[14].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[14].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[14].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[14].W.B2.we_wire ;
+  wire \B_0_2.WORD[14].W.B3.GCLK ;
+  wire \B_0_2.WORD[14].W.B3.SEL_B ;
+  wire \B_0_2.WORD[14].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[14].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[14].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[14].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[14].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[14].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[14].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[14].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[14].W.B3.we_wire ;
+  wire \B_0_2.WORD[15].W.B0.GCLK ;
+  wire \B_0_2.WORD[15].W.B0.SEL_B ;
+  wire \B_0_2.WORD[15].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[15].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[15].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[15].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[15].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[15].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[15].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[15].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[15].W.B0.we_wire ;
+  wire \B_0_2.WORD[15].W.B1.GCLK ;
+  wire \B_0_2.WORD[15].W.B1.SEL_B ;
+  wire \B_0_2.WORD[15].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[15].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[15].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[15].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[15].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[15].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[15].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[15].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[15].W.B1.we_wire ;
+  wire \B_0_2.WORD[15].W.B2.GCLK ;
+  wire \B_0_2.WORD[15].W.B2.SEL_B ;
+  wire \B_0_2.WORD[15].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[15].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[15].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[15].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[15].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[15].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[15].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[15].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[15].W.B2.we_wire ;
+  wire \B_0_2.WORD[15].W.B3.GCLK ;
+  wire \B_0_2.WORD[15].W.B3.SEL_B ;
+  wire \B_0_2.WORD[15].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[15].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[15].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[15].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[15].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[15].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[15].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[15].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[15].W.B3.we_wire ;
+  wire \B_0_2.WORD[16].W.B0.GCLK ;
+  wire \B_0_2.WORD[16].W.B0.SEL_B ;
+  wire \B_0_2.WORD[16].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[16].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[16].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[16].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[16].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[16].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[16].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[16].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[16].W.B0.we_wire ;
+  wire \B_0_2.WORD[16].W.B1.GCLK ;
+  wire \B_0_2.WORD[16].W.B1.SEL_B ;
+  wire \B_0_2.WORD[16].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[16].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[16].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[16].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[16].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[16].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[16].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[16].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[16].W.B1.we_wire ;
+  wire \B_0_2.WORD[16].W.B2.GCLK ;
+  wire \B_0_2.WORD[16].W.B2.SEL_B ;
+  wire \B_0_2.WORD[16].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[16].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[16].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[16].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[16].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[16].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[16].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[16].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[16].W.B2.we_wire ;
+  wire \B_0_2.WORD[16].W.B3.GCLK ;
+  wire \B_0_2.WORD[16].W.B3.SEL_B ;
+  wire \B_0_2.WORD[16].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[16].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[16].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[16].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[16].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[16].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[16].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[16].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[16].W.B3.we_wire ;
+  wire \B_0_2.WORD[17].W.B0.GCLK ;
+  wire \B_0_2.WORD[17].W.B0.SEL_B ;
+  wire \B_0_2.WORD[17].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[17].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[17].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[17].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[17].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[17].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[17].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[17].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[17].W.B0.we_wire ;
+  wire \B_0_2.WORD[17].W.B1.GCLK ;
+  wire \B_0_2.WORD[17].W.B1.SEL_B ;
+  wire \B_0_2.WORD[17].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[17].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[17].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[17].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[17].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[17].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[17].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[17].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[17].W.B1.we_wire ;
+  wire \B_0_2.WORD[17].W.B2.GCLK ;
+  wire \B_0_2.WORD[17].W.B2.SEL_B ;
+  wire \B_0_2.WORD[17].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[17].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[17].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[17].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[17].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[17].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[17].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[17].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[17].W.B2.we_wire ;
+  wire \B_0_2.WORD[17].W.B3.GCLK ;
+  wire \B_0_2.WORD[17].W.B3.SEL_B ;
+  wire \B_0_2.WORD[17].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[17].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[17].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[17].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[17].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[17].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[17].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[17].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[17].W.B3.we_wire ;
+  wire \B_0_2.WORD[18].W.B0.GCLK ;
+  wire \B_0_2.WORD[18].W.B0.SEL_B ;
+  wire \B_0_2.WORD[18].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[18].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[18].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[18].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[18].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[18].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[18].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[18].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[18].W.B0.we_wire ;
+  wire \B_0_2.WORD[18].W.B1.GCLK ;
+  wire \B_0_2.WORD[18].W.B1.SEL_B ;
+  wire \B_0_2.WORD[18].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[18].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[18].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[18].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[18].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[18].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[18].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[18].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[18].W.B1.we_wire ;
+  wire \B_0_2.WORD[18].W.B2.GCLK ;
+  wire \B_0_2.WORD[18].W.B2.SEL_B ;
+  wire \B_0_2.WORD[18].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[18].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[18].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[18].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[18].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[18].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[18].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[18].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[18].W.B2.we_wire ;
+  wire \B_0_2.WORD[18].W.B3.GCLK ;
+  wire \B_0_2.WORD[18].W.B3.SEL_B ;
+  wire \B_0_2.WORD[18].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[18].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[18].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[18].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[18].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[18].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[18].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[18].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[18].W.B3.we_wire ;
+  wire \B_0_2.WORD[19].W.B0.GCLK ;
+  wire \B_0_2.WORD[19].W.B0.SEL_B ;
+  wire \B_0_2.WORD[19].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[19].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[19].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[19].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[19].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[19].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[19].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[19].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[19].W.B0.we_wire ;
+  wire \B_0_2.WORD[19].W.B1.GCLK ;
+  wire \B_0_2.WORD[19].W.B1.SEL_B ;
+  wire \B_0_2.WORD[19].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[19].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[19].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[19].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[19].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[19].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[19].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[19].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[19].W.B1.we_wire ;
+  wire \B_0_2.WORD[19].W.B2.GCLK ;
+  wire \B_0_2.WORD[19].W.B2.SEL_B ;
+  wire \B_0_2.WORD[19].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[19].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[19].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[19].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[19].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[19].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[19].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[19].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[19].W.B2.we_wire ;
+  wire \B_0_2.WORD[19].W.B3.GCLK ;
+  wire \B_0_2.WORD[19].W.B3.SEL_B ;
+  wire \B_0_2.WORD[19].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[19].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[19].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[19].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[19].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[19].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[19].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[19].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[19].W.B3.we_wire ;
+  wire \B_0_2.WORD[1].W.B0.GCLK ;
+  wire \B_0_2.WORD[1].W.B0.SEL_B ;
+  wire \B_0_2.WORD[1].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[1].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[1].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[1].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[1].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[1].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[1].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[1].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[1].W.B0.we_wire ;
+  wire \B_0_2.WORD[1].W.B1.GCLK ;
+  wire \B_0_2.WORD[1].W.B1.SEL_B ;
+  wire \B_0_2.WORD[1].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[1].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[1].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[1].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[1].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[1].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[1].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[1].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[1].W.B1.we_wire ;
+  wire \B_0_2.WORD[1].W.B2.GCLK ;
+  wire \B_0_2.WORD[1].W.B2.SEL_B ;
+  wire \B_0_2.WORD[1].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[1].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[1].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[1].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[1].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[1].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[1].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[1].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[1].W.B2.we_wire ;
+  wire \B_0_2.WORD[1].W.B3.GCLK ;
+  wire \B_0_2.WORD[1].W.B3.SEL_B ;
+  wire \B_0_2.WORD[1].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[1].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[1].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[1].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[1].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[1].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[1].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[1].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[1].W.B3.we_wire ;
+  wire \B_0_2.WORD[20].W.B0.GCLK ;
+  wire \B_0_2.WORD[20].W.B0.SEL_B ;
+  wire \B_0_2.WORD[20].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[20].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[20].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[20].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[20].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[20].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[20].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[20].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[20].W.B0.we_wire ;
+  wire \B_0_2.WORD[20].W.B1.GCLK ;
+  wire \B_0_2.WORD[20].W.B1.SEL_B ;
+  wire \B_0_2.WORD[20].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[20].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[20].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[20].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[20].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[20].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[20].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[20].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[20].W.B1.we_wire ;
+  wire \B_0_2.WORD[20].W.B2.GCLK ;
+  wire \B_0_2.WORD[20].W.B2.SEL_B ;
+  wire \B_0_2.WORD[20].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[20].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[20].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[20].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[20].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[20].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[20].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[20].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[20].W.B2.we_wire ;
+  wire \B_0_2.WORD[20].W.B3.GCLK ;
+  wire \B_0_2.WORD[20].W.B3.SEL_B ;
+  wire \B_0_2.WORD[20].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[20].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[20].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[20].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[20].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[20].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[20].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[20].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[20].W.B3.we_wire ;
+  wire \B_0_2.WORD[21].W.B0.GCLK ;
+  wire \B_0_2.WORD[21].W.B0.SEL_B ;
+  wire \B_0_2.WORD[21].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[21].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[21].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[21].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[21].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[21].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[21].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[21].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[21].W.B0.we_wire ;
+  wire \B_0_2.WORD[21].W.B1.GCLK ;
+  wire \B_0_2.WORD[21].W.B1.SEL_B ;
+  wire \B_0_2.WORD[21].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[21].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[21].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[21].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[21].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[21].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[21].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[21].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[21].W.B1.we_wire ;
+  wire \B_0_2.WORD[21].W.B2.GCLK ;
+  wire \B_0_2.WORD[21].W.B2.SEL_B ;
+  wire \B_0_2.WORD[21].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[21].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[21].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[21].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[21].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[21].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[21].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[21].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[21].W.B2.we_wire ;
+  wire \B_0_2.WORD[21].W.B3.GCLK ;
+  wire \B_0_2.WORD[21].W.B3.SEL_B ;
+  wire \B_0_2.WORD[21].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[21].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[21].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[21].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[21].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[21].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[21].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[21].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[21].W.B3.we_wire ;
+  wire \B_0_2.WORD[22].W.B0.GCLK ;
+  wire \B_0_2.WORD[22].W.B0.SEL_B ;
+  wire \B_0_2.WORD[22].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[22].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[22].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[22].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[22].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[22].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[22].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[22].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[22].W.B0.we_wire ;
+  wire \B_0_2.WORD[22].W.B1.GCLK ;
+  wire \B_0_2.WORD[22].W.B1.SEL_B ;
+  wire \B_0_2.WORD[22].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[22].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[22].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[22].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[22].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[22].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[22].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[22].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[22].W.B1.we_wire ;
+  wire \B_0_2.WORD[22].W.B2.GCLK ;
+  wire \B_0_2.WORD[22].W.B2.SEL_B ;
+  wire \B_0_2.WORD[22].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[22].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[22].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[22].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[22].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[22].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[22].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[22].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[22].W.B2.we_wire ;
+  wire \B_0_2.WORD[22].W.B3.GCLK ;
+  wire \B_0_2.WORD[22].W.B3.SEL_B ;
+  wire \B_0_2.WORD[22].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[22].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[22].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[22].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[22].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[22].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[22].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[22].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[22].W.B3.we_wire ;
+  wire \B_0_2.WORD[23].W.B0.GCLK ;
+  wire \B_0_2.WORD[23].W.B0.SEL_B ;
+  wire \B_0_2.WORD[23].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[23].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[23].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[23].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[23].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[23].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[23].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[23].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[23].W.B0.we_wire ;
+  wire \B_0_2.WORD[23].W.B1.GCLK ;
+  wire \B_0_2.WORD[23].W.B1.SEL_B ;
+  wire \B_0_2.WORD[23].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[23].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[23].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[23].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[23].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[23].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[23].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[23].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[23].W.B1.we_wire ;
+  wire \B_0_2.WORD[23].W.B2.GCLK ;
+  wire \B_0_2.WORD[23].W.B2.SEL_B ;
+  wire \B_0_2.WORD[23].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[23].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[23].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[23].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[23].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[23].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[23].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[23].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[23].W.B2.we_wire ;
+  wire \B_0_2.WORD[23].W.B3.GCLK ;
+  wire \B_0_2.WORD[23].W.B3.SEL_B ;
+  wire \B_0_2.WORD[23].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[23].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[23].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[23].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[23].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[23].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[23].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[23].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[23].W.B3.we_wire ;
+  wire \B_0_2.WORD[24].W.B0.GCLK ;
+  wire \B_0_2.WORD[24].W.B0.SEL_B ;
+  wire \B_0_2.WORD[24].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[24].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[24].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[24].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[24].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[24].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[24].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[24].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[24].W.B0.we_wire ;
+  wire \B_0_2.WORD[24].W.B1.GCLK ;
+  wire \B_0_2.WORD[24].W.B1.SEL_B ;
+  wire \B_0_2.WORD[24].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[24].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[24].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[24].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[24].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[24].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[24].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[24].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[24].W.B1.we_wire ;
+  wire \B_0_2.WORD[24].W.B2.GCLK ;
+  wire \B_0_2.WORD[24].W.B2.SEL_B ;
+  wire \B_0_2.WORD[24].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[24].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[24].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[24].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[24].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[24].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[24].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[24].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[24].W.B2.we_wire ;
+  wire \B_0_2.WORD[24].W.B3.GCLK ;
+  wire \B_0_2.WORD[24].W.B3.SEL_B ;
+  wire \B_0_2.WORD[24].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[24].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[24].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[24].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[24].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[24].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[24].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[24].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[24].W.B3.we_wire ;
+  wire \B_0_2.WORD[25].W.B0.GCLK ;
+  wire \B_0_2.WORD[25].W.B0.SEL_B ;
+  wire \B_0_2.WORD[25].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[25].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[25].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[25].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[25].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[25].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[25].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[25].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[25].W.B0.we_wire ;
+  wire \B_0_2.WORD[25].W.B1.GCLK ;
+  wire \B_0_2.WORD[25].W.B1.SEL_B ;
+  wire \B_0_2.WORD[25].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[25].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[25].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[25].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[25].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[25].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[25].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[25].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[25].W.B1.we_wire ;
+  wire \B_0_2.WORD[25].W.B2.GCLK ;
+  wire \B_0_2.WORD[25].W.B2.SEL_B ;
+  wire \B_0_2.WORD[25].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[25].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[25].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[25].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[25].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[25].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[25].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[25].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[25].W.B2.we_wire ;
+  wire \B_0_2.WORD[25].W.B3.GCLK ;
+  wire \B_0_2.WORD[25].W.B3.SEL_B ;
+  wire \B_0_2.WORD[25].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[25].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[25].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[25].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[25].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[25].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[25].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[25].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[25].W.B3.we_wire ;
+  wire \B_0_2.WORD[26].W.B0.GCLK ;
+  wire \B_0_2.WORD[26].W.B0.SEL_B ;
+  wire \B_0_2.WORD[26].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[26].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[26].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[26].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[26].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[26].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[26].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[26].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[26].W.B0.we_wire ;
+  wire \B_0_2.WORD[26].W.B1.GCLK ;
+  wire \B_0_2.WORD[26].W.B1.SEL_B ;
+  wire \B_0_2.WORD[26].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[26].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[26].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[26].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[26].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[26].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[26].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[26].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[26].W.B1.we_wire ;
+  wire \B_0_2.WORD[26].W.B2.GCLK ;
+  wire \B_0_2.WORD[26].W.B2.SEL_B ;
+  wire \B_0_2.WORD[26].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[26].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[26].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[26].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[26].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[26].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[26].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[26].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[26].W.B2.we_wire ;
+  wire \B_0_2.WORD[26].W.B3.GCLK ;
+  wire \B_0_2.WORD[26].W.B3.SEL_B ;
+  wire \B_0_2.WORD[26].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[26].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[26].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[26].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[26].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[26].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[26].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[26].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[26].W.B3.we_wire ;
+  wire \B_0_2.WORD[27].W.B0.GCLK ;
+  wire \B_0_2.WORD[27].W.B0.SEL_B ;
+  wire \B_0_2.WORD[27].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[27].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[27].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[27].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[27].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[27].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[27].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[27].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[27].W.B0.we_wire ;
+  wire \B_0_2.WORD[27].W.B1.GCLK ;
+  wire \B_0_2.WORD[27].W.B1.SEL_B ;
+  wire \B_0_2.WORD[27].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[27].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[27].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[27].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[27].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[27].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[27].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[27].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[27].W.B1.we_wire ;
+  wire \B_0_2.WORD[27].W.B2.GCLK ;
+  wire \B_0_2.WORD[27].W.B2.SEL_B ;
+  wire \B_0_2.WORD[27].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[27].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[27].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[27].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[27].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[27].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[27].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[27].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[27].W.B2.we_wire ;
+  wire \B_0_2.WORD[27].W.B3.GCLK ;
+  wire \B_0_2.WORD[27].W.B3.SEL_B ;
+  wire \B_0_2.WORD[27].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[27].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[27].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[27].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[27].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[27].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[27].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[27].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[27].W.B3.we_wire ;
+  wire \B_0_2.WORD[28].W.B0.GCLK ;
+  wire \B_0_2.WORD[28].W.B0.SEL_B ;
+  wire \B_0_2.WORD[28].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[28].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[28].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[28].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[28].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[28].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[28].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[28].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[28].W.B0.we_wire ;
+  wire \B_0_2.WORD[28].W.B1.GCLK ;
+  wire \B_0_2.WORD[28].W.B1.SEL_B ;
+  wire \B_0_2.WORD[28].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[28].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[28].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[28].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[28].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[28].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[28].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[28].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[28].W.B1.we_wire ;
+  wire \B_0_2.WORD[28].W.B2.GCLK ;
+  wire \B_0_2.WORD[28].W.B2.SEL_B ;
+  wire \B_0_2.WORD[28].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[28].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[28].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[28].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[28].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[28].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[28].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[28].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[28].W.B2.we_wire ;
+  wire \B_0_2.WORD[28].W.B3.GCLK ;
+  wire \B_0_2.WORD[28].W.B3.SEL_B ;
+  wire \B_0_2.WORD[28].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[28].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[28].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[28].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[28].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[28].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[28].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[28].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[28].W.B3.we_wire ;
+  wire \B_0_2.WORD[29].W.B0.GCLK ;
+  wire \B_0_2.WORD[29].W.B0.SEL_B ;
+  wire \B_0_2.WORD[29].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[29].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[29].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[29].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[29].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[29].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[29].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[29].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[29].W.B0.we_wire ;
+  wire \B_0_2.WORD[29].W.B1.GCLK ;
+  wire \B_0_2.WORD[29].W.B1.SEL_B ;
+  wire \B_0_2.WORD[29].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[29].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[29].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[29].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[29].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[29].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[29].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[29].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[29].W.B1.we_wire ;
+  wire \B_0_2.WORD[29].W.B2.GCLK ;
+  wire \B_0_2.WORD[29].W.B2.SEL_B ;
+  wire \B_0_2.WORD[29].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[29].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[29].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[29].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[29].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[29].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[29].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[29].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[29].W.B2.we_wire ;
+  wire \B_0_2.WORD[29].W.B3.GCLK ;
+  wire \B_0_2.WORD[29].W.B3.SEL_B ;
+  wire \B_0_2.WORD[29].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[29].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[29].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[29].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[29].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[29].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[29].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[29].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[29].W.B3.we_wire ;
+  wire \B_0_2.WORD[2].W.B0.GCLK ;
+  wire \B_0_2.WORD[2].W.B0.SEL_B ;
+  wire \B_0_2.WORD[2].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[2].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[2].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[2].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[2].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[2].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[2].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[2].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[2].W.B0.we_wire ;
+  wire \B_0_2.WORD[2].W.B1.GCLK ;
+  wire \B_0_2.WORD[2].W.B1.SEL_B ;
+  wire \B_0_2.WORD[2].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[2].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[2].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[2].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[2].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[2].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[2].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[2].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[2].W.B1.we_wire ;
+  wire \B_0_2.WORD[2].W.B2.GCLK ;
+  wire \B_0_2.WORD[2].W.B2.SEL_B ;
+  wire \B_0_2.WORD[2].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[2].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[2].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[2].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[2].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[2].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[2].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[2].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[2].W.B2.we_wire ;
+  wire \B_0_2.WORD[2].W.B3.GCLK ;
+  wire \B_0_2.WORD[2].W.B3.SEL_B ;
+  wire \B_0_2.WORD[2].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[2].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[2].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[2].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[2].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[2].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[2].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[2].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[2].W.B3.we_wire ;
+  wire \B_0_2.WORD[30].W.B0.GCLK ;
+  wire \B_0_2.WORD[30].W.B0.SEL_B ;
+  wire \B_0_2.WORD[30].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[30].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[30].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[30].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[30].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[30].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[30].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[30].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[30].W.B0.we_wire ;
+  wire \B_0_2.WORD[30].W.B1.GCLK ;
+  wire \B_0_2.WORD[30].W.B1.SEL_B ;
+  wire \B_0_2.WORD[30].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[30].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[30].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[30].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[30].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[30].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[30].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[30].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[30].W.B1.we_wire ;
+  wire \B_0_2.WORD[30].W.B2.GCLK ;
+  wire \B_0_2.WORD[30].W.B2.SEL_B ;
+  wire \B_0_2.WORD[30].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[30].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[30].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[30].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[30].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[30].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[30].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[30].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[30].W.B2.we_wire ;
+  wire \B_0_2.WORD[30].W.B3.GCLK ;
+  wire \B_0_2.WORD[30].W.B3.SEL_B ;
+  wire \B_0_2.WORD[30].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[30].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[30].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[30].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[30].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[30].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[30].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[30].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[30].W.B3.we_wire ;
+  wire \B_0_2.WORD[31].W.B0.GCLK ;
+  wire \B_0_2.WORD[31].W.B0.SEL_B ;
+  wire \B_0_2.WORD[31].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[31].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[31].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[31].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[31].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[31].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[31].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[31].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[31].W.B0.we_wire ;
+  wire \B_0_2.WORD[31].W.B1.GCLK ;
+  wire \B_0_2.WORD[31].W.B1.SEL_B ;
+  wire \B_0_2.WORD[31].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[31].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[31].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[31].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[31].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[31].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[31].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[31].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[31].W.B1.we_wire ;
+  wire \B_0_2.WORD[31].W.B2.GCLK ;
+  wire \B_0_2.WORD[31].W.B2.SEL_B ;
+  wire \B_0_2.WORD[31].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[31].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[31].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[31].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[31].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[31].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[31].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[31].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[31].W.B2.we_wire ;
+  wire \B_0_2.WORD[31].W.B3.GCLK ;
+  wire \B_0_2.WORD[31].W.B3.SEL_B ;
+  wire \B_0_2.WORD[31].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[31].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[31].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[31].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[31].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[31].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[31].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[31].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[31].W.B3.we_wire ;
+  wire \B_0_2.WORD[32].W.B0.GCLK ;
+  wire \B_0_2.WORD[32].W.B0.SEL_B ;
+  wire \B_0_2.WORD[32].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[32].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[32].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[32].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[32].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[32].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[32].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[32].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[32].W.B0.we_wire ;
+  wire \B_0_2.WORD[32].W.B1.GCLK ;
+  wire \B_0_2.WORD[32].W.B1.SEL_B ;
+  wire \B_0_2.WORD[32].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[32].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[32].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[32].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[32].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[32].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[32].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[32].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[32].W.B1.we_wire ;
+  wire \B_0_2.WORD[32].W.B2.GCLK ;
+  wire \B_0_2.WORD[32].W.B2.SEL_B ;
+  wire \B_0_2.WORD[32].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[32].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[32].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[32].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[32].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[32].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[32].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[32].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[32].W.B2.we_wire ;
+  wire \B_0_2.WORD[32].W.B3.GCLK ;
+  wire \B_0_2.WORD[32].W.B3.SEL_B ;
+  wire \B_0_2.WORD[32].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[32].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[32].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[32].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[32].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[32].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[32].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[32].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[32].W.B3.we_wire ;
+  wire \B_0_2.WORD[33].W.B0.GCLK ;
+  wire \B_0_2.WORD[33].W.B0.SEL_B ;
+  wire \B_0_2.WORD[33].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[33].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[33].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[33].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[33].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[33].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[33].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[33].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[33].W.B0.we_wire ;
+  wire \B_0_2.WORD[33].W.B1.GCLK ;
+  wire \B_0_2.WORD[33].W.B1.SEL_B ;
+  wire \B_0_2.WORD[33].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[33].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[33].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[33].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[33].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[33].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[33].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[33].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[33].W.B1.we_wire ;
+  wire \B_0_2.WORD[33].W.B2.GCLK ;
+  wire \B_0_2.WORD[33].W.B2.SEL_B ;
+  wire \B_0_2.WORD[33].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[33].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[33].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[33].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[33].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[33].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[33].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[33].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[33].W.B2.we_wire ;
+  wire \B_0_2.WORD[33].W.B3.GCLK ;
+  wire \B_0_2.WORD[33].W.B3.SEL_B ;
+  wire \B_0_2.WORD[33].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[33].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[33].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[33].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[33].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[33].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[33].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[33].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[33].W.B3.we_wire ;
+  wire \B_0_2.WORD[34].W.B0.GCLK ;
+  wire \B_0_2.WORD[34].W.B0.SEL_B ;
+  wire \B_0_2.WORD[34].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[34].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[34].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[34].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[34].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[34].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[34].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[34].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[34].W.B0.we_wire ;
+  wire \B_0_2.WORD[34].W.B1.GCLK ;
+  wire \B_0_2.WORD[34].W.B1.SEL_B ;
+  wire \B_0_2.WORD[34].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[34].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[34].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[34].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[34].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[34].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[34].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[34].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[34].W.B1.we_wire ;
+  wire \B_0_2.WORD[34].W.B2.GCLK ;
+  wire \B_0_2.WORD[34].W.B2.SEL_B ;
+  wire \B_0_2.WORD[34].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[34].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[34].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[34].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[34].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[34].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[34].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[34].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[34].W.B2.we_wire ;
+  wire \B_0_2.WORD[34].W.B3.GCLK ;
+  wire \B_0_2.WORD[34].W.B3.SEL_B ;
+  wire \B_0_2.WORD[34].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[34].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[34].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[34].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[34].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[34].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[34].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[34].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[34].W.B3.we_wire ;
+  wire \B_0_2.WORD[35].W.B0.GCLK ;
+  wire \B_0_2.WORD[35].W.B0.SEL_B ;
+  wire \B_0_2.WORD[35].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[35].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[35].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[35].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[35].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[35].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[35].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[35].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[35].W.B0.we_wire ;
+  wire \B_0_2.WORD[35].W.B1.GCLK ;
+  wire \B_0_2.WORD[35].W.B1.SEL_B ;
+  wire \B_0_2.WORD[35].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[35].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[35].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[35].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[35].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[35].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[35].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[35].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[35].W.B1.we_wire ;
+  wire \B_0_2.WORD[35].W.B2.GCLK ;
+  wire \B_0_2.WORD[35].W.B2.SEL_B ;
+  wire \B_0_2.WORD[35].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[35].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[35].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[35].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[35].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[35].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[35].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[35].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[35].W.B2.we_wire ;
+  wire \B_0_2.WORD[35].W.B3.GCLK ;
+  wire \B_0_2.WORD[35].W.B3.SEL_B ;
+  wire \B_0_2.WORD[35].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[35].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[35].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[35].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[35].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[35].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[35].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[35].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[35].W.B3.we_wire ;
+  wire \B_0_2.WORD[36].W.B0.GCLK ;
+  wire \B_0_2.WORD[36].W.B0.SEL_B ;
+  wire \B_0_2.WORD[36].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[36].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[36].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[36].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[36].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[36].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[36].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[36].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[36].W.B0.we_wire ;
+  wire \B_0_2.WORD[36].W.B1.GCLK ;
+  wire \B_0_2.WORD[36].W.B1.SEL_B ;
+  wire \B_0_2.WORD[36].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[36].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[36].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[36].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[36].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[36].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[36].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[36].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[36].W.B1.we_wire ;
+  wire \B_0_2.WORD[36].W.B2.GCLK ;
+  wire \B_0_2.WORD[36].W.B2.SEL_B ;
+  wire \B_0_2.WORD[36].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[36].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[36].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[36].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[36].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[36].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[36].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[36].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[36].W.B2.we_wire ;
+  wire \B_0_2.WORD[36].W.B3.GCLK ;
+  wire \B_0_2.WORD[36].W.B3.SEL_B ;
+  wire \B_0_2.WORD[36].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[36].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[36].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[36].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[36].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[36].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[36].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[36].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[36].W.B3.we_wire ;
+  wire \B_0_2.WORD[37].W.B0.GCLK ;
+  wire \B_0_2.WORD[37].W.B0.SEL_B ;
+  wire \B_0_2.WORD[37].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[37].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[37].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[37].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[37].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[37].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[37].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[37].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[37].W.B0.we_wire ;
+  wire \B_0_2.WORD[37].W.B1.GCLK ;
+  wire \B_0_2.WORD[37].W.B1.SEL_B ;
+  wire \B_0_2.WORD[37].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[37].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[37].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[37].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[37].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[37].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[37].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[37].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[37].W.B1.we_wire ;
+  wire \B_0_2.WORD[37].W.B2.GCLK ;
+  wire \B_0_2.WORD[37].W.B2.SEL_B ;
+  wire \B_0_2.WORD[37].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[37].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[37].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[37].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[37].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[37].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[37].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[37].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[37].W.B2.we_wire ;
+  wire \B_0_2.WORD[37].W.B3.GCLK ;
+  wire \B_0_2.WORD[37].W.B3.SEL_B ;
+  wire \B_0_2.WORD[37].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[37].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[37].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[37].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[37].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[37].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[37].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[37].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[37].W.B3.we_wire ;
+  wire \B_0_2.WORD[38].W.B0.GCLK ;
+  wire \B_0_2.WORD[38].W.B0.SEL_B ;
+  wire \B_0_2.WORD[38].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[38].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[38].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[38].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[38].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[38].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[38].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[38].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[38].W.B0.we_wire ;
+  wire \B_0_2.WORD[38].W.B1.GCLK ;
+  wire \B_0_2.WORD[38].W.B1.SEL_B ;
+  wire \B_0_2.WORD[38].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[38].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[38].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[38].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[38].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[38].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[38].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[38].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[38].W.B1.we_wire ;
+  wire \B_0_2.WORD[38].W.B2.GCLK ;
+  wire \B_0_2.WORD[38].W.B2.SEL_B ;
+  wire \B_0_2.WORD[38].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[38].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[38].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[38].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[38].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[38].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[38].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[38].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[38].W.B2.we_wire ;
+  wire \B_0_2.WORD[38].W.B3.GCLK ;
+  wire \B_0_2.WORD[38].W.B3.SEL_B ;
+  wire \B_0_2.WORD[38].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[38].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[38].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[38].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[38].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[38].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[38].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[38].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[38].W.B3.we_wire ;
+  wire \B_0_2.WORD[39].W.B0.GCLK ;
+  wire \B_0_2.WORD[39].W.B0.SEL_B ;
+  wire \B_0_2.WORD[39].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[39].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[39].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[39].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[39].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[39].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[39].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[39].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[39].W.B0.we_wire ;
+  wire \B_0_2.WORD[39].W.B1.GCLK ;
+  wire \B_0_2.WORD[39].W.B1.SEL_B ;
+  wire \B_0_2.WORD[39].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[39].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[39].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[39].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[39].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[39].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[39].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[39].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[39].W.B1.we_wire ;
+  wire \B_0_2.WORD[39].W.B2.GCLK ;
+  wire \B_0_2.WORD[39].W.B2.SEL_B ;
+  wire \B_0_2.WORD[39].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[39].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[39].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[39].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[39].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[39].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[39].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[39].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[39].W.B2.we_wire ;
+  wire \B_0_2.WORD[39].W.B3.GCLK ;
+  wire \B_0_2.WORD[39].W.B3.SEL_B ;
+  wire \B_0_2.WORD[39].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[39].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[39].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[39].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[39].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[39].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[39].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[39].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[39].W.B3.we_wire ;
+  wire \B_0_2.WORD[3].W.B0.GCLK ;
+  wire \B_0_2.WORD[3].W.B0.SEL_B ;
+  wire \B_0_2.WORD[3].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[3].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[3].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[3].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[3].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[3].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[3].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[3].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[3].W.B0.we_wire ;
+  wire \B_0_2.WORD[3].W.B1.GCLK ;
+  wire \B_0_2.WORD[3].W.B1.SEL_B ;
+  wire \B_0_2.WORD[3].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[3].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[3].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[3].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[3].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[3].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[3].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[3].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[3].W.B1.we_wire ;
+  wire \B_0_2.WORD[3].W.B2.GCLK ;
+  wire \B_0_2.WORD[3].W.B2.SEL_B ;
+  wire \B_0_2.WORD[3].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[3].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[3].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[3].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[3].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[3].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[3].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[3].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[3].W.B2.we_wire ;
+  wire \B_0_2.WORD[3].W.B3.GCLK ;
+  wire \B_0_2.WORD[3].W.B3.SEL_B ;
+  wire \B_0_2.WORD[3].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[3].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[3].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[3].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[3].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[3].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[3].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[3].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[3].W.B3.we_wire ;
+  wire \B_0_2.WORD[40].W.B0.GCLK ;
+  wire \B_0_2.WORD[40].W.B0.SEL_B ;
+  wire \B_0_2.WORD[40].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[40].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[40].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[40].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[40].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[40].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[40].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[40].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[40].W.B0.we_wire ;
+  wire \B_0_2.WORD[40].W.B1.GCLK ;
+  wire \B_0_2.WORD[40].W.B1.SEL_B ;
+  wire \B_0_2.WORD[40].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[40].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[40].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[40].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[40].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[40].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[40].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[40].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[40].W.B1.we_wire ;
+  wire \B_0_2.WORD[40].W.B2.GCLK ;
+  wire \B_0_2.WORD[40].W.B2.SEL_B ;
+  wire \B_0_2.WORD[40].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[40].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[40].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[40].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[40].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[40].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[40].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[40].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[40].W.B2.we_wire ;
+  wire \B_0_2.WORD[40].W.B3.GCLK ;
+  wire \B_0_2.WORD[40].W.B3.SEL_B ;
+  wire \B_0_2.WORD[40].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[40].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[40].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[40].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[40].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[40].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[40].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[40].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[40].W.B3.we_wire ;
+  wire \B_0_2.WORD[41].W.B0.GCLK ;
+  wire \B_0_2.WORD[41].W.B0.SEL_B ;
+  wire \B_0_2.WORD[41].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[41].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[41].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[41].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[41].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[41].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[41].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[41].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[41].W.B0.we_wire ;
+  wire \B_0_2.WORD[41].W.B1.GCLK ;
+  wire \B_0_2.WORD[41].W.B1.SEL_B ;
+  wire \B_0_2.WORD[41].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[41].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[41].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[41].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[41].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[41].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[41].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[41].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[41].W.B1.we_wire ;
+  wire \B_0_2.WORD[41].W.B2.GCLK ;
+  wire \B_0_2.WORD[41].W.B2.SEL_B ;
+  wire \B_0_2.WORD[41].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[41].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[41].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[41].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[41].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[41].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[41].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[41].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[41].W.B2.we_wire ;
+  wire \B_0_2.WORD[41].W.B3.GCLK ;
+  wire \B_0_2.WORD[41].W.B3.SEL_B ;
+  wire \B_0_2.WORD[41].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[41].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[41].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[41].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[41].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[41].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[41].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[41].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[41].W.B3.we_wire ;
+  wire \B_0_2.WORD[42].W.B0.GCLK ;
+  wire \B_0_2.WORD[42].W.B0.SEL_B ;
+  wire \B_0_2.WORD[42].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[42].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[42].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[42].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[42].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[42].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[42].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[42].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[42].W.B0.we_wire ;
+  wire \B_0_2.WORD[42].W.B1.GCLK ;
+  wire \B_0_2.WORD[42].W.B1.SEL_B ;
+  wire \B_0_2.WORD[42].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[42].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[42].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[42].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[42].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[42].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[42].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[42].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[42].W.B1.we_wire ;
+  wire \B_0_2.WORD[42].W.B2.GCLK ;
+  wire \B_0_2.WORD[42].W.B2.SEL_B ;
+  wire \B_0_2.WORD[42].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[42].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[42].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[42].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[42].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[42].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[42].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[42].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[42].W.B2.we_wire ;
+  wire \B_0_2.WORD[42].W.B3.GCLK ;
+  wire \B_0_2.WORD[42].W.B3.SEL_B ;
+  wire \B_0_2.WORD[42].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[42].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[42].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[42].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[42].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[42].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[42].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[42].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[42].W.B3.we_wire ;
+  wire \B_0_2.WORD[43].W.B0.GCLK ;
+  wire \B_0_2.WORD[43].W.B0.SEL_B ;
+  wire \B_0_2.WORD[43].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[43].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[43].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[43].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[43].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[43].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[43].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[43].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[43].W.B0.we_wire ;
+  wire \B_0_2.WORD[43].W.B1.GCLK ;
+  wire \B_0_2.WORD[43].W.B1.SEL_B ;
+  wire \B_0_2.WORD[43].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[43].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[43].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[43].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[43].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[43].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[43].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[43].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[43].W.B1.we_wire ;
+  wire \B_0_2.WORD[43].W.B2.GCLK ;
+  wire \B_0_2.WORD[43].W.B2.SEL_B ;
+  wire \B_0_2.WORD[43].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[43].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[43].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[43].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[43].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[43].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[43].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[43].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[43].W.B2.we_wire ;
+  wire \B_0_2.WORD[43].W.B3.GCLK ;
+  wire \B_0_2.WORD[43].W.B3.SEL_B ;
+  wire \B_0_2.WORD[43].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[43].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[43].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[43].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[43].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[43].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[43].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[43].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[43].W.B3.we_wire ;
+  wire \B_0_2.WORD[44].W.B0.GCLK ;
+  wire \B_0_2.WORD[44].W.B0.SEL_B ;
+  wire \B_0_2.WORD[44].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[44].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[44].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[44].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[44].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[44].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[44].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[44].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[44].W.B0.we_wire ;
+  wire \B_0_2.WORD[44].W.B1.GCLK ;
+  wire \B_0_2.WORD[44].W.B1.SEL_B ;
+  wire \B_0_2.WORD[44].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[44].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[44].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[44].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[44].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[44].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[44].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[44].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[44].W.B1.we_wire ;
+  wire \B_0_2.WORD[44].W.B2.GCLK ;
+  wire \B_0_2.WORD[44].W.B2.SEL_B ;
+  wire \B_0_2.WORD[44].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[44].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[44].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[44].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[44].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[44].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[44].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[44].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[44].W.B2.we_wire ;
+  wire \B_0_2.WORD[44].W.B3.GCLK ;
+  wire \B_0_2.WORD[44].W.B3.SEL_B ;
+  wire \B_0_2.WORD[44].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[44].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[44].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[44].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[44].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[44].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[44].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[44].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[44].W.B3.we_wire ;
+  wire \B_0_2.WORD[45].W.B0.GCLK ;
+  wire \B_0_2.WORD[45].W.B0.SEL_B ;
+  wire \B_0_2.WORD[45].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[45].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[45].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[45].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[45].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[45].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[45].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[45].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[45].W.B0.we_wire ;
+  wire \B_0_2.WORD[45].W.B1.GCLK ;
+  wire \B_0_2.WORD[45].W.B1.SEL_B ;
+  wire \B_0_2.WORD[45].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[45].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[45].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[45].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[45].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[45].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[45].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[45].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[45].W.B1.we_wire ;
+  wire \B_0_2.WORD[45].W.B2.GCLK ;
+  wire \B_0_2.WORD[45].W.B2.SEL_B ;
+  wire \B_0_2.WORD[45].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[45].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[45].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[45].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[45].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[45].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[45].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[45].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[45].W.B2.we_wire ;
+  wire \B_0_2.WORD[45].W.B3.GCLK ;
+  wire \B_0_2.WORD[45].W.B3.SEL_B ;
+  wire \B_0_2.WORD[45].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[45].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[45].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[45].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[45].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[45].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[45].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[45].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[45].W.B3.we_wire ;
+  wire \B_0_2.WORD[46].W.B0.GCLK ;
+  wire \B_0_2.WORD[46].W.B0.SEL_B ;
+  wire \B_0_2.WORD[46].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[46].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[46].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[46].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[46].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[46].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[46].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[46].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[46].W.B0.we_wire ;
+  wire \B_0_2.WORD[46].W.B1.GCLK ;
+  wire \B_0_2.WORD[46].W.B1.SEL_B ;
+  wire \B_0_2.WORD[46].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[46].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[46].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[46].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[46].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[46].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[46].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[46].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[46].W.B1.we_wire ;
+  wire \B_0_2.WORD[46].W.B2.GCLK ;
+  wire \B_0_2.WORD[46].W.B2.SEL_B ;
+  wire \B_0_2.WORD[46].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[46].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[46].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[46].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[46].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[46].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[46].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[46].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[46].W.B2.we_wire ;
+  wire \B_0_2.WORD[46].W.B3.GCLK ;
+  wire \B_0_2.WORD[46].W.B3.SEL_B ;
+  wire \B_0_2.WORD[46].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[46].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[46].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[46].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[46].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[46].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[46].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[46].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[46].W.B3.we_wire ;
+  wire \B_0_2.WORD[47].W.B0.GCLK ;
+  wire \B_0_2.WORD[47].W.B0.SEL_B ;
+  wire \B_0_2.WORD[47].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[47].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[47].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[47].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[47].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[47].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[47].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[47].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[47].W.B0.we_wire ;
+  wire \B_0_2.WORD[47].W.B1.GCLK ;
+  wire \B_0_2.WORD[47].W.B1.SEL_B ;
+  wire \B_0_2.WORD[47].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[47].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[47].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[47].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[47].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[47].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[47].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[47].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[47].W.B1.we_wire ;
+  wire \B_0_2.WORD[47].W.B2.GCLK ;
+  wire \B_0_2.WORD[47].W.B2.SEL_B ;
+  wire \B_0_2.WORD[47].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[47].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[47].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[47].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[47].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[47].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[47].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[47].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[47].W.B2.we_wire ;
+  wire \B_0_2.WORD[47].W.B3.GCLK ;
+  wire \B_0_2.WORD[47].W.B3.SEL_B ;
+  wire \B_0_2.WORD[47].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[47].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[47].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[47].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[47].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[47].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[47].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[47].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[47].W.B3.we_wire ;
+  wire \B_0_2.WORD[48].W.B0.GCLK ;
+  wire \B_0_2.WORD[48].W.B0.SEL_B ;
+  wire \B_0_2.WORD[48].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[48].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[48].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[48].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[48].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[48].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[48].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[48].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[48].W.B0.we_wire ;
+  wire \B_0_2.WORD[48].W.B1.GCLK ;
+  wire \B_0_2.WORD[48].W.B1.SEL_B ;
+  wire \B_0_2.WORD[48].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[48].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[48].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[48].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[48].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[48].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[48].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[48].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[48].W.B1.we_wire ;
+  wire \B_0_2.WORD[48].W.B2.GCLK ;
+  wire \B_0_2.WORD[48].W.B2.SEL_B ;
+  wire \B_0_2.WORD[48].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[48].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[48].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[48].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[48].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[48].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[48].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[48].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[48].W.B2.we_wire ;
+  wire \B_0_2.WORD[48].W.B3.GCLK ;
+  wire \B_0_2.WORD[48].W.B3.SEL_B ;
+  wire \B_0_2.WORD[48].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[48].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[48].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[48].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[48].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[48].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[48].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[48].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[48].W.B3.we_wire ;
+  wire \B_0_2.WORD[49].W.B0.GCLK ;
+  wire \B_0_2.WORD[49].W.B0.SEL_B ;
+  wire \B_0_2.WORD[49].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[49].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[49].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[49].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[49].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[49].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[49].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[49].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[49].W.B0.we_wire ;
+  wire \B_0_2.WORD[49].W.B1.GCLK ;
+  wire \B_0_2.WORD[49].W.B1.SEL_B ;
+  wire \B_0_2.WORD[49].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[49].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[49].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[49].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[49].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[49].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[49].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[49].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[49].W.B1.we_wire ;
+  wire \B_0_2.WORD[49].W.B2.GCLK ;
+  wire \B_0_2.WORD[49].W.B2.SEL_B ;
+  wire \B_0_2.WORD[49].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[49].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[49].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[49].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[49].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[49].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[49].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[49].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[49].W.B2.we_wire ;
+  wire \B_0_2.WORD[49].W.B3.GCLK ;
+  wire \B_0_2.WORD[49].W.B3.SEL_B ;
+  wire \B_0_2.WORD[49].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[49].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[49].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[49].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[49].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[49].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[49].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[49].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[49].W.B3.we_wire ;
+  wire \B_0_2.WORD[4].W.B0.GCLK ;
+  wire \B_0_2.WORD[4].W.B0.SEL_B ;
+  wire \B_0_2.WORD[4].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[4].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[4].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[4].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[4].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[4].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[4].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[4].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[4].W.B0.we_wire ;
+  wire \B_0_2.WORD[4].W.B1.GCLK ;
+  wire \B_0_2.WORD[4].W.B1.SEL_B ;
+  wire \B_0_2.WORD[4].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[4].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[4].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[4].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[4].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[4].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[4].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[4].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[4].W.B1.we_wire ;
+  wire \B_0_2.WORD[4].W.B2.GCLK ;
+  wire \B_0_2.WORD[4].W.B2.SEL_B ;
+  wire \B_0_2.WORD[4].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[4].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[4].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[4].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[4].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[4].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[4].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[4].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[4].W.B2.we_wire ;
+  wire \B_0_2.WORD[4].W.B3.GCLK ;
+  wire \B_0_2.WORD[4].W.B3.SEL_B ;
+  wire \B_0_2.WORD[4].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[4].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[4].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[4].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[4].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[4].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[4].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[4].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[4].W.B3.we_wire ;
+  wire \B_0_2.WORD[50].W.B0.GCLK ;
+  wire \B_0_2.WORD[50].W.B0.SEL_B ;
+  wire \B_0_2.WORD[50].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[50].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[50].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[50].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[50].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[50].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[50].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[50].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[50].W.B0.we_wire ;
+  wire \B_0_2.WORD[50].W.B1.GCLK ;
+  wire \B_0_2.WORD[50].W.B1.SEL_B ;
+  wire \B_0_2.WORD[50].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[50].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[50].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[50].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[50].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[50].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[50].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[50].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[50].W.B1.we_wire ;
+  wire \B_0_2.WORD[50].W.B2.GCLK ;
+  wire \B_0_2.WORD[50].W.B2.SEL_B ;
+  wire \B_0_2.WORD[50].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[50].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[50].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[50].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[50].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[50].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[50].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[50].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[50].W.B2.we_wire ;
+  wire \B_0_2.WORD[50].W.B3.GCLK ;
+  wire \B_0_2.WORD[50].W.B3.SEL_B ;
+  wire \B_0_2.WORD[50].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[50].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[50].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[50].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[50].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[50].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[50].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[50].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[50].W.B3.we_wire ;
+  wire \B_0_2.WORD[51].W.B0.GCLK ;
+  wire \B_0_2.WORD[51].W.B0.SEL_B ;
+  wire \B_0_2.WORD[51].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[51].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[51].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[51].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[51].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[51].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[51].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[51].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[51].W.B0.we_wire ;
+  wire \B_0_2.WORD[51].W.B1.GCLK ;
+  wire \B_0_2.WORD[51].W.B1.SEL_B ;
+  wire \B_0_2.WORD[51].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[51].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[51].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[51].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[51].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[51].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[51].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[51].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[51].W.B1.we_wire ;
+  wire \B_0_2.WORD[51].W.B2.GCLK ;
+  wire \B_0_2.WORD[51].W.B2.SEL_B ;
+  wire \B_0_2.WORD[51].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[51].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[51].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[51].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[51].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[51].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[51].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[51].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[51].W.B2.we_wire ;
+  wire \B_0_2.WORD[51].W.B3.GCLK ;
+  wire \B_0_2.WORD[51].W.B3.SEL_B ;
+  wire \B_0_2.WORD[51].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[51].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[51].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[51].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[51].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[51].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[51].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[51].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[51].W.B3.we_wire ;
+  wire \B_0_2.WORD[52].W.B0.GCLK ;
+  wire \B_0_2.WORD[52].W.B0.SEL_B ;
+  wire \B_0_2.WORD[52].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[52].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[52].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[52].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[52].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[52].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[52].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[52].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[52].W.B0.we_wire ;
+  wire \B_0_2.WORD[52].W.B1.GCLK ;
+  wire \B_0_2.WORD[52].W.B1.SEL_B ;
+  wire \B_0_2.WORD[52].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[52].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[52].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[52].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[52].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[52].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[52].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[52].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[52].W.B1.we_wire ;
+  wire \B_0_2.WORD[52].W.B2.GCLK ;
+  wire \B_0_2.WORD[52].W.B2.SEL_B ;
+  wire \B_0_2.WORD[52].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[52].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[52].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[52].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[52].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[52].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[52].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[52].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[52].W.B2.we_wire ;
+  wire \B_0_2.WORD[52].W.B3.GCLK ;
+  wire \B_0_2.WORD[52].W.B3.SEL_B ;
+  wire \B_0_2.WORD[52].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[52].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[52].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[52].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[52].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[52].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[52].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[52].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[52].W.B3.we_wire ;
+  wire \B_0_2.WORD[53].W.B0.GCLK ;
+  wire \B_0_2.WORD[53].W.B0.SEL_B ;
+  wire \B_0_2.WORD[53].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[53].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[53].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[53].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[53].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[53].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[53].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[53].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[53].W.B0.we_wire ;
+  wire \B_0_2.WORD[53].W.B1.GCLK ;
+  wire \B_0_2.WORD[53].W.B1.SEL_B ;
+  wire \B_0_2.WORD[53].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[53].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[53].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[53].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[53].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[53].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[53].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[53].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[53].W.B1.we_wire ;
+  wire \B_0_2.WORD[53].W.B2.GCLK ;
+  wire \B_0_2.WORD[53].W.B2.SEL_B ;
+  wire \B_0_2.WORD[53].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[53].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[53].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[53].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[53].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[53].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[53].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[53].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[53].W.B2.we_wire ;
+  wire \B_0_2.WORD[53].W.B3.GCLK ;
+  wire \B_0_2.WORD[53].W.B3.SEL_B ;
+  wire \B_0_2.WORD[53].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[53].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[53].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[53].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[53].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[53].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[53].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[53].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[53].W.B3.we_wire ;
+  wire \B_0_2.WORD[54].W.B0.GCLK ;
+  wire \B_0_2.WORD[54].W.B0.SEL_B ;
+  wire \B_0_2.WORD[54].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[54].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[54].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[54].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[54].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[54].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[54].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[54].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[54].W.B0.we_wire ;
+  wire \B_0_2.WORD[54].W.B1.GCLK ;
+  wire \B_0_2.WORD[54].W.B1.SEL_B ;
+  wire \B_0_2.WORD[54].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[54].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[54].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[54].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[54].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[54].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[54].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[54].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[54].W.B1.we_wire ;
+  wire \B_0_2.WORD[54].W.B2.GCLK ;
+  wire \B_0_2.WORD[54].W.B2.SEL_B ;
+  wire \B_0_2.WORD[54].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[54].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[54].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[54].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[54].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[54].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[54].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[54].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[54].W.B2.we_wire ;
+  wire \B_0_2.WORD[54].W.B3.GCLK ;
+  wire \B_0_2.WORD[54].W.B3.SEL_B ;
+  wire \B_0_2.WORD[54].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[54].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[54].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[54].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[54].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[54].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[54].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[54].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[54].W.B3.we_wire ;
+  wire \B_0_2.WORD[55].W.B0.GCLK ;
+  wire \B_0_2.WORD[55].W.B0.SEL_B ;
+  wire \B_0_2.WORD[55].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[55].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[55].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[55].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[55].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[55].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[55].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[55].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[55].W.B0.we_wire ;
+  wire \B_0_2.WORD[55].W.B1.GCLK ;
+  wire \B_0_2.WORD[55].W.B1.SEL_B ;
+  wire \B_0_2.WORD[55].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[55].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[55].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[55].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[55].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[55].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[55].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[55].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[55].W.B1.we_wire ;
+  wire \B_0_2.WORD[55].W.B2.GCLK ;
+  wire \B_0_2.WORD[55].W.B2.SEL_B ;
+  wire \B_0_2.WORD[55].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[55].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[55].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[55].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[55].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[55].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[55].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[55].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[55].W.B2.we_wire ;
+  wire \B_0_2.WORD[55].W.B3.GCLK ;
+  wire \B_0_2.WORD[55].W.B3.SEL_B ;
+  wire \B_0_2.WORD[55].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[55].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[55].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[55].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[55].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[55].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[55].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[55].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[55].W.B3.we_wire ;
+  wire \B_0_2.WORD[56].W.B0.GCLK ;
+  wire \B_0_2.WORD[56].W.B0.SEL_B ;
+  wire \B_0_2.WORD[56].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[56].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[56].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[56].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[56].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[56].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[56].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[56].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[56].W.B0.we_wire ;
+  wire \B_0_2.WORD[56].W.B1.GCLK ;
+  wire \B_0_2.WORD[56].W.B1.SEL_B ;
+  wire \B_0_2.WORD[56].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[56].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[56].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[56].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[56].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[56].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[56].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[56].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[56].W.B1.we_wire ;
+  wire \B_0_2.WORD[56].W.B2.GCLK ;
+  wire \B_0_2.WORD[56].W.B2.SEL_B ;
+  wire \B_0_2.WORD[56].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[56].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[56].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[56].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[56].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[56].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[56].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[56].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[56].W.B2.we_wire ;
+  wire \B_0_2.WORD[56].W.B3.GCLK ;
+  wire \B_0_2.WORD[56].W.B3.SEL_B ;
+  wire \B_0_2.WORD[56].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[56].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[56].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[56].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[56].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[56].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[56].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[56].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[56].W.B3.we_wire ;
+  wire \B_0_2.WORD[57].W.B0.GCLK ;
+  wire \B_0_2.WORD[57].W.B0.SEL_B ;
+  wire \B_0_2.WORD[57].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[57].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[57].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[57].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[57].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[57].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[57].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[57].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[57].W.B0.we_wire ;
+  wire \B_0_2.WORD[57].W.B1.GCLK ;
+  wire \B_0_2.WORD[57].W.B1.SEL_B ;
+  wire \B_0_2.WORD[57].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[57].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[57].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[57].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[57].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[57].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[57].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[57].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[57].W.B1.we_wire ;
+  wire \B_0_2.WORD[57].W.B2.GCLK ;
+  wire \B_0_2.WORD[57].W.B2.SEL_B ;
+  wire \B_0_2.WORD[57].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[57].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[57].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[57].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[57].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[57].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[57].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[57].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[57].W.B2.we_wire ;
+  wire \B_0_2.WORD[57].W.B3.GCLK ;
+  wire \B_0_2.WORD[57].W.B3.SEL_B ;
+  wire \B_0_2.WORD[57].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[57].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[57].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[57].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[57].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[57].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[57].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[57].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[57].W.B3.we_wire ;
+  wire \B_0_2.WORD[58].W.B0.GCLK ;
+  wire \B_0_2.WORD[58].W.B0.SEL_B ;
+  wire \B_0_2.WORD[58].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[58].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[58].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[58].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[58].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[58].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[58].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[58].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[58].W.B0.we_wire ;
+  wire \B_0_2.WORD[58].W.B1.GCLK ;
+  wire \B_0_2.WORD[58].W.B1.SEL_B ;
+  wire \B_0_2.WORD[58].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[58].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[58].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[58].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[58].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[58].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[58].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[58].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[58].W.B1.we_wire ;
+  wire \B_0_2.WORD[58].W.B2.GCLK ;
+  wire \B_0_2.WORD[58].W.B2.SEL_B ;
+  wire \B_0_2.WORD[58].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[58].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[58].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[58].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[58].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[58].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[58].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[58].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[58].W.B2.we_wire ;
+  wire \B_0_2.WORD[58].W.B3.GCLK ;
+  wire \B_0_2.WORD[58].W.B3.SEL_B ;
+  wire \B_0_2.WORD[58].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[58].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[58].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[58].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[58].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[58].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[58].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[58].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[58].W.B3.we_wire ;
+  wire \B_0_2.WORD[59].W.B0.GCLK ;
+  wire \B_0_2.WORD[59].W.B0.SEL_B ;
+  wire \B_0_2.WORD[59].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[59].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[59].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[59].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[59].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[59].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[59].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[59].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[59].W.B0.we_wire ;
+  wire \B_0_2.WORD[59].W.B1.GCLK ;
+  wire \B_0_2.WORD[59].W.B1.SEL_B ;
+  wire \B_0_2.WORD[59].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[59].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[59].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[59].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[59].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[59].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[59].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[59].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[59].W.B1.we_wire ;
+  wire \B_0_2.WORD[59].W.B2.GCLK ;
+  wire \B_0_2.WORD[59].W.B2.SEL_B ;
+  wire \B_0_2.WORD[59].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[59].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[59].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[59].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[59].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[59].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[59].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[59].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[59].W.B2.we_wire ;
+  wire \B_0_2.WORD[59].W.B3.GCLK ;
+  wire \B_0_2.WORD[59].W.B3.SEL_B ;
+  wire \B_0_2.WORD[59].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[59].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[59].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[59].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[59].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[59].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[59].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[59].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[59].W.B3.we_wire ;
+  wire \B_0_2.WORD[5].W.B0.GCLK ;
+  wire \B_0_2.WORD[5].W.B0.SEL_B ;
+  wire \B_0_2.WORD[5].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[5].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[5].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[5].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[5].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[5].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[5].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[5].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[5].W.B0.we_wire ;
+  wire \B_0_2.WORD[5].W.B1.GCLK ;
+  wire \B_0_2.WORD[5].W.B1.SEL_B ;
+  wire \B_0_2.WORD[5].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[5].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[5].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[5].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[5].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[5].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[5].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[5].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[5].W.B1.we_wire ;
+  wire \B_0_2.WORD[5].W.B2.GCLK ;
+  wire \B_0_2.WORD[5].W.B2.SEL_B ;
+  wire \B_0_2.WORD[5].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[5].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[5].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[5].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[5].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[5].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[5].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[5].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[5].W.B2.we_wire ;
+  wire \B_0_2.WORD[5].W.B3.GCLK ;
+  wire \B_0_2.WORD[5].W.B3.SEL_B ;
+  wire \B_0_2.WORD[5].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[5].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[5].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[5].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[5].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[5].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[5].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[5].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[5].W.B3.we_wire ;
+  wire \B_0_2.WORD[60].W.B0.GCLK ;
+  wire \B_0_2.WORD[60].W.B0.SEL_B ;
+  wire \B_0_2.WORD[60].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[60].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[60].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[60].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[60].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[60].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[60].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[60].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[60].W.B0.we_wire ;
+  wire \B_0_2.WORD[60].W.B1.GCLK ;
+  wire \B_0_2.WORD[60].W.B1.SEL_B ;
+  wire \B_0_2.WORD[60].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[60].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[60].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[60].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[60].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[60].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[60].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[60].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[60].W.B1.we_wire ;
+  wire \B_0_2.WORD[60].W.B2.GCLK ;
+  wire \B_0_2.WORD[60].W.B2.SEL_B ;
+  wire \B_0_2.WORD[60].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[60].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[60].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[60].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[60].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[60].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[60].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[60].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[60].W.B2.we_wire ;
+  wire \B_0_2.WORD[60].W.B3.GCLK ;
+  wire \B_0_2.WORD[60].W.B3.SEL_B ;
+  wire \B_0_2.WORD[60].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[60].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[60].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[60].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[60].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[60].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[60].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[60].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[60].W.B3.we_wire ;
+  wire \B_0_2.WORD[61].W.B0.GCLK ;
+  wire \B_0_2.WORD[61].W.B0.SEL_B ;
+  wire \B_0_2.WORD[61].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[61].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[61].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[61].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[61].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[61].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[61].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[61].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[61].W.B0.we_wire ;
+  wire \B_0_2.WORD[61].W.B1.GCLK ;
+  wire \B_0_2.WORD[61].W.B1.SEL_B ;
+  wire \B_0_2.WORD[61].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[61].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[61].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[61].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[61].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[61].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[61].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[61].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[61].W.B1.we_wire ;
+  wire \B_0_2.WORD[61].W.B2.GCLK ;
+  wire \B_0_2.WORD[61].W.B2.SEL_B ;
+  wire \B_0_2.WORD[61].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[61].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[61].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[61].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[61].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[61].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[61].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[61].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[61].W.B2.we_wire ;
+  wire \B_0_2.WORD[61].W.B3.GCLK ;
+  wire \B_0_2.WORD[61].W.B3.SEL_B ;
+  wire \B_0_2.WORD[61].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[61].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[61].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[61].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[61].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[61].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[61].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[61].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[61].W.B3.we_wire ;
+  wire \B_0_2.WORD[62].W.B0.GCLK ;
+  wire \B_0_2.WORD[62].W.B0.SEL_B ;
+  wire \B_0_2.WORD[62].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[62].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[62].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[62].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[62].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[62].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[62].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[62].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[62].W.B0.we_wire ;
+  wire \B_0_2.WORD[62].W.B1.GCLK ;
+  wire \B_0_2.WORD[62].W.B1.SEL_B ;
+  wire \B_0_2.WORD[62].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[62].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[62].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[62].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[62].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[62].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[62].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[62].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[62].W.B1.we_wire ;
+  wire \B_0_2.WORD[62].W.B2.GCLK ;
+  wire \B_0_2.WORD[62].W.B2.SEL_B ;
+  wire \B_0_2.WORD[62].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[62].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[62].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[62].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[62].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[62].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[62].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[62].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[62].W.B2.we_wire ;
+  wire \B_0_2.WORD[62].W.B3.GCLK ;
+  wire \B_0_2.WORD[62].W.B3.SEL_B ;
+  wire \B_0_2.WORD[62].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[62].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[62].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[62].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[62].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[62].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[62].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[62].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[62].W.B3.we_wire ;
+  wire \B_0_2.WORD[63].W.B0.GCLK ;
+  wire \B_0_2.WORD[63].W.B0.SEL_B ;
+  wire \B_0_2.WORD[63].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[63].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[63].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[63].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[63].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[63].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[63].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[63].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[63].W.B0.we_wire ;
+  wire \B_0_2.WORD[63].W.B1.GCLK ;
+  wire \B_0_2.WORD[63].W.B1.SEL_B ;
+  wire \B_0_2.WORD[63].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[63].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[63].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[63].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[63].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[63].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[63].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[63].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[63].W.B1.we_wire ;
+  wire \B_0_2.WORD[63].W.B2.GCLK ;
+  wire \B_0_2.WORD[63].W.B2.SEL_B ;
+  wire \B_0_2.WORD[63].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[63].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[63].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[63].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[63].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[63].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[63].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[63].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[63].W.B2.we_wire ;
+  wire \B_0_2.WORD[63].W.B3.GCLK ;
+  wire \B_0_2.WORD[63].W.B3.SEL_B ;
+  wire \B_0_2.WORD[63].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[63].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[63].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[63].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[63].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[63].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[63].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[63].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[63].W.B3.we_wire ;
+  wire \B_0_2.WORD[6].W.B0.GCLK ;
+  wire \B_0_2.WORD[6].W.B0.SEL_B ;
+  wire \B_0_2.WORD[6].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[6].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[6].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[6].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[6].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[6].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[6].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[6].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[6].W.B0.we_wire ;
+  wire \B_0_2.WORD[6].W.B1.GCLK ;
+  wire \B_0_2.WORD[6].W.B1.SEL_B ;
+  wire \B_0_2.WORD[6].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[6].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[6].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[6].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[6].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[6].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[6].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[6].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[6].W.B1.we_wire ;
+  wire \B_0_2.WORD[6].W.B2.GCLK ;
+  wire \B_0_2.WORD[6].W.B2.SEL_B ;
+  wire \B_0_2.WORD[6].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[6].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[6].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[6].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[6].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[6].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[6].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[6].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[6].W.B2.we_wire ;
+  wire \B_0_2.WORD[6].W.B3.GCLK ;
+  wire \B_0_2.WORD[6].W.B3.SEL_B ;
+  wire \B_0_2.WORD[6].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[6].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[6].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[6].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[6].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[6].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[6].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[6].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[6].W.B3.we_wire ;
+  wire \B_0_2.WORD[7].W.B0.GCLK ;
+  wire \B_0_2.WORD[7].W.B0.SEL_B ;
+  wire \B_0_2.WORD[7].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[7].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[7].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[7].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[7].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[7].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[7].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[7].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[7].W.B0.we_wire ;
+  wire \B_0_2.WORD[7].W.B1.GCLK ;
+  wire \B_0_2.WORD[7].W.B1.SEL_B ;
+  wire \B_0_2.WORD[7].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[7].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[7].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[7].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[7].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[7].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[7].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[7].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[7].W.B1.we_wire ;
+  wire \B_0_2.WORD[7].W.B2.GCLK ;
+  wire \B_0_2.WORD[7].W.B2.SEL_B ;
+  wire \B_0_2.WORD[7].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[7].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[7].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[7].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[7].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[7].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[7].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[7].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[7].W.B2.we_wire ;
+  wire \B_0_2.WORD[7].W.B3.GCLK ;
+  wire \B_0_2.WORD[7].W.B3.SEL_B ;
+  wire \B_0_2.WORD[7].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[7].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[7].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[7].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[7].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[7].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[7].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[7].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[7].W.B3.we_wire ;
+  wire \B_0_2.WORD[8].W.B0.GCLK ;
+  wire \B_0_2.WORD[8].W.B0.SEL_B ;
+  wire \B_0_2.WORD[8].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[8].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[8].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[8].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[8].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[8].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[8].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[8].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[8].W.B0.we_wire ;
+  wire \B_0_2.WORD[8].W.B1.GCLK ;
+  wire \B_0_2.WORD[8].W.B1.SEL_B ;
+  wire \B_0_2.WORD[8].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[8].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[8].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[8].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[8].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[8].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[8].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[8].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[8].W.B1.we_wire ;
+  wire \B_0_2.WORD[8].W.B2.GCLK ;
+  wire \B_0_2.WORD[8].W.B2.SEL_B ;
+  wire \B_0_2.WORD[8].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[8].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[8].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[8].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[8].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[8].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[8].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[8].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[8].W.B2.we_wire ;
+  wire \B_0_2.WORD[8].W.B3.GCLK ;
+  wire \B_0_2.WORD[8].W.B3.SEL_B ;
+  wire \B_0_2.WORD[8].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[8].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[8].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[8].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[8].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[8].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[8].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[8].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[8].W.B3.we_wire ;
+  wire \B_0_2.WORD[9].W.B0.GCLK ;
+  wire \B_0_2.WORD[9].W.B0.SEL_B ;
+  wire \B_0_2.WORD[9].W.B0.q_wire[0] ;
+  wire \B_0_2.WORD[9].W.B0.q_wire[1] ;
+  wire \B_0_2.WORD[9].W.B0.q_wire[2] ;
+  wire \B_0_2.WORD[9].W.B0.q_wire[3] ;
+  wire \B_0_2.WORD[9].W.B0.q_wire[4] ;
+  wire \B_0_2.WORD[9].W.B0.q_wire[5] ;
+  wire \B_0_2.WORD[9].W.B0.q_wire[6] ;
+  wire \B_0_2.WORD[9].W.B0.q_wire[7] ;
+  wire \B_0_2.WORD[9].W.B0.we_wire ;
+  wire \B_0_2.WORD[9].W.B1.GCLK ;
+  wire \B_0_2.WORD[9].W.B1.SEL_B ;
+  wire \B_0_2.WORD[9].W.B1.q_wire[0] ;
+  wire \B_0_2.WORD[9].W.B1.q_wire[1] ;
+  wire \B_0_2.WORD[9].W.B1.q_wire[2] ;
+  wire \B_0_2.WORD[9].W.B1.q_wire[3] ;
+  wire \B_0_2.WORD[9].W.B1.q_wire[4] ;
+  wire \B_0_2.WORD[9].W.B1.q_wire[5] ;
+  wire \B_0_2.WORD[9].W.B1.q_wire[6] ;
+  wire \B_0_2.WORD[9].W.B1.q_wire[7] ;
+  wire \B_0_2.WORD[9].W.B1.we_wire ;
+  wire \B_0_2.WORD[9].W.B2.GCLK ;
+  wire \B_0_2.WORD[9].W.B2.SEL_B ;
+  wire \B_0_2.WORD[9].W.B2.q_wire[0] ;
+  wire \B_0_2.WORD[9].W.B2.q_wire[1] ;
+  wire \B_0_2.WORD[9].W.B2.q_wire[2] ;
+  wire \B_0_2.WORD[9].W.B2.q_wire[3] ;
+  wire \B_0_2.WORD[9].W.B2.q_wire[4] ;
+  wire \B_0_2.WORD[9].W.B2.q_wire[5] ;
+  wire \B_0_2.WORD[9].W.B2.q_wire[6] ;
+  wire \B_0_2.WORD[9].W.B2.q_wire[7] ;
+  wire \B_0_2.WORD[9].W.B2.we_wire ;
+  wire \B_0_2.WORD[9].W.B3.GCLK ;
+  wire \B_0_2.WORD[9].W.B3.SEL_B ;
+  wire \B_0_2.WORD[9].W.B3.q_wire[0] ;
+  wire \B_0_2.WORD[9].W.B3.q_wire[1] ;
+  wire \B_0_2.WORD[9].W.B3.q_wire[2] ;
+  wire \B_0_2.WORD[9].W.B3.q_wire[3] ;
+  wire \B_0_2.WORD[9].W.B3.q_wire[4] ;
+  wire \B_0_2.WORD[9].W.B3.q_wire[5] ;
+  wire \B_0_2.WORD[9].W.B3.q_wire[6] ;
+  wire \B_0_2.WORD[9].W.B3.q_wire[7] ;
+  wire \B_0_2.WORD[9].W.B3.we_wire ;
+  wire \B_0_3.CLK_buf ;
+  wire \B_0_3.DEC.DEC_L0.EN ;
+  wire \B_0_3.DEC.DEC_L0.SEL[0] ;
+  wire \B_0_3.DEC.DEC_L0.SEL[1] ;
+  wire \B_0_3.DEC.DEC_L0.SEL[2] ;
+  wire \B_0_3.DEC.DEC_L0.SEL[3] ;
+  wire \B_0_3.DEC.DEC_L0.SEL[4] ;
+  wire \B_0_3.DEC.DEC_L0.SEL[5] ;
+  wire \B_0_3.DEC.DEC_L0.SEL[6] ;
+  wire \B_0_3.DEC.DEC_L0.SEL[7] ;
+  wire \B_0_3.DEC.DEC_L1[0].U.SEL[0] ;
+  wire \B_0_3.DEC.DEC_L1[0].U.SEL[1] ;
+  wire \B_0_3.DEC.DEC_L1[0].U.SEL[2] ;
+  wire \B_0_3.DEC.DEC_L1[0].U.SEL[3] ;
+  wire \B_0_3.DEC.DEC_L1[0].U.SEL[4] ;
+  wire \B_0_3.DEC.DEC_L1[0].U.SEL[5] ;
+  wire \B_0_3.DEC.DEC_L1[0].U.SEL[6] ;
+  wire \B_0_3.DEC.DEC_L1[0].U.SEL[7] ;
+  wire \B_0_3.DEC.DEC_L1[1].U.SEL[0] ;
+  wire \B_0_3.DEC.DEC_L1[1].U.SEL[1] ;
+  wire \B_0_3.DEC.DEC_L1[1].U.SEL[2] ;
+  wire \B_0_3.DEC.DEC_L1[1].U.SEL[3] ;
+  wire \B_0_3.DEC.DEC_L1[1].U.SEL[4] ;
+  wire \B_0_3.DEC.DEC_L1[1].U.SEL[5] ;
+  wire \B_0_3.DEC.DEC_L1[1].U.SEL[6] ;
+  wire \B_0_3.DEC.DEC_L1[1].U.SEL[7] ;
+  wire \B_0_3.DEC.DEC_L1[2].U.SEL[0] ;
+  wire \B_0_3.DEC.DEC_L1[2].U.SEL[1] ;
+  wire \B_0_3.DEC.DEC_L1[2].U.SEL[2] ;
+  wire \B_0_3.DEC.DEC_L1[2].U.SEL[3] ;
+  wire \B_0_3.DEC.DEC_L1[2].U.SEL[4] ;
+  wire \B_0_3.DEC.DEC_L1[2].U.SEL[5] ;
+  wire \B_0_3.DEC.DEC_L1[2].U.SEL[6] ;
+  wire \B_0_3.DEC.DEC_L1[2].U.SEL[7] ;
+  wire \B_0_3.DEC.DEC_L1[3].U.SEL[0] ;
+  wire \B_0_3.DEC.DEC_L1[3].U.SEL[1] ;
+  wire \B_0_3.DEC.DEC_L1[3].U.SEL[2] ;
+  wire \B_0_3.DEC.DEC_L1[3].U.SEL[3] ;
+  wire \B_0_3.DEC.DEC_L1[3].U.SEL[4] ;
+  wire \B_0_3.DEC.DEC_L1[3].U.SEL[5] ;
+  wire \B_0_3.DEC.DEC_L1[3].U.SEL[6] ;
+  wire \B_0_3.DEC.DEC_L1[3].U.SEL[7] ;
+  wire \B_0_3.DEC.DEC_L1[4].U.SEL[0] ;
+  wire \B_0_3.DEC.DEC_L1[4].U.SEL[1] ;
+  wire \B_0_3.DEC.DEC_L1[4].U.SEL[2] ;
+  wire \B_0_3.DEC.DEC_L1[4].U.SEL[3] ;
+  wire \B_0_3.DEC.DEC_L1[4].U.SEL[4] ;
+  wire \B_0_3.DEC.DEC_L1[4].U.SEL[5] ;
+  wire \B_0_3.DEC.DEC_L1[4].U.SEL[6] ;
+  wire \B_0_3.DEC.DEC_L1[4].U.SEL[7] ;
+  wire \B_0_3.DEC.DEC_L1[5].U.SEL[0] ;
+  wire \B_0_3.DEC.DEC_L1[5].U.SEL[1] ;
+  wire \B_0_3.DEC.DEC_L1[5].U.SEL[2] ;
+  wire \B_0_3.DEC.DEC_L1[5].U.SEL[3] ;
+  wire \B_0_3.DEC.DEC_L1[5].U.SEL[4] ;
+  wire \B_0_3.DEC.DEC_L1[5].U.SEL[5] ;
+  wire \B_0_3.DEC.DEC_L1[5].U.SEL[6] ;
+  wire \B_0_3.DEC.DEC_L1[5].U.SEL[7] ;
+  wire \B_0_3.DEC.DEC_L1[6].U.SEL[0] ;
+  wire \B_0_3.DEC.DEC_L1[6].U.SEL[1] ;
+  wire \B_0_3.DEC.DEC_L1[6].U.SEL[2] ;
+  wire \B_0_3.DEC.DEC_L1[6].U.SEL[3] ;
+  wire \B_0_3.DEC.DEC_L1[6].U.SEL[4] ;
+  wire \B_0_3.DEC.DEC_L1[6].U.SEL[5] ;
+  wire \B_0_3.DEC.DEC_L1[6].U.SEL[6] ;
+  wire \B_0_3.DEC.DEC_L1[6].U.SEL[7] ;
+  wire \B_0_3.DEC.DEC_L1[7].U.SEL[0] ;
+  wire \B_0_3.DEC.DEC_L1[7].U.SEL[1] ;
+  wire \B_0_3.DEC.DEC_L1[7].U.SEL[2] ;
+  wire \B_0_3.DEC.DEC_L1[7].U.SEL[3] ;
+  wire \B_0_3.DEC.DEC_L1[7].U.SEL[4] ;
+  wire \B_0_3.DEC.DEC_L1[7].U.SEL[5] ;
+  wire \B_0_3.DEC.DEC_L1[7].U.SEL[6] ;
+  wire \B_0_3.DEC.DEC_L1[7].U.SEL[7] ;
+  wire \B_0_3.Di_buf[0] ;
+  wire \B_0_3.Di_buf[10] ;
+  wire \B_0_3.Di_buf[11] ;
+  wire \B_0_3.Di_buf[12] ;
+  wire \B_0_3.Di_buf[13] ;
+  wire \B_0_3.Di_buf[14] ;
+  wire \B_0_3.Di_buf[15] ;
+  wire \B_0_3.Di_buf[16] ;
+  wire \B_0_3.Di_buf[17] ;
+  wire \B_0_3.Di_buf[18] ;
+  wire \B_0_3.Di_buf[19] ;
+  wire \B_0_3.Di_buf[1] ;
+  wire \B_0_3.Di_buf[20] ;
+  wire \B_0_3.Di_buf[21] ;
+  wire \B_0_3.Di_buf[22] ;
+  wire \B_0_3.Di_buf[23] ;
+  wire \B_0_3.Di_buf[24] ;
+  wire \B_0_3.Di_buf[25] ;
+  wire \B_0_3.Di_buf[26] ;
+  wire \B_0_3.Di_buf[27] ;
+  wire \B_0_3.Di_buf[28] ;
+  wire \B_0_3.Di_buf[29] ;
+  wire \B_0_3.Di_buf[2] ;
+  wire \B_0_3.Di_buf[30] ;
+  wire \B_0_3.Di_buf[31] ;
+  wire \B_0_3.Di_buf[3] ;
+  wire \B_0_3.Di_buf[4] ;
+  wire \B_0_3.Di_buf[5] ;
+  wire \B_0_3.Di_buf[6] ;
+  wire \B_0_3.Di_buf[7] ;
+  wire \B_0_3.Di_buf[8] ;
+  wire \B_0_3.Di_buf[9] ;
+  wire \B_0_3.Do[0] ;
+  wire \B_0_3.Do[10] ;
+  wire \B_0_3.Do[11] ;
+  wire \B_0_3.Do[12] ;
+  wire \B_0_3.Do[13] ;
+  wire \B_0_3.Do[14] ;
+  wire \B_0_3.Do[15] ;
+  wire \B_0_3.Do[16] ;
+  wire \B_0_3.Do[17] ;
+  wire \B_0_3.Do[18] ;
+  wire \B_0_3.Do[19] ;
+  wire \B_0_3.Do[1] ;
+  wire \B_0_3.Do[20] ;
+  wire \B_0_3.Do[21] ;
+  wire \B_0_3.Do[22] ;
+  wire \B_0_3.Do[23] ;
+  wire \B_0_3.Do[24] ;
+  wire \B_0_3.Do[25] ;
+  wire \B_0_3.Do[26] ;
+  wire \B_0_3.Do[27] ;
+  wire \B_0_3.Do[28] ;
+  wire \B_0_3.Do[29] ;
+  wire \B_0_3.Do[2] ;
+  wire \B_0_3.Do[30] ;
+  wire \B_0_3.Do[31] ;
+  wire \B_0_3.Do[3] ;
+  wire \B_0_3.Do[4] ;
+  wire \B_0_3.Do[5] ;
+  wire \B_0_3.Do[6] ;
+  wire \B_0_3.Do[7] ;
+  wire \B_0_3.Do[8] ;
+  wire \B_0_3.Do[9] ;
+  wire \B_0_3.Do_pre[0] ;
+  wire \B_0_3.Do_pre[10] ;
+  wire \B_0_3.Do_pre[11] ;
+  wire \B_0_3.Do_pre[12] ;
+  wire \B_0_3.Do_pre[13] ;
+  wire \B_0_3.Do_pre[14] ;
+  wire \B_0_3.Do_pre[15] ;
+  wire \B_0_3.Do_pre[16] ;
+  wire \B_0_3.Do_pre[17] ;
+  wire \B_0_3.Do_pre[18] ;
+  wire \B_0_3.Do_pre[19] ;
+  wire \B_0_3.Do_pre[1] ;
+  wire \B_0_3.Do_pre[20] ;
+  wire \B_0_3.Do_pre[21] ;
+  wire \B_0_3.Do_pre[22] ;
+  wire \B_0_3.Do_pre[23] ;
+  wire \B_0_3.Do_pre[24] ;
+  wire \B_0_3.Do_pre[25] ;
+  wire \B_0_3.Do_pre[26] ;
+  wire \B_0_3.Do_pre[27] ;
+  wire \B_0_3.Do_pre[28] ;
+  wire \B_0_3.Do_pre[29] ;
+  wire \B_0_3.Do_pre[2] ;
+  wire \B_0_3.Do_pre[30] ;
+  wire \B_0_3.Do_pre[31] ;
+  wire \B_0_3.Do_pre[3] ;
+  wire \B_0_3.Do_pre[4] ;
+  wire \B_0_3.Do_pre[5] ;
+  wire \B_0_3.Do_pre[6] ;
+  wire \B_0_3.Do_pre[7] ;
+  wire \B_0_3.Do_pre[8] ;
+  wire \B_0_3.Do_pre[9] ;
+  wire \B_0_3.WE_buf[0] ;
+  wire \B_0_3.WE_buf[1] ;
+  wire \B_0_3.WE_buf[2] ;
+  wire \B_0_3.WE_buf[3] ;
+  wire \B_0_3.WORD[0].W.B0.GCLK ;
+  wire \B_0_3.WORD[0].W.B0.SEL_B ;
+  wire \B_0_3.WORD[0].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[0].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[0].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[0].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[0].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[0].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[0].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[0].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[0].W.B0.we_wire ;
+  wire \B_0_3.WORD[0].W.B1.GCLK ;
+  wire \B_0_3.WORD[0].W.B1.SEL_B ;
+  wire \B_0_3.WORD[0].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[0].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[0].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[0].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[0].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[0].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[0].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[0].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[0].W.B1.we_wire ;
+  wire \B_0_3.WORD[0].W.B2.GCLK ;
+  wire \B_0_3.WORD[0].W.B2.SEL_B ;
+  wire \B_0_3.WORD[0].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[0].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[0].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[0].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[0].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[0].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[0].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[0].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[0].W.B2.we_wire ;
+  wire \B_0_3.WORD[0].W.B3.GCLK ;
+  wire \B_0_3.WORD[0].W.B3.SEL_B ;
+  wire \B_0_3.WORD[0].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[0].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[0].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[0].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[0].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[0].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[0].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[0].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[0].W.B3.we_wire ;
+  wire \B_0_3.WORD[10].W.B0.GCLK ;
+  wire \B_0_3.WORD[10].W.B0.SEL_B ;
+  wire \B_0_3.WORD[10].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[10].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[10].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[10].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[10].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[10].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[10].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[10].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[10].W.B0.we_wire ;
+  wire \B_0_3.WORD[10].W.B1.GCLK ;
+  wire \B_0_3.WORD[10].W.B1.SEL_B ;
+  wire \B_0_3.WORD[10].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[10].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[10].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[10].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[10].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[10].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[10].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[10].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[10].W.B1.we_wire ;
+  wire \B_0_3.WORD[10].W.B2.GCLK ;
+  wire \B_0_3.WORD[10].W.B2.SEL_B ;
+  wire \B_0_3.WORD[10].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[10].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[10].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[10].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[10].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[10].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[10].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[10].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[10].W.B2.we_wire ;
+  wire \B_0_3.WORD[10].W.B3.GCLK ;
+  wire \B_0_3.WORD[10].W.B3.SEL_B ;
+  wire \B_0_3.WORD[10].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[10].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[10].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[10].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[10].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[10].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[10].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[10].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[10].W.B3.we_wire ;
+  wire \B_0_3.WORD[11].W.B0.GCLK ;
+  wire \B_0_3.WORD[11].W.B0.SEL_B ;
+  wire \B_0_3.WORD[11].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[11].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[11].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[11].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[11].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[11].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[11].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[11].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[11].W.B0.we_wire ;
+  wire \B_0_3.WORD[11].W.B1.GCLK ;
+  wire \B_0_3.WORD[11].W.B1.SEL_B ;
+  wire \B_0_3.WORD[11].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[11].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[11].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[11].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[11].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[11].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[11].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[11].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[11].W.B1.we_wire ;
+  wire \B_0_3.WORD[11].W.B2.GCLK ;
+  wire \B_0_3.WORD[11].W.B2.SEL_B ;
+  wire \B_0_3.WORD[11].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[11].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[11].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[11].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[11].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[11].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[11].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[11].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[11].W.B2.we_wire ;
+  wire \B_0_3.WORD[11].W.B3.GCLK ;
+  wire \B_0_3.WORD[11].W.B3.SEL_B ;
+  wire \B_0_3.WORD[11].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[11].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[11].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[11].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[11].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[11].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[11].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[11].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[11].W.B3.we_wire ;
+  wire \B_0_3.WORD[12].W.B0.GCLK ;
+  wire \B_0_3.WORD[12].W.B0.SEL_B ;
+  wire \B_0_3.WORD[12].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[12].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[12].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[12].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[12].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[12].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[12].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[12].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[12].W.B0.we_wire ;
+  wire \B_0_3.WORD[12].W.B1.GCLK ;
+  wire \B_0_3.WORD[12].W.B1.SEL_B ;
+  wire \B_0_3.WORD[12].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[12].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[12].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[12].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[12].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[12].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[12].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[12].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[12].W.B1.we_wire ;
+  wire \B_0_3.WORD[12].W.B2.GCLK ;
+  wire \B_0_3.WORD[12].W.B2.SEL_B ;
+  wire \B_0_3.WORD[12].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[12].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[12].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[12].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[12].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[12].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[12].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[12].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[12].W.B2.we_wire ;
+  wire \B_0_3.WORD[12].W.B3.GCLK ;
+  wire \B_0_3.WORD[12].W.B3.SEL_B ;
+  wire \B_0_3.WORD[12].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[12].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[12].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[12].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[12].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[12].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[12].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[12].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[12].W.B3.we_wire ;
+  wire \B_0_3.WORD[13].W.B0.GCLK ;
+  wire \B_0_3.WORD[13].W.B0.SEL_B ;
+  wire \B_0_3.WORD[13].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[13].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[13].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[13].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[13].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[13].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[13].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[13].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[13].W.B0.we_wire ;
+  wire \B_0_3.WORD[13].W.B1.GCLK ;
+  wire \B_0_3.WORD[13].W.B1.SEL_B ;
+  wire \B_0_3.WORD[13].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[13].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[13].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[13].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[13].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[13].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[13].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[13].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[13].W.B1.we_wire ;
+  wire \B_0_3.WORD[13].W.B2.GCLK ;
+  wire \B_0_3.WORD[13].W.B2.SEL_B ;
+  wire \B_0_3.WORD[13].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[13].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[13].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[13].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[13].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[13].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[13].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[13].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[13].W.B2.we_wire ;
+  wire \B_0_3.WORD[13].W.B3.GCLK ;
+  wire \B_0_3.WORD[13].W.B3.SEL_B ;
+  wire \B_0_3.WORD[13].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[13].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[13].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[13].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[13].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[13].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[13].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[13].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[13].W.B3.we_wire ;
+  wire \B_0_3.WORD[14].W.B0.GCLK ;
+  wire \B_0_3.WORD[14].W.B0.SEL_B ;
+  wire \B_0_3.WORD[14].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[14].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[14].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[14].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[14].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[14].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[14].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[14].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[14].W.B0.we_wire ;
+  wire \B_0_3.WORD[14].W.B1.GCLK ;
+  wire \B_0_3.WORD[14].W.B1.SEL_B ;
+  wire \B_0_3.WORD[14].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[14].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[14].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[14].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[14].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[14].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[14].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[14].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[14].W.B1.we_wire ;
+  wire \B_0_3.WORD[14].W.B2.GCLK ;
+  wire \B_0_3.WORD[14].W.B2.SEL_B ;
+  wire \B_0_3.WORD[14].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[14].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[14].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[14].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[14].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[14].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[14].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[14].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[14].W.B2.we_wire ;
+  wire \B_0_3.WORD[14].W.B3.GCLK ;
+  wire \B_0_3.WORD[14].W.B3.SEL_B ;
+  wire \B_0_3.WORD[14].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[14].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[14].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[14].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[14].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[14].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[14].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[14].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[14].W.B3.we_wire ;
+  wire \B_0_3.WORD[15].W.B0.GCLK ;
+  wire \B_0_3.WORD[15].W.B0.SEL_B ;
+  wire \B_0_3.WORD[15].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[15].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[15].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[15].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[15].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[15].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[15].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[15].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[15].W.B0.we_wire ;
+  wire \B_0_3.WORD[15].W.B1.GCLK ;
+  wire \B_0_3.WORD[15].W.B1.SEL_B ;
+  wire \B_0_3.WORD[15].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[15].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[15].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[15].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[15].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[15].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[15].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[15].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[15].W.B1.we_wire ;
+  wire \B_0_3.WORD[15].W.B2.GCLK ;
+  wire \B_0_3.WORD[15].W.B2.SEL_B ;
+  wire \B_0_3.WORD[15].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[15].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[15].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[15].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[15].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[15].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[15].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[15].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[15].W.B2.we_wire ;
+  wire \B_0_3.WORD[15].W.B3.GCLK ;
+  wire \B_0_3.WORD[15].W.B3.SEL_B ;
+  wire \B_0_3.WORD[15].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[15].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[15].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[15].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[15].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[15].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[15].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[15].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[15].W.B3.we_wire ;
+  wire \B_0_3.WORD[16].W.B0.GCLK ;
+  wire \B_0_3.WORD[16].W.B0.SEL_B ;
+  wire \B_0_3.WORD[16].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[16].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[16].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[16].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[16].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[16].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[16].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[16].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[16].W.B0.we_wire ;
+  wire \B_0_3.WORD[16].W.B1.GCLK ;
+  wire \B_0_3.WORD[16].W.B1.SEL_B ;
+  wire \B_0_3.WORD[16].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[16].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[16].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[16].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[16].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[16].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[16].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[16].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[16].W.B1.we_wire ;
+  wire \B_0_3.WORD[16].W.B2.GCLK ;
+  wire \B_0_3.WORD[16].W.B2.SEL_B ;
+  wire \B_0_3.WORD[16].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[16].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[16].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[16].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[16].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[16].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[16].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[16].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[16].W.B2.we_wire ;
+  wire \B_0_3.WORD[16].W.B3.GCLK ;
+  wire \B_0_3.WORD[16].W.B3.SEL_B ;
+  wire \B_0_3.WORD[16].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[16].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[16].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[16].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[16].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[16].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[16].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[16].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[16].W.B3.we_wire ;
+  wire \B_0_3.WORD[17].W.B0.GCLK ;
+  wire \B_0_3.WORD[17].W.B0.SEL_B ;
+  wire \B_0_3.WORD[17].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[17].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[17].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[17].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[17].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[17].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[17].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[17].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[17].W.B0.we_wire ;
+  wire \B_0_3.WORD[17].W.B1.GCLK ;
+  wire \B_0_3.WORD[17].W.B1.SEL_B ;
+  wire \B_0_3.WORD[17].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[17].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[17].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[17].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[17].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[17].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[17].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[17].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[17].W.B1.we_wire ;
+  wire \B_0_3.WORD[17].W.B2.GCLK ;
+  wire \B_0_3.WORD[17].W.B2.SEL_B ;
+  wire \B_0_3.WORD[17].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[17].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[17].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[17].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[17].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[17].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[17].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[17].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[17].W.B2.we_wire ;
+  wire \B_0_3.WORD[17].W.B3.GCLK ;
+  wire \B_0_3.WORD[17].W.B3.SEL_B ;
+  wire \B_0_3.WORD[17].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[17].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[17].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[17].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[17].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[17].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[17].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[17].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[17].W.B3.we_wire ;
+  wire \B_0_3.WORD[18].W.B0.GCLK ;
+  wire \B_0_3.WORD[18].W.B0.SEL_B ;
+  wire \B_0_3.WORD[18].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[18].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[18].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[18].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[18].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[18].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[18].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[18].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[18].W.B0.we_wire ;
+  wire \B_0_3.WORD[18].W.B1.GCLK ;
+  wire \B_0_3.WORD[18].W.B1.SEL_B ;
+  wire \B_0_3.WORD[18].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[18].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[18].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[18].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[18].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[18].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[18].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[18].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[18].W.B1.we_wire ;
+  wire \B_0_3.WORD[18].W.B2.GCLK ;
+  wire \B_0_3.WORD[18].W.B2.SEL_B ;
+  wire \B_0_3.WORD[18].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[18].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[18].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[18].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[18].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[18].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[18].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[18].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[18].W.B2.we_wire ;
+  wire \B_0_3.WORD[18].W.B3.GCLK ;
+  wire \B_0_3.WORD[18].W.B3.SEL_B ;
+  wire \B_0_3.WORD[18].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[18].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[18].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[18].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[18].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[18].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[18].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[18].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[18].W.B3.we_wire ;
+  wire \B_0_3.WORD[19].W.B0.GCLK ;
+  wire \B_0_3.WORD[19].W.B0.SEL_B ;
+  wire \B_0_3.WORD[19].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[19].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[19].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[19].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[19].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[19].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[19].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[19].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[19].W.B0.we_wire ;
+  wire \B_0_3.WORD[19].W.B1.GCLK ;
+  wire \B_0_3.WORD[19].W.B1.SEL_B ;
+  wire \B_0_3.WORD[19].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[19].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[19].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[19].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[19].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[19].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[19].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[19].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[19].W.B1.we_wire ;
+  wire \B_0_3.WORD[19].W.B2.GCLK ;
+  wire \B_0_3.WORD[19].W.B2.SEL_B ;
+  wire \B_0_3.WORD[19].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[19].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[19].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[19].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[19].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[19].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[19].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[19].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[19].W.B2.we_wire ;
+  wire \B_0_3.WORD[19].W.B3.GCLK ;
+  wire \B_0_3.WORD[19].W.B3.SEL_B ;
+  wire \B_0_3.WORD[19].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[19].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[19].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[19].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[19].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[19].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[19].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[19].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[19].W.B3.we_wire ;
+  wire \B_0_3.WORD[1].W.B0.GCLK ;
+  wire \B_0_3.WORD[1].W.B0.SEL_B ;
+  wire \B_0_3.WORD[1].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[1].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[1].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[1].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[1].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[1].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[1].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[1].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[1].W.B0.we_wire ;
+  wire \B_0_3.WORD[1].W.B1.GCLK ;
+  wire \B_0_3.WORD[1].W.B1.SEL_B ;
+  wire \B_0_3.WORD[1].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[1].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[1].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[1].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[1].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[1].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[1].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[1].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[1].W.B1.we_wire ;
+  wire \B_0_3.WORD[1].W.B2.GCLK ;
+  wire \B_0_3.WORD[1].W.B2.SEL_B ;
+  wire \B_0_3.WORD[1].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[1].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[1].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[1].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[1].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[1].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[1].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[1].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[1].W.B2.we_wire ;
+  wire \B_0_3.WORD[1].W.B3.GCLK ;
+  wire \B_0_3.WORD[1].W.B3.SEL_B ;
+  wire \B_0_3.WORD[1].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[1].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[1].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[1].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[1].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[1].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[1].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[1].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[1].W.B3.we_wire ;
+  wire \B_0_3.WORD[20].W.B0.GCLK ;
+  wire \B_0_3.WORD[20].W.B0.SEL_B ;
+  wire \B_0_3.WORD[20].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[20].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[20].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[20].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[20].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[20].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[20].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[20].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[20].W.B0.we_wire ;
+  wire \B_0_3.WORD[20].W.B1.GCLK ;
+  wire \B_0_3.WORD[20].W.B1.SEL_B ;
+  wire \B_0_3.WORD[20].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[20].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[20].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[20].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[20].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[20].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[20].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[20].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[20].W.B1.we_wire ;
+  wire \B_0_3.WORD[20].W.B2.GCLK ;
+  wire \B_0_3.WORD[20].W.B2.SEL_B ;
+  wire \B_0_3.WORD[20].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[20].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[20].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[20].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[20].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[20].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[20].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[20].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[20].W.B2.we_wire ;
+  wire \B_0_3.WORD[20].W.B3.GCLK ;
+  wire \B_0_3.WORD[20].W.B3.SEL_B ;
+  wire \B_0_3.WORD[20].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[20].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[20].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[20].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[20].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[20].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[20].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[20].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[20].W.B3.we_wire ;
+  wire \B_0_3.WORD[21].W.B0.GCLK ;
+  wire \B_0_3.WORD[21].W.B0.SEL_B ;
+  wire \B_0_3.WORD[21].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[21].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[21].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[21].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[21].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[21].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[21].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[21].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[21].W.B0.we_wire ;
+  wire \B_0_3.WORD[21].W.B1.GCLK ;
+  wire \B_0_3.WORD[21].W.B1.SEL_B ;
+  wire \B_0_3.WORD[21].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[21].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[21].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[21].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[21].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[21].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[21].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[21].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[21].W.B1.we_wire ;
+  wire \B_0_3.WORD[21].W.B2.GCLK ;
+  wire \B_0_3.WORD[21].W.B2.SEL_B ;
+  wire \B_0_3.WORD[21].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[21].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[21].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[21].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[21].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[21].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[21].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[21].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[21].W.B2.we_wire ;
+  wire \B_0_3.WORD[21].W.B3.GCLK ;
+  wire \B_0_3.WORD[21].W.B3.SEL_B ;
+  wire \B_0_3.WORD[21].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[21].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[21].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[21].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[21].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[21].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[21].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[21].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[21].W.B3.we_wire ;
+  wire \B_0_3.WORD[22].W.B0.GCLK ;
+  wire \B_0_3.WORD[22].W.B0.SEL_B ;
+  wire \B_0_3.WORD[22].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[22].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[22].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[22].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[22].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[22].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[22].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[22].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[22].W.B0.we_wire ;
+  wire \B_0_3.WORD[22].W.B1.GCLK ;
+  wire \B_0_3.WORD[22].W.B1.SEL_B ;
+  wire \B_0_3.WORD[22].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[22].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[22].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[22].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[22].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[22].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[22].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[22].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[22].W.B1.we_wire ;
+  wire \B_0_3.WORD[22].W.B2.GCLK ;
+  wire \B_0_3.WORD[22].W.B2.SEL_B ;
+  wire \B_0_3.WORD[22].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[22].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[22].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[22].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[22].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[22].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[22].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[22].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[22].W.B2.we_wire ;
+  wire \B_0_3.WORD[22].W.B3.GCLK ;
+  wire \B_0_3.WORD[22].W.B3.SEL_B ;
+  wire \B_0_3.WORD[22].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[22].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[22].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[22].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[22].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[22].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[22].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[22].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[22].W.B3.we_wire ;
+  wire \B_0_3.WORD[23].W.B0.GCLK ;
+  wire \B_0_3.WORD[23].W.B0.SEL_B ;
+  wire \B_0_3.WORD[23].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[23].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[23].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[23].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[23].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[23].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[23].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[23].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[23].W.B0.we_wire ;
+  wire \B_0_3.WORD[23].W.B1.GCLK ;
+  wire \B_0_3.WORD[23].W.B1.SEL_B ;
+  wire \B_0_3.WORD[23].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[23].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[23].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[23].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[23].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[23].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[23].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[23].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[23].W.B1.we_wire ;
+  wire \B_0_3.WORD[23].W.B2.GCLK ;
+  wire \B_0_3.WORD[23].W.B2.SEL_B ;
+  wire \B_0_3.WORD[23].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[23].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[23].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[23].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[23].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[23].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[23].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[23].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[23].W.B2.we_wire ;
+  wire \B_0_3.WORD[23].W.B3.GCLK ;
+  wire \B_0_3.WORD[23].W.B3.SEL_B ;
+  wire \B_0_3.WORD[23].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[23].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[23].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[23].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[23].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[23].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[23].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[23].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[23].W.B3.we_wire ;
+  wire \B_0_3.WORD[24].W.B0.GCLK ;
+  wire \B_0_3.WORD[24].W.B0.SEL_B ;
+  wire \B_0_3.WORD[24].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[24].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[24].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[24].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[24].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[24].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[24].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[24].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[24].W.B0.we_wire ;
+  wire \B_0_3.WORD[24].W.B1.GCLK ;
+  wire \B_0_3.WORD[24].W.B1.SEL_B ;
+  wire \B_0_3.WORD[24].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[24].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[24].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[24].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[24].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[24].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[24].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[24].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[24].W.B1.we_wire ;
+  wire \B_0_3.WORD[24].W.B2.GCLK ;
+  wire \B_0_3.WORD[24].W.B2.SEL_B ;
+  wire \B_0_3.WORD[24].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[24].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[24].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[24].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[24].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[24].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[24].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[24].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[24].W.B2.we_wire ;
+  wire \B_0_3.WORD[24].W.B3.GCLK ;
+  wire \B_0_3.WORD[24].W.B3.SEL_B ;
+  wire \B_0_3.WORD[24].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[24].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[24].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[24].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[24].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[24].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[24].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[24].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[24].W.B3.we_wire ;
+  wire \B_0_3.WORD[25].W.B0.GCLK ;
+  wire \B_0_3.WORD[25].W.B0.SEL_B ;
+  wire \B_0_3.WORD[25].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[25].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[25].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[25].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[25].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[25].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[25].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[25].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[25].W.B0.we_wire ;
+  wire \B_0_3.WORD[25].W.B1.GCLK ;
+  wire \B_0_3.WORD[25].W.B1.SEL_B ;
+  wire \B_0_3.WORD[25].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[25].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[25].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[25].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[25].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[25].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[25].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[25].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[25].W.B1.we_wire ;
+  wire \B_0_3.WORD[25].W.B2.GCLK ;
+  wire \B_0_3.WORD[25].W.B2.SEL_B ;
+  wire \B_0_3.WORD[25].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[25].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[25].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[25].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[25].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[25].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[25].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[25].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[25].W.B2.we_wire ;
+  wire \B_0_3.WORD[25].W.B3.GCLK ;
+  wire \B_0_3.WORD[25].W.B3.SEL_B ;
+  wire \B_0_3.WORD[25].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[25].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[25].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[25].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[25].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[25].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[25].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[25].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[25].W.B3.we_wire ;
+  wire \B_0_3.WORD[26].W.B0.GCLK ;
+  wire \B_0_3.WORD[26].W.B0.SEL_B ;
+  wire \B_0_3.WORD[26].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[26].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[26].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[26].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[26].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[26].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[26].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[26].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[26].W.B0.we_wire ;
+  wire \B_0_3.WORD[26].W.B1.GCLK ;
+  wire \B_0_3.WORD[26].W.B1.SEL_B ;
+  wire \B_0_3.WORD[26].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[26].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[26].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[26].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[26].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[26].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[26].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[26].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[26].W.B1.we_wire ;
+  wire \B_0_3.WORD[26].W.B2.GCLK ;
+  wire \B_0_3.WORD[26].W.B2.SEL_B ;
+  wire \B_0_3.WORD[26].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[26].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[26].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[26].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[26].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[26].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[26].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[26].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[26].W.B2.we_wire ;
+  wire \B_0_3.WORD[26].W.B3.GCLK ;
+  wire \B_0_3.WORD[26].W.B3.SEL_B ;
+  wire \B_0_3.WORD[26].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[26].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[26].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[26].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[26].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[26].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[26].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[26].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[26].W.B3.we_wire ;
+  wire \B_0_3.WORD[27].W.B0.GCLK ;
+  wire \B_0_3.WORD[27].W.B0.SEL_B ;
+  wire \B_0_3.WORD[27].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[27].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[27].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[27].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[27].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[27].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[27].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[27].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[27].W.B0.we_wire ;
+  wire \B_0_3.WORD[27].W.B1.GCLK ;
+  wire \B_0_3.WORD[27].W.B1.SEL_B ;
+  wire \B_0_3.WORD[27].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[27].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[27].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[27].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[27].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[27].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[27].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[27].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[27].W.B1.we_wire ;
+  wire \B_0_3.WORD[27].W.B2.GCLK ;
+  wire \B_0_3.WORD[27].W.B2.SEL_B ;
+  wire \B_0_3.WORD[27].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[27].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[27].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[27].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[27].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[27].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[27].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[27].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[27].W.B2.we_wire ;
+  wire \B_0_3.WORD[27].W.B3.GCLK ;
+  wire \B_0_3.WORD[27].W.B3.SEL_B ;
+  wire \B_0_3.WORD[27].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[27].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[27].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[27].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[27].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[27].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[27].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[27].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[27].W.B3.we_wire ;
+  wire \B_0_3.WORD[28].W.B0.GCLK ;
+  wire \B_0_3.WORD[28].W.B0.SEL_B ;
+  wire \B_0_3.WORD[28].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[28].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[28].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[28].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[28].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[28].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[28].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[28].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[28].W.B0.we_wire ;
+  wire \B_0_3.WORD[28].W.B1.GCLK ;
+  wire \B_0_3.WORD[28].W.B1.SEL_B ;
+  wire \B_0_3.WORD[28].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[28].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[28].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[28].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[28].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[28].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[28].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[28].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[28].W.B1.we_wire ;
+  wire \B_0_3.WORD[28].W.B2.GCLK ;
+  wire \B_0_3.WORD[28].W.B2.SEL_B ;
+  wire \B_0_3.WORD[28].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[28].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[28].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[28].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[28].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[28].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[28].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[28].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[28].W.B2.we_wire ;
+  wire \B_0_3.WORD[28].W.B3.GCLK ;
+  wire \B_0_3.WORD[28].W.B3.SEL_B ;
+  wire \B_0_3.WORD[28].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[28].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[28].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[28].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[28].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[28].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[28].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[28].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[28].W.B3.we_wire ;
+  wire \B_0_3.WORD[29].W.B0.GCLK ;
+  wire \B_0_3.WORD[29].W.B0.SEL_B ;
+  wire \B_0_3.WORD[29].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[29].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[29].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[29].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[29].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[29].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[29].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[29].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[29].W.B0.we_wire ;
+  wire \B_0_3.WORD[29].W.B1.GCLK ;
+  wire \B_0_3.WORD[29].W.B1.SEL_B ;
+  wire \B_0_3.WORD[29].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[29].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[29].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[29].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[29].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[29].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[29].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[29].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[29].W.B1.we_wire ;
+  wire \B_0_3.WORD[29].W.B2.GCLK ;
+  wire \B_0_3.WORD[29].W.B2.SEL_B ;
+  wire \B_0_3.WORD[29].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[29].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[29].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[29].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[29].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[29].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[29].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[29].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[29].W.B2.we_wire ;
+  wire \B_0_3.WORD[29].W.B3.GCLK ;
+  wire \B_0_3.WORD[29].W.B3.SEL_B ;
+  wire \B_0_3.WORD[29].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[29].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[29].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[29].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[29].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[29].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[29].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[29].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[29].W.B3.we_wire ;
+  wire \B_0_3.WORD[2].W.B0.GCLK ;
+  wire \B_0_3.WORD[2].W.B0.SEL_B ;
+  wire \B_0_3.WORD[2].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[2].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[2].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[2].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[2].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[2].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[2].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[2].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[2].W.B0.we_wire ;
+  wire \B_0_3.WORD[2].W.B1.GCLK ;
+  wire \B_0_3.WORD[2].W.B1.SEL_B ;
+  wire \B_0_3.WORD[2].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[2].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[2].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[2].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[2].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[2].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[2].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[2].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[2].W.B1.we_wire ;
+  wire \B_0_3.WORD[2].W.B2.GCLK ;
+  wire \B_0_3.WORD[2].W.B2.SEL_B ;
+  wire \B_0_3.WORD[2].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[2].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[2].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[2].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[2].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[2].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[2].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[2].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[2].W.B2.we_wire ;
+  wire \B_0_3.WORD[2].W.B3.GCLK ;
+  wire \B_0_3.WORD[2].W.B3.SEL_B ;
+  wire \B_0_3.WORD[2].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[2].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[2].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[2].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[2].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[2].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[2].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[2].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[2].W.B3.we_wire ;
+  wire \B_0_3.WORD[30].W.B0.GCLK ;
+  wire \B_0_3.WORD[30].W.B0.SEL_B ;
+  wire \B_0_3.WORD[30].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[30].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[30].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[30].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[30].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[30].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[30].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[30].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[30].W.B0.we_wire ;
+  wire \B_0_3.WORD[30].W.B1.GCLK ;
+  wire \B_0_3.WORD[30].W.B1.SEL_B ;
+  wire \B_0_3.WORD[30].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[30].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[30].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[30].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[30].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[30].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[30].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[30].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[30].W.B1.we_wire ;
+  wire \B_0_3.WORD[30].W.B2.GCLK ;
+  wire \B_0_3.WORD[30].W.B2.SEL_B ;
+  wire \B_0_3.WORD[30].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[30].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[30].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[30].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[30].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[30].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[30].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[30].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[30].W.B2.we_wire ;
+  wire \B_0_3.WORD[30].W.B3.GCLK ;
+  wire \B_0_3.WORD[30].W.B3.SEL_B ;
+  wire \B_0_3.WORD[30].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[30].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[30].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[30].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[30].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[30].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[30].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[30].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[30].W.B3.we_wire ;
+  wire \B_0_3.WORD[31].W.B0.GCLK ;
+  wire \B_0_3.WORD[31].W.B0.SEL_B ;
+  wire \B_0_3.WORD[31].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[31].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[31].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[31].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[31].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[31].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[31].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[31].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[31].W.B0.we_wire ;
+  wire \B_0_3.WORD[31].W.B1.GCLK ;
+  wire \B_0_3.WORD[31].W.B1.SEL_B ;
+  wire \B_0_3.WORD[31].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[31].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[31].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[31].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[31].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[31].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[31].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[31].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[31].W.B1.we_wire ;
+  wire \B_0_3.WORD[31].W.B2.GCLK ;
+  wire \B_0_3.WORD[31].W.B2.SEL_B ;
+  wire \B_0_3.WORD[31].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[31].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[31].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[31].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[31].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[31].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[31].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[31].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[31].W.B2.we_wire ;
+  wire \B_0_3.WORD[31].W.B3.GCLK ;
+  wire \B_0_3.WORD[31].W.B3.SEL_B ;
+  wire \B_0_3.WORD[31].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[31].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[31].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[31].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[31].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[31].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[31].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[31].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[31].W.B3.we_wire ;
+  wire \B_0_3.WORD[32].W.B0.GCLK ;
+  wire \B_0_3.WORD[32].W.B0.SEL_B ;
+  wire \B_0_3.WORD[32].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[32].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[32].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[32].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[32].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[32].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[32].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[32].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[32].W.B0.we_wire ;
+  wire \B_0_3.WORD[32].W.B1.GCLK ;
+  wire \B_0_3.WORD[32].W.B1.SEL_B ;
+  wire \B_0_3.WORD[32].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[32].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[32].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[32].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[32].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[32].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[32].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[32].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[32].W.B1.we_wire ;
+  wire \B_0_3.WORD[32].W.B2.GCLK ;
+  wire \B_0_3.WORD[32].W.B2.SEL_B ;
+  wire \B_0_3.WORD[32].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[32].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[32].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[32].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[32].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[32].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[32].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[32].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[32].W.B2.we_wire ;
+  wire \B_0_3.WORD[32].W.B3.GCLK ;
+  wire \B_0_3.WORD[32].W.B3.SEL_B ;
+  wire \B_0_3.WORD[32].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[32].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[32].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[32].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[32].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[32].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[32].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[32].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[32].W.B3.we_wire ;
+  wire \B_0_3.WORD[33].W.B0.GCLK ;
+  wire \B_0_3.WORD[33].W.B0.SEL_B ;
+  wire \B_0_3.WORD[33].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[33].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[33].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[33].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[33].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[33].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[33].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[33].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[33].W.B0.we_wire ;
+  wire \B_0_3.WORD[33].W.B1.GCLK ;
+  wire \B_0_3.WORD[33].W.B1.SEL_B ;
+  wire \B_0_3.WORD[33].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[33].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[33].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[33].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[33].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[33].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[33].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[33].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[33].W.B1.we_wire ;
+  wire \B_0_3.WORD[33].W.B2.GCLK ;
+  wire \B_0_3.WORD[33].W.B2.SEL_B ;
+  wire \B_0_3.WORD[33].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[33].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[33].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[33].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[33].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[33].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[33].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[33].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[33].W.B2.we_wire ;
+  wire \B_0_3.WORD[33].W.B3.GCLK ;
+  wire \B_0_3.WORD[33].W.B3.SEL_B ;
+  wire \B_0_3.WORD[33].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[33].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[33].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[33].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[33].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[33].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[33].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[33].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[33].W.B3.we_wire ;
+  wire \B_0_3.WORD[34].W.B0.GCLK ;
+  wire \B_0_3.WORD[34].W.B0.SEL_B ;
+  wire \B_0_3.WORD[34].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[34].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[34].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[34].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[34].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[34].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[34].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[34].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[34].W.B0.we_wire ;
+  wire \B_0_3.WORD[34].W.B1.GCLK ;
+  wire \B_0_3.WORD[34].W.B1.SEL_B ;
+  wire \B_0_3.WORD[34].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[34].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[34].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[34].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[34].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[34].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[34].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[34].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[34].W.B1.we_wire ;
+  wire \B_0_3.WORD[34].W.B2.GCLK ;
+  wire \B_0_3.WORD[34].W.B2.SEL_B ;
+  wire \B_0_3.WORD[34].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[34].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[34].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[34].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[34].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[34].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[34].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[34].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[34].W.B2.we_wire ;
+  wire \B_0_3.WORD[34].W.B3.GCLK ;
+  wire \B_0_3.WORD[34].W.B3.SEL_B ;
+  wire \B_0_3.WORD[34].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[34].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[34].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[34].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[34].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[34].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[34].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[34].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[34].W.B3.we_wire ;
+  wire \B_0_3.WORD[35].W.B0.GCLK ;
+  wire \B_0_3.WORD[35].W.B0.SEL_B ;
+  wire \B_0_3.WORD[35].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[35].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[35].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[35].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[35].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[35].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[35].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[35].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[35].W.B0.we_wire ;
+  wire \B_0_3.WORD[35].W.B1.GCLK ;
+  wire \B_0_3.WORD[35].W.B1.SEL_B ;
+  wire \B_0_3.WORD[35].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[35].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[35].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[35].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[35].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[35].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[35].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[35].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[35].W.B1.we_wire ;
+  wire \B_0_3.WORD[35].W.B2.GCLK ;
+  wire \B_0_3.WORD[35].W.B2.SEL_B ;
+  wire \B_0_3.WORD[35].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[35].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[35].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[35].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[35].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[35].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[35].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[35].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[35].W.B2.we_wire ;
+  wire \B_0_3.WORD[35].W.B3.GCLK ;
+  wire \B_0_3.WORD[35].W.B3.SEL_B ;
+  wire \B_0_3.WORD[35].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[35].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[35].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[35].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[35].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[35].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[35].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[35].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[35].W.B3.we_wire ;
+  wire \B_0_3.WORD[36].W.B0.GCLK ;
+  wire \B_0_3.WORD[36].W.B0.SEL_B ;
+  wire \B_0_3.WORD[36].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[36].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[36].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[36].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[36].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[36].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[36].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[36].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[36].W.B0.we_wire ;
+  wire \B_0_3.WORD[36].W.B1.GCLK ;
+  wire \B_0_3.WORD[36].W.B1.SEL_B ;
+  wire \B_0_3.WORD[36].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[36].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[36].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[36].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[36].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[36].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[36].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[36].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[36].W.B1.we_wire ;
+  wire \B_0_3.WORD[36].W.B2.GCLK ;
+  wire \B_0_3.WORD[36].W.B2.SEL_B ;
+  wire \B_0_3.WORD[36].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[36].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[36].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[36].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[36].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[36].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[36].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[36].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[36].W.B2.we_wire ;
+  wire \B_0_3.WORD[36].W.B3.GCLK ;
+  wire \B_0_3.WORD[36].W.B3.SEL_B ;
+  wire \B_0_3.WORD[36].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[36].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[36].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[36].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[36].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[36].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[36].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[36].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[36].W.B3.we_wire ;
+  wire \B_0_3.WORD[37].W.B0.GCLK ;
+  wire \B_0_3.WORD[37].W.B0.SEL_B ;
+  wire \B_0_3.WORD[37].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[37].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[37].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[37].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[37].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[37].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[37].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[37].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[37].W.B0.we_wire ;
+  wire \B_0_3.WORD[37].W.B1.GCLK ;
+  wire \B_0_3.WORD[37].W.B1.SEL_B ;
+  wire \B_0_3.WORD[37].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[37].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[37].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[37].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[37].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[37].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[37].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[37].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[37].W.B1.we_wire ;
+  wire \B_0_3.WORD[37].W.B2.GCLK ;
+  wire \B_0_3.WORD[37].W.B2.SEL_B ;
+  wire \B_0_3.WORD[37].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[37].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[37].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[37].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[37].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[37].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[37].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[37].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[37].W.B2.we_wire ;
+  wire \B_0_3.WORD[37].W.B3.GCLK ;
+  wire \B_0_3.WORD[37].W.B3.SEL_B ;
+  wire \B_0_3.WORD[37].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[37].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[37].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[37].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[37].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[37].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[37].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[37].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[37].W.B3.we_wire ;
+  wire \B_0_3.WORD[38].W.B0.GCLK ;
+  wire \B_0_3.WORD[38].W.B0.SEL_B ;
+  wire \B_0_3.WORD[38].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[38].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[38].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[38].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[38].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[38].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[38].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[38].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[38].W.B0.we_wire ;
+  wire \B_0_3.WORD[38].W.B1.GCLK ;
+  wire \B_0_3.WORD[38].W.B1.SEL_B ;
+  wire \B_0_3.WORD[38].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[38].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[38].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[38].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[38].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[38].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[38].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[38].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[38].W.B1.we_wire ;
+  wire \B_0_3.WORD[38].W.B2.GCLK ;
+  wire \B_0_3.WORD[38].W.B2.SEL_B ;
+  wire \B_0_3.WORD[38].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[38].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[38].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[38].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[38].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[38].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[38].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[38].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[38].W.B2.we_wire ;
+  wire \B_0_3.WORD[38].W.B3.GCLK ;
+  wire \B_0_3.WORD[38].W.B3.SEL_B ;
+  wire \B_0_3.WORD[38].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[38].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[38].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[38].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[38].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[38].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[38].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[38].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[38].W.B3.we_wire ;
+  wire \B_0_3.WORD[39].W.B0.GCLK ;
+  wire \B_0_3.WORD[39].W.B0.SEL_B ;
+  wire \B_0_3.WORD[39].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[39].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[39].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[39].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[39].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[39].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[39].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[39].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[39].W.B0.we_wire ;
+  wire \B_0_3.WORD[39].W.B1.GCLK ;
+  wire \B_0_3.WORD[39].W.B1.SEL_B ;
+  wire \B_0_3.WORD[39].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[39].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[39].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[39].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[39].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[39].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[39].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[39].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[39].W.B1.we_wire ;
+  wire \B_0_3.WORD[39].W.B2.GCLK ;
+  wire \B_0_3.WORD[39].W.B2.SEL_B ;
+  wire \B_0_3.WORD[39].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[39].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[39].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[39].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[39].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[39].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[39].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[39].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[39].W.B2.we_wire ;
+  wire \B_0_3.WORD[39].W.B3.GCLK ;
+  wire \B_0_3.WORD[39].W.B3.SEL_B ;
+  wire \B_0_3.WORD[39].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[39].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[39].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[39].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[39].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[39].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[39].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[39].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[39].W.B3.we_wire ;
+  wire \B_0_3.WORD[3].W.B0.GCLK ;
+  wire \B_0_3.WORD[3].W.B0.SEL_B ;
+  wire \B_0_3.WORD[3].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[3].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[3].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[3].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[3].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[3].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[3].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[3].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[3].W.B0.we_wire ;
+  wire \B_0_3.WORD[3].W.B1.GCLK ;
+  wire \B_0_3.WORD[3].W.B1.SEL_B ;
+  wire \B_0_3.WORD[3].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[3].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[3].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[3].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[3].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[3].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[3].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[3].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[3].W.B1.we_wire ;
+  wire \B_0_3.WORD[3].W.B2.GCLK ;
+  wire \B_0_3.WORD[3].W.B2.SEL_B ;
+  wire \B_0_3.WORD[3].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[3].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[3].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[3].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[3].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[3].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[3].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[3].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[3].W.B2.we_wire ;
+  wire \B_0_3.WORD[3].W.B3.GCLK ;
+  wire \B_0_3.WORD[3].W.B3.SEL_B ;
+  wire \B_0_3.WORD[3].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[3].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[3].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[3].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[3].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[3].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[3].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[3].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[3].W.B3.we_wire ;
+  wire \B_0_3.WORD[40].W.B0.GCLK ;
+  wire \B_0_3.WORD[40].W.B0.SEL_B ;
+  wire \B_0_3.WORD[40].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[40].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[40].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[40].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[40].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[40].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[40].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[40].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[40].W.B0.we_wire ;
+  wire \B_0_3.WORD[40].W.B1.GCLK ;
+  wire \B_0_3.WORD[40].W.B1.SEL_B ;
+  wire \B_0_3.WORD[40].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[40].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[40].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[40].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[40].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[40].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[40].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[40].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[40].W.B1.we_wire ;
+  wire \B_0_3.WORD[40].W.B2.GCLK ;
+  wire \B_0_3.WORD[40].W.B2.SEL_B ;
+  wire \B_0_3.WORD[40].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[40].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[40].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[40].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[40].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[40].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[40].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[40].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[40].W.B2.we_wire ;
+  wire \B_0_3.WORD[40].W.B3.GCLK ;
+  wire \B_0_3.WORD[40].W.B3.SEL_B ;
+  wire \B_0_3.WORD[40].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[40].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[40].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[40].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[40].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[40].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[40].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[40].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[40].W.B3.we_wire ;
+  wire \B_0_3.WORD[41].W.B0.GCLK ;
+  wire \B_0_3.WORD[41].W.B0.SEL_B ;
+  wire \B_0_3.WORD[41].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[41].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[41].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[41].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[41].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[41].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[41].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[41].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[41].W.B0.we_wire ;
+  wire \B_0_3.WORD[41].W.B1.GCLK ;
+  wire \B_0_3.WORD[41].W.B1.SEL_B ;
+  wire \B_0_3.WORD[41].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[41].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[41].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[41].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[41].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[41].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[41].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[41].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[41].W.B1.we_wire ;
+  wire \B_0_3.WORD[41].W.B2.GCLK ;
+  wire \B_0_3.WORD[41].W.B2.SEL_B ;
+  wire \B_0_3.WORD[41].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[41].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[41].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[41].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[41].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[41].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[41].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[41].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[41].W.B2.we_wire ;
+  wire \B_0_3.WORD[41].W.B3.GCLK ;
+  wire \B_0_3.WORD[41].W.B3.SEL_B ;
+  wire \B_0_3.WORD[41].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[41].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[41].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[41].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[41].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[41].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[41].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[41].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[41].W.B3.we_wire ;
+  wire \B_0_3.WORD[42].W.B0.GCLK ;
+  wire \B_0_3.WORD[42].W.B0.SEL_B ;
+  wire \B_0_3.WORD[42].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[42].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[42].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[42].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[42].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[42].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[42].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[42].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[42].W.B0.we_wire ;
+  wire \B_0_3.WORD[42].W.B1.GCLK ;
+  wire \B_0_3.WORD[42].W.B1.SEL_B ;
+  wire \B_0_3.WORD[42].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[42].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[42].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[42].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[42].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[42].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[42].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[42].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[42].W.B1.we_wire ;
+  wire \B_0_3.WORD[42].W.B2.GCLK ;
+  wire \B_0_3.WORD[42].W.B2.SEL_B ;
+  wire \B_0_3.WORD[42].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[42].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[42].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[42].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[42].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[42].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[42].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[42].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[42].W.B2.we_wire ;
+  wire \B_0_3.WORD[42].W.B3.GCLK ;
+  wire \B_0_3.WORD[42].W.B3.SEL_B ;
+  wire \B_0_3.WORD[42].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[42].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[42].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[42].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[42].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[42].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[42].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[42].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[42].W.B3.we_wire ;
+  wire \B_0_3.WORD[43].W.B0.GCLK ;
+  wire \B_0_3.WORD[43].W.B0.SEL_B ;
+  wire \B_0_3.WORD[43].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[43].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[43].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[43].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[43].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[43].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[43].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[43].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[43].W.B0.we_wire ;
+  wire \B_0_3.WORD[43].W.B1.GCLK ;
+  wire \B_0_3.WORD[43].W.B1.SEL_B ;
+  wire \B_0_3.WORD[43].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[43].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[43].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[43].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[43].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[43].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[43].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[43].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[43].W.B1.we_wire ;
+  wire \B_0_3.WORD[43].W.B2.GCLK ;
+  wire \B_0_3.WORD[43].W.B2.SEL_B ;
+  wire \B_0_3.WORD[43].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[43].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[43].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[43].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[43].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[43].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[43].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[43].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[43].W.B2.we_wire ;
+  wire \B_0_3.WORD[43].W.B3.GCLK ;
+  wire \B_0_3.WORD[43].W.B3.SEL_B ;
+  wire \B_0_3.WORD[43].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[43].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[43].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[43].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[43].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[43].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[43].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[43].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[43].W.B3.we_wire ;
+  wire \B_0_3.WORD[44].W.B0.GCLK ;
+  wire \B_0_3.WORD[44].W.B0.SEL_B ;
+  wire \B_0_3.WORD[44].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[44].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[44].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[44].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[44].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[44].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[44].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[44].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[44].W.B0.we_wire ;
+  wire \B_0_3.WORD[44].W.B1.GCLK ;
+  wire \B_0_3.WORD[44].W.B1.SEL_B ;
+  wire \B_0_3.WORD[44].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[44].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[44].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[44].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[44].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[44].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[44].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[44].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[44].W.B1.we_wire ;
+  wire \B_0_3.WORD[44].W.B2.GCLK ;
+  wire \B_0_3.WORD[44].W.B2.SEL_B ;
+  wire \B_0_3.WORD[44].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[44].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[44].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[44].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[44].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[44].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[44].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[44].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[44].W.B2.we_wire ;
+  wire \B_0_3.WORD[44].W.B3.GCLK ;
+  wire \B_0_3.WORD[44].W.B3.SEL_B ;
+  wire \B_0_3.WORD[44].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[44].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[44].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[44].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[44].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[44].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[44].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[44].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[44].W.B3.we_wire ;
+  wire \B_0_3.WORD[45].W.B0.GCLK ;
+  wire \B_0_3.WORD[45].W.B0.SEL_B ;
+  wire \B_0_3.WORD[45].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[45].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[45].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[45].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[45].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[45].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[45].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[45].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[45].W.B0.we_wire ;
+  wire \B_0_3.WORD[45].W.B1.GCLK ;
+  wire \B_0_3.WORD[45].W.B1.SEL_B ;
+  wire \B_0_3.WORD[45].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[45].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[45].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[45].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[45].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[45].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[45].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[45].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[45].W.B1.we_wire ;
+  wire \B_0_3.WORD[45].W.B2.GCLK ;
+  wire \B_0_3.WORD[45].W.B2.SEL_B ;
+  wire \B_0_3.WORD[45].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[45].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[45].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[45].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[45].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[45].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[45].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[45].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[45].W.B2.we_wire ;
+  wire \B_0_3.WORD[45].W.B3.GCLK ;
+  wire \B_0_3.WORD[45].W.B3.SEL_B ;
+  wire \B_0_3.WORD[45].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[45].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[45].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[45].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[45].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[45].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[45].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[45].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[45].W.B3.we_wire ;
+  wire \B_0_3.WORD[46].W.B0.GCLK ;
+  wire \B_0_3.WORD[46].W.B0.SEL_B ;
+  wire \B_0_3.WORD[46].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[46].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[46].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[46].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[46].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[46].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[46].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[46].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[46].W.B0.we_wire ;
+  wire \B_0_3.WORD[46].W.B1.GCLK ;
+  wire \B_0_3.WORD[46].W.B1.SEL_B ;
+  wire \B_0_3.WORD[46].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[46].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[46].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[46].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[46].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[46].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[46].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[46].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[46].W.B1.we_wire ;
+  wire \B_0_3.WORD[46].W.B2.GCLK ;
+  wire \B_0_3.WORD[46].W.B2.SEL_B ;
+  wire \B_0_3.WORD[46].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[46].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[46].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[46].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[46].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[46].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[46].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[46].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[46].W.B2.we_wire ;
+  wire \B_0_3.WORD[46].W.B3.GCLK ;
+  wire \B_0_3.WORD[46].W.B3.SEL_B ;
+  wire \B_0_3.WORD[46].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[46].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[46].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[46].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[46].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[46].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[46].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[46].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[46].W.B3.we_wire ;
+  wire \B_0_3.WORD[47].W.B0.GCLK ;
+  wire \B_0_3.WORD[47].W.B0.SEL_B ;
+  wire \B_0_3.WORD[47].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[47].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[47].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[47].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[47].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[47].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[47].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[47].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[47].W.B0.we_wire ;
+  wire \B_0_3.WORD[47].W.B1.GCLK ;
+  wire \B_0_3.WORD[47].W.B1.SEL_B ;
+  wire \B_0_3.WORD[47].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[47].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[47].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[47].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[47].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[47].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[47].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[47].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[47].W.B1.we_wire ;
+  wire \B_0_3.WORD[47].W.B2.GCLK ;
+  wire \B_0_3.WORD[47].W.B2.SEL_B ;
+  wire \B_0_3.WORD[47].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[47].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[47].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[47].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[47].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[47].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[47].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[47].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[47].W.B2.we_wire ;
+  wire \B_0_3.WORD[47].W.B3.GCLK ;
+  wire \B_0_3.WORD[47].W.B3.SEL_B ;
+  wire \B_0_3.WORD[47].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[47].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[47].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[47].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[47].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[47].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[47].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[47].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[47].W.B3.we_wire ;
+  wire \B_0_3.WORD[48].W.B0.GCLK ;
+  wire \B_0_3.WORD[48].W.B0.SEL_B ;
+  wire \B_0_3.WORD[48].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[48].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[48].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[48].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[48].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[48].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[48].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[48].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[48].W.B0.we_wire ;
+  wire \B_0_3.WORD[48].W.B1.GCLK ;
+  wire \B_0_3.WORD[48].W.B1.SEL_B ;
+  wire \B_0_3.WORD[48].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[48].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[48].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[48].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[48].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[48].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[48].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[48].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[48].W.B1.we_wire ;
+  wire \B_0_3.WORD[48].W.B2.GCLK ;
+  wire \B_0_3.WORD[48].W.B2.SEL_B ;
+  wire \B_0_3.WORD[48].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[48].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[48].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[48].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[48].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[48].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[48].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[48].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[48].W.B2.we_wire ;
+  wire \B_0_3.WORD[48].W.B3.GCLK ;
+  wire \B_0_3.WORD[48].W.B3.SEL_B ;
+  wire \B_0_3.WORD[48].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[48].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[48].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[48].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[48].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[48].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[48].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[48].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[48].W.B3.we_wire ;
+  wire \B_0_3.WORD[49].W.B0.GCLK ;
+  wire \B_0_3.WORD[49].W.B0.SEL_B ;
+  wire \B_0_3.WORD[49].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[49].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[49].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[49].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[49].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[49].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[49].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[49].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[49].W.B0.we_wire ;
+  wire \B_0_3.WORD[49].W.B1.GCLK ;
+  wire \B_0_3.WORD[49].W.B1.SEL_B ;
+  wire \B_0_3.WORD[49].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[49].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[49].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[49].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[49].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[49].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[49].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[49].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[49].W.B1.we_wire ;
+  wire \B_0_3.WORD[49].W.B2.GCLK ;
+  wire \B_0_3.WORD[49].W.B2.SEL_B ;
+  wire \B_0_3.WORD[49].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[49].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[49].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[49].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[49].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[49].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[49].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[49].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[49].W.B2.we_wire ;
+  wire \B_0_3.WORD[49].W.B3.GCLK ;
+  wire \B_0_3.WORD[49].W.B3.SEL_B ;
+  wire \B_0_3.WORD[49].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[49].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[49].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[49].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[49].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[49].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[49].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[49].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[49].W.B3.we_wire ;
+  wire \B_0_3.WORD[4].W.B0.GCLK ;
+  wire \B_0_3.WORD[4].W.B0.SEL_B ;
+  wire \B_0_3.WORD[4].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[4].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[4].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[4].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[4].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[4].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[4].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[4].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[4].W.B0.we_wire ;
+  wire \B_0_3.WORD[4].W.B1.GCLK ;
+  wire \B_0_3.WORD[4].W.B1.SEL_B ;
+  wire \B_0_3.WORD[4].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[4].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[4].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[4].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[4].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[4].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[4].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[4].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[4].W.B1.we_wire ;
+  wire \B_0_3.WORD[4].W.B2.GCLK ;
+  wire \B_0_3.WORD[4].W.B2.SEL_B ;
+  wire \B_0_3.WORD[4].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[4].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[4].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[4].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[4].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[4].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[4].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[4].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[4].W.B2.we_wire ;
+  wire \B_0_3.WORD[4].W.B3.GCLK ;
+  wire \B_0_3.WORD[4].W.B3.SEL_B ;
+  wire \B_0_3.WORD[4].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[4].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[4].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[4].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[4].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[4].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[4].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[4].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[4].W.B3.we_wire ;
+  wire \B_0_3.WORD[50].W.B0.GCLK ;
+  wire \B_0_3.WORD[50].W.B0.SEL_B ;
+  wire \B_0_3.WORD[50].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[50].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[50].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[50].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[50].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[50].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[50].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[50].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[50].W.B0.we_wire ;
+  wire \B_0_3.WORD[50].W.B1.GCLK ;
+  wire \B_0_3.WORD[50].W.B1.SEL_B ;
+  wire \B_0_3.WORD[50].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[50].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[50].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[50].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[50].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[50].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[50].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[50].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[50].W.B1.we_wire ;
+  wire \B_0_3.WORD[50].W.B2.GCLK ;
+  wire \B_0_3.WORD[50].W.B2.SEL_B ;
+  wire \B_0_3.WORD[50].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[50].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[50].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[50].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[50].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[50].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[50].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[50].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[50].W.B2.we_wire ;
+  wire \B_0_3.WORD[50].W.B3.GCLK ;
+  wire \B_0_3.WORD[50].W.B3.SEL_B ;
+  wire \B_0_3.WORD[50].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[50].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[50].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[50].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[50].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[50].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[50].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[50].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[50].W.B3.we_wire ;
+  wire \B_0_3.WORD[51].W.B0.GCLK ;
+  wire \B_0_3.WORD[51].W.B0.SEL_B ;
+  wire \B_0_3.WORD[51].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[51].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[51].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[51].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[51].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[51].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[51].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[51].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[51].W.B0.we_wire ;
+  wire \B_0_3.WORD[51].W.B1.GCLK ;
+  wire \B_0_3.WORD[51].W.B1.SEL_B ;
+  wire \B_0_3.WORD[51].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[51].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[51].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[51].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[51].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[51].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[51].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[51].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[51].W.B1.we_wire ;
+  wire \B_0_3.WORD[51].W.B2.GCLK ;
+  wire \B_0_3.WORD[51].W.B2.SEL_B ;
+  wire \B_0_3.WORD[51].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[51].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[51].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[51].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[51].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[51].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[51].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[51].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[51].W.B2.we_wire ;
+  wire \B_0_3.WORD[51].W.B3.GCLK ;
+  wire \B_0_3.WORD[51].W.B3.SEL_B ;
+  wire \B_0_3.WORD[51].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[51].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[51].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[51].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[51].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[51].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[51].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[51].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[51].W.B3.we_wire ;
+  wire \B_0_3.WORD[52].W.B0.GCLK ;
+  wire \B_0_3.WORD[52].W.B0.SEL_B ;
+  wire \B_0_3.WORD[52].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[52].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[52].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[52].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[52].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[52].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[52].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[52].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[52].W.B0.we_wire ;
+  wire \B_0_3.WORD[52].W.B1.GCLK ;
+  wire \B_0_3.WORD[52].W.B1.SEL_B ;
+  wire \B_0_3.WORD[52].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[52].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[52].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[52].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[52].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[52].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[52].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[52].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[52].W.B1.we_wire ;
+  wire \B_0_3.WORD[52].W.B2.GCLK ;
+  wire \B_0_3.WORD[52].W.B2.SEL_B ;
+  wire \B_0_3.WORD[52].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[52].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[52].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[52].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[52].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[52].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[52].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[52].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[52].W.B2.we_wire ;
+  wire \B_0_3.WORD[52].W.B3.GCLK ;
+  wire \B_0_3.WORD[52].W.B3.SEL_B ;
+  wire \B_0_3.WORD[52].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[52].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[52].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[52].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[52].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[52].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[52].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[52].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[52].W.B3.we_wire ;
+  wire \B_0_3.WORD[53].W.B0.GCLK ;
+  wire \B_0_3.WORD[53].W.B0.SEL_B ;
+  wire \B_0_3.WORD[53].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[53].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[53].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[53].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[53].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[53].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[53].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[53].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[53].W.B0.we_wire ;
+  wire \B_0_3.WORD[53].W.B1.GCLK ;
+  wire \B_0_3.WORD[53].W.B1.SEL_B ;
+  wire \B_0_3.WORD[53].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[53].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[53].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[53].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[53].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[53].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[53].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[53].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[53].W.B1.we_wire ;
+  wire \B_0_3.WORD[53].W.B2.GCLK ;
+  wire \B_0_3.WORD[53].W.B2.SEL_B ;
+  wire \B_0_3.WORD[53].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[53].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[53].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[53].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[53].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[53].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[53].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[53].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[53].W.B2.we_wire ;
+  wire \B_0_3.WORD[53].W.B3.GCLK ;
+  wire \B_0_3.WORD[53].W.B3.SEL_B ;
+  wire \B_0_3.WORD[53].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[53].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[53].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[53].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[53].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[53].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[53].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[53].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[53].W.B3.we_wire ;
+  wire \B_0_3.WORD[54].W.B0.GCLK ;
+  wire \B_0_3.WORD[54].W.B0.SEL_B ;
+  wire \B_0_3.WORD[54].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[54].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[54].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[54].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[54].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[54].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[54].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[54].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[54].W.B0.we_wire ;
+  wire \B_0_3.WORD[54].W.B1.GCLK ;
+  wire \B_0_3.WORD[54].W.B1.SEL_B ;
+  wire \B_0_3.WORD[54].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[54].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[54].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[54].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[54].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[54].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[54].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[54].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[54].W.B1.we_wire ;
+  wire \B_0_3.WORD[54].W.B2.GCLK ;
+  wire \B_0_3.WORD[54].W.B2.SEL_B ;
+  wire \B_0_3.WORD[54].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[54].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[54].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[54].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[54].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[54].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[54].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[54].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[54].W.B2.we_wire ;
+  wire \B_0_3.WORD[54].W.B3.GCLK ;
+  wire \B_0_3.WORD[54].W.B3.SEL_B ;
+  wire \B_0_3.WORD[54].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[54].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[54].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[54].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[54].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[54].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[54].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[54].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[54].W.B3.we_wire ;
+  wire \B_0_3.WORD[55].W.B0.GCLK ;
+  wire \B_0_3.WORD[55].W.B0.SEL_B ;
+  wire \B_0_3.WORD[55].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[55].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[55].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[55].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[55].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[55].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[55].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[55].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[55].W.B0.we_wire ;
+  wire \B_0_3.WORD[55].W.B1.GCLK ;
+  wire \B_0_3.WORD[55].W.B1.SEL_B ;
+  wire \B_0_3.WORD[55].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[55].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[55].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[55].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[55].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[55].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[55].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[55].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[55].W.B1.we_wire ;
+  wire \B_0_3.WORD[55].W.B2.GCLK ;
+  wire \B_0_3.WORD[55].W.B2.SEL_B ;
+  wire \B_0_3.WORD[55].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[55].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[55].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[55].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[55].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[55].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[55].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[55].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[55].W.B2.we_wire ;
+  wire \B_0_3.WORD[55].W.B3.GCLK ;
+  wire \B_0_3.WORD[55].W.B3.SEL_B ;
+  wire \B_0_3.WORD[55].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[55].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[55].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[55].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[55].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[55].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[55].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[55].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[55].W.B3.we_wire ;
+  wire \B_0_3.WORD[56].W.B0.GCLK ;
+  wire \B_0_3.WORD[56].W.B0.SEL_B ;
+  wire \B_0_3.WORD[56].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[56].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[56].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[56].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[56].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[56].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[56].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[56].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[56].W.B0.we_wire ;
+  wire \B_0_3.WORD[56].W.B1.GCLK ;
+  wire \B_0_3.WORD[56].W.B1.SEL_B ;
+  wire \B_0_3.WORD[56].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[56].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[56].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[56].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[56].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[56].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[56].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[56].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[56].W.B1.we_wire ;
+  wire \B_0_3.WORD[56].W.B2.GCLK ;
+  wire \B_0_3.WORD[56].W.B2.SEL_B ;
+  wire \B_0_3.WORD[56].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[56].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[56].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[56].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[56].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[56].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[56].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[56].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[56].W.B2.we_wire ;
+  wire \B_0_3.WORD[56].W.B3.GCLK ;
+  wire \B_0_3.WORD[56].W.B3.SEL_B ;
+  wire \B_0_3.WORD[56].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[56].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[56].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[56].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[56].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[56].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[56].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[56].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[56].W.B3.we_wire ;
+  wire \B_0_3.WORD[57].W.B0.GCLK ;
+  wire \B_0_3.WORD[57].W.B0.SEL_B ;
+  wire \B_0_3.WORD[57].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[57].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[57].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[57].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[57].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[57].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[57].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[57].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[57].W.B0.we_wire ;
+  wire \B_0_3.WORD[57].W.B1.GCLK ;
+  wire \B_0_3.WORD[57].W.B1.SEL_B ;
+  wire \B_0_3.WORD[57].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[57].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[57].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[57].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[57].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[57].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[57].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[57].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[57].W.B1.we_wire ;
+  wire \B_0_3.WORD[57].W.B2.GCLK ;
+  wire \B_0_3.WORD[57].W.B2.SEL_B ;
+  wire \B_0_3.WORD[57].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[57].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[57].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[57].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[57].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[57].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[57].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[57].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[57].W.B2.we_wire ;
+  wire \B_0_3.WORD[57].W.B3.GCLK ;
+  wire \B_0_3.WORD[57].W.B3.SEL_B ;
+  wire \B_0_3.WORD[57].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[57].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[57].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[57].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[57].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[57].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[57].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[57].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[57].W.B3.we_wire ;
+  wire \B_0_3.WORD[58].W.B0.GCLK ;
+  wire \B_0_3.WORD[58].W.B0.SEL_B ;
+  wire \B_0_3.WORD[58].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[58].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[58].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[58].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[58].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[58].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[58].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[58].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[58].W.B0.we_wire ;
+  wire \B_0_3.WORD[58].W.B1.GCLK ;
+  wire \B_0_3.WORD[58].W.B1.SEL_B ;
+  wire \B_0_3.WORD[58].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[58].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[58].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[58].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[58].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[58].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[58].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[58].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[58].W.B1.we_wire ;
+  wire \B_0_3.WORD[58].W.B2.GCLK ;
+  wire \B_0_3.WORD[58].W.B2.SEL_B ;
+  wire \B_0_3.WORD[58].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[58].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[58].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[58].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[58].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[58].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[58].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[58].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[58].W.B2.we_wire ;
+  wire \B_0_3.WORD[58].W.B3.GCLK ;
+  wire \B_0_3.WORD[58].W.B3.SEL_B ;
+  wire \B_0_3.WORD[58].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[58].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[58].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[58].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[58].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[58].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[58].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[58].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[58].W.B3.we_wire ;
+  wire \B_0_3.WORD[59].W.B0.GCLK ;
+  wire \B_0_3.WORD[59].W.B0.SEL_B ;
+  wire \B_0_3.WORD[59].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[59].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[59].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[59].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[59].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[59].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[59].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[59].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[59].W.B0.we_wire ;
+  wire \B_0_3.WORD[59].W.B1.GCLK ;
+  wire \B_0_3.WORD[59].W.B1.SEL_B ;
+  wire \B_0_3.WORD[59].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[59].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[59].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[59].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[59].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[59].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[59].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[59].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[59].W.B1.we_wire ;
+  wire \B_0_3.WORD[59].W.B2.GCLK ;
+  wire \B_0_3.WORD[59].W.B2.SEL_B ;
+  wire \B_0_3.WORD[59].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[59].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[59].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[59].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[59].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[59].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[59].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[59].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[59].W.B2.we_wire ;
+  wire \B_0_3.WORD[59].W.B3.GCLK ;
+  wire \B_0_3.WORD[59].W.B3.SEL_B ;
+  wire \B_0_3.WORD[59].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[59].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[59].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[59].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[59].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[59].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[59].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[59].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[59].W.B3.we_wire ;
+  wire \B_0_3.WORD[5].W.B0.GCLK ;
+  wire \B_0_3.WORD[5].W.B0.SEL_B ;
+  wire \B_0_3.WORD[5].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[5].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[5].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[5].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[5].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[5].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[5].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[5].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[5].W.B0.we_wire ;
+  wire \B_0_3.WORD[5].W.B1.GCLK ;
+  wire \B_0_3.WORD[5].W.B1.SEL_B ;
+  wire \B_0_3.WORD[5].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[5].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[5].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[5].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[5].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[5].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[5].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[5].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[5].W.B1.we_wire ;
+  wire \B_0_3.WORD[5].W.B2.GCLK ;
+  wire \B_0_3.WORD[5].W.B2.SEL_B ;
+  wire \B_0_3.WORD[5].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[5].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[5].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[5].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[5].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[5].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[5].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[5].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[5].W.B2.we_wire ;
+  wire \B_0_3.WORD[5].W.B3.GCLK ;
+  wire \B_0_3.WORD[5].W.B3.SEL_B ;
+  wire \B_0_3.WORD[5].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[5].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[5].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[5].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[5].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[5].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[5].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[5].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[5].W.B3.we_wire ;
+  wire \B_0_3.WORD[60].W.B0.GCLK ;
+  wire \B_0_3.WORD[60].W.B0.SEL_B ;
+  wire \B_0_3.WORD[60].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[60].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[60].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[60].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[60].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[60].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[60].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[60].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[60].W.B0.we_wire ;
+  wire \B_0_3.WORD[60].W.B1.GCLK ;
+  wire \B_0_3.WORD[60].W.B1.SEL_B ;
+  wire \B_0_3.WORD[60].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[60].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[60].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[60].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[60].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[60].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[60].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[60].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[60].W.B1.we_wire ;
+  wire \B_0_3.WORD[60].W.B2.GCLK ;
+  wire \B_0_3.WORD[60].W.B2.SEL_B ;
+  wire \B_0_3.WORD[60].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[60].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[60].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[60].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[60].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[60].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[60].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[60].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[60].W.B2.we_wire ;
+  wire \B_0_3.WORD[60].W.B3.GCLK ;
+  wire \B_0_3.WORD[60].W.B3.SEL_B ;
+  wire \B_0_3.WORD[60].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[60].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[60].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[60].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[60].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[60].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[60].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[60].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[60].W.B3.we_wire ;
+  wire \B_0_3.WORD[61].W.B0.GCLK ;
+  wire \B_0_3.WORD[61].W.B0.SEL_B ;
+  wire \B_0_3.WORD[61].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[61].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[61].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[61].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[61].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[61].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[61].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[61].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[61].W.B0.we_wire ;
+  wire \B_0_3.WORD[61].W.B1.GCLK ;
+  wire \B_0_3.WORD[61].W.B1.SEL_B ;
+  wire \B_0_3.WORD[61].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[61].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[61].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[61].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[61].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[61].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[61].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[61].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[61].W.B1.we_wire ;
+  wire \B_0_3.WORD[61].W.B2.GCLK ;
+  wire \B_0_3.WORD[61].W.B2.SEL_B ;
+  wire \B_0_3.WORD[61].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[61].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[61].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[61].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[61].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[61].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[61].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[61].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[61].W.B2.we_wire ;
+  wire \B_0_3.WORD[61].W.B3.GCLK ;
+  wire \B_0_3.WORD[61].W.B3.SEL_B ;
+  wire \B_0_3.WORD[61].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[61].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[61].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[61].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[61].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[61].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[61].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[61].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[61].W.B3.we_wire ;
+  wire \B_0_3.WORD[62].W.B0.GCLK ;
+  wire \B_0_3.WORD[62].W.B0.SEL_B ;
+  wire \B_0_3.WORD[62].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[62].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[62].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[62].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[62].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[62].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[62].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[62].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[62].W.B0.we_wire ;
+  wire \B_0_3.WORD[62].W.B1.GCLK ;
+  wire \B_0_3.WORD[62].W.B1.SEL_B ;
+  wire \B_0_3.WORD[62].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[62].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[62].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[62].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[62].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[62].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[62].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[62].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[62].W.B1.we_wire ;
+  wire \B_0_3.WORD[62].W.B2.GCLK ;
+  wire \B_0_3.WORD[62].W.B2.SEL_B ;
+  wire \B_0_3.WORD[62].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[62].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[62].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[62].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[62].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[62].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[62].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[62].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[62].W.B2.we_wire ;
+  wire \B_0_3.WORD[62].W.B3.GCLK ;
+  wire \B_0_3.WORD[62].W.B3.SEL_B ;
+  wire \B_0_3.WORD[62].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[62].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[62].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[62].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[62].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[62].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[62].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[62].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[62].W.B3.we_wire ;
+  wire \B_0_3.WORD[63].W.B0.GCLK ;
+  wire \B_0_3.WORD[63].W.B0.SEL_B ;
+  wire \B_0_3.WORD[63].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[63].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[63].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[63].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[63].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[63].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[63].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[63].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[63].W.B0.we_wire ;
+  wire \B_0_3.WORD[63].W.B1.GCLK ;
+  wire \B_0_3.WORD[63].W.B1.SEL_B ;
+  wire \B_0_3.WORD[63].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[63].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[63].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[63].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[63].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[63].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[63].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[63].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[63].W.B1.we_wire ;
+  wire \B_0_3.WORD[63].W.B2.GCLK ;
+  wire \B_0_3.WORD[63].W.B2.SEL_B ;
+  wire \B_0_3.WORD[63].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[63].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[63].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[63].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[63].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[63].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[63].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[63].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[63].W.B2.we_wire ;
+  wire \B_0_3.WORD[63].W.B3.GCLK ;
+  wire \B_0_3.WORD[63].W.B3.SEL_B ;
+  wire \B_0_3.WORD[63].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[63].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[63].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[63].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[63].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[63].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[63].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[63].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[63].W.B3.we_wire ;
+  wire \B_0_3.WORD[6].W.B0.GCLK ;
+  wire \B_0_3.WORD[6].W.B0.SEL_B ;
+  wire \B_0_3.WORD[6].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[6].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[6].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[6].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[6].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[6].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[6].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[6].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[6].W.B0.we_wire ;
+  wire \B_0_3.WORD[6].W.B1.GCLK ;
+  wire \B_0_3.WORD[6].W.B1.SEL_B ;
+  wire \B_0_3.WORD[6].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[6].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[6].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[6].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[6].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[6].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[6].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[6].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[6].W.B1.we_wire ;
+  wire \B_0_3.WORD[6].W.B2.GCLK ;
+  wire \B_0_3.WORD[6].W.B2.SEL_B ;
+  wire \B_0_3.WORD[6].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[6].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[6].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[6].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[6].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[6].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[6].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[6].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[6].W.B2.we_wire ;
+  wire \B_0_3.WORD[6].W.B3.GCLK ;
+  wire \B_0_3.WORD[6].W.B3.SEL_B ;
+  wire \B_0_3.WORD[6].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[6].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[6].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[6].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[6].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[6].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[6].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[6].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[6].W.B3.we_wire ;
+  wire \B_0_3.WORD[7].W.B0.GCLK ;
+  wire \B_0_3.WORD[7].W.B0.SEL_B ;
+  wire \B_0_3.WORD[7].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[7].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[7].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[7].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[7].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[7].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[7].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[7].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[7].W.B0.we_wire ;
+  wire \B_0_3.WORD[7].W.B1.GCLK ;
+  wire \B_0_3.WORD[7].W.B1.SEL_B ;
+  wire \B_0_3.WORD[7].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[7].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[7].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[7].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[7].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[7].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[7].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[7].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[7].W.B1.we_wire ;
+  wire \B_0_3.WORD[7].W.B2.GCLK ;
+  wire \B_0_3.WORD[7].W.B2.SEL_B ;
+  wire \B_0_3.WORD[7].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[7].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[7].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[7].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[7].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[7].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[7].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[7].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[7].W.B2.we_wire ;
+  wire \B_0_3.WORD[7].W.B3.GCLK ;
+  wire \B_0_3.WORD[7].W.B3.SEL_B ;
+  wire \B_0_3.WORD[7].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[7].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[7].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[7].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[7].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[7].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[7].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[7].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[7].W.B3.we_wire ;
+  wire \B_0_3.WORD[8].W.B0.GCLK ;
+  wire \B_0_3.WORD[8].W.B0.SEL_B ;
+  wire \B_0_3.WORD[8].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[8].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[8].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[8].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[8].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[8].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[8].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[8].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[8].W.B0.we_wire ;
+  wire \B_0_3.WORD[8].W.B1.GCLK ;
+  wire \B_0_3.WORD[8].W.B1.SEL_B ;
+  wire \B_0_3.WORD[8].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[8].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[8].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[8].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[8].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[8].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[8].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[8].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[8].W.B1.we_wire ;
+  wire \B_0_3.WORD[8].W.B2.GCLK ;
+  wire \B_0_3.WORD[8].W.B2.SEL_B ;
+  wire \B_0_3.WORD[8].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[8].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[8].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[8].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[8].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[8].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[8].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[8].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[8].W.B2.we_wire ;
+  wire \B_0_3.WORD[8].W.B3.GCLK ;
+  wire \B_0_3.WORD[8].W.B3.SEL_B ;
+  wire \B_0_3.WORD[8].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[8].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[8].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[8].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[8].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[8].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[8].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[8].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[8].W.B3.we_wire ;
+  wire \B_0_3.WORD[9].W.B0.GCLK ;
+  wire \B_0_3.WORD[9].W.B0.SEL_B ;
+  wire \B_0_3.WORD[9].W.B0.q_wire[0] ;
+  wire \B_0_3.WORD[9].W.B0.q_wire[1] ;
+  wire \B_0_3.WORD[9].W.B0.q_wire[2] ;
+  wire \B_0_3.WORD[9].W.B0.q_wire[3] ;
+  wire \B_0_3.WORD[9].W.B0.q_wire[4] ;
+  wire \B_0_3.WORD[9].W.B0.q_wire[5] ;
+  wire \B_0_3.WORD[9].W.B0.q_wire[6] ;
+  wire \B_0_3.WORD[9].W.B0.q_wire[7] ;
+  wire \B_0_3.WORD[9].W.B0.we_wire ;
+  wire \B_0_3.WORD[9].W.B1.GCLK ;
+  wire \B_0_3.WORD[9].W.B1.SEL_B ;
+  wire \B_0_3.WORD[9].W.B1.q_wire[0] ;
+  wire \B_0_3.WORD[9].W.B1.q_wire[1] ;
+  wire \B_0_3.WORD[9].W.B1.q_wire[2] ;
+  wire \B_0_3.WORD[9].W.B1.q_wire[3] ;
+  wire \B_0_3.WORD[9].W.B1.q_wire[4] ;
+  wire \B_0_3.WORD[9].W.B1.q_wire[5] ;
+  wire \B_0_3.WORD[9].W.B1.q_wire[6] ;
+  wire \B_0_3.WORD[9].W.B1.q_wire[7] ;
+  wire \B_0_3.WORD[9].W.B1.we_wire ;
+  wire \B_0_3.WORD[9].W.B2.GCLK ;
+  wire \B_0_3.WORD[9].W.B2.SEL_B ;
+  wire \B_0_3.WORD[9].W.B2.q_wire[0] ;
+  wire \B_0_3.WORD[9].W.B2.q_wire[1] ;
+  wire \B_0_3.WORD[9].W.B2.q_wire[2] ;
+  wire \B_0_3.WORD[9].W.B2.q_wire[3] ;
+  wire \B_0_3.WORD[9].W.B2.q_wire[4] ;
+  wire \B_0_3.WORD[9].W.B2.q_wire[5] ;
+  wire \B_0_3.WORD[9].W.B2.q_wire[6] ;
+  wire \B_0_3.WORD[9].W.B2.q_wire[7] ;
+  wire \B_0_3.WORD[9].W.B2.we_wire ;
+  wire \B_0_3.WORD[9].W.B3.GCLK ;
+  wire \B_0_3.WORD[9].W.B3.SEL_B ;
+  wire \B_0_3.WORD[9].W.B3.q_wire[0] ;
+  wire \B_0_3.WORD[9].W.B3.q_wire[1] ;
+  wire \B_0_3.WORD[9].W.B3.q_wire[2] ;
+  wire \B_0_3.WORD[9].W.B3.q_wire[3] ;
+  wire \B_0_3.WORD[9].W.B3.q_wire[4] ;
+  wire \B_0_3.WORD[9].W.B3.q_wire[5] ;
+  wire \B_0_3.WORD[9].W.B3.q_wire[6] ;
+  wire \B_0_3.WORD[9].W.B3.q_wire[7] ;
+  wire \B_0_3.WORD[9].W.B3.we_wire ;
+  input CLK;
+  input [31:0] Di;
+  output [31:0] Do;
+  wire \Do_pre[0] ;
+  wire \Do_pre[10] ;
+  wire \Do_pre[11] ;
+  wire \Do_pre[12] ;
+  wire \Do_pre[13] ;
+  wire \Do_pre[14] ;
+  wire \Do_pre[15] ;
+  wire \Do_pre[16] ;
+  wire \Do_pre[17] ;
+  wire \Do_pre[18] ;
+  wire \Do_pre[19] ;
+  wire \Do_pre[1] ;
+  wire \Do_pre[20] ;
+  wire \Do_pre[21] ;
+  wire \Do_pre[22] ;
+  wire \Do_pre[23] ;
+  wire \Do_pre[24] ;
+  wire \Do_pre[25] ;
+  wire \Do_pre[26] ;
+  wire \Do_pre[27] ;
+  wire \Do_pre[28] ;
+  wire \Do_pre[29] ;
+  wire \Do_pre[2] ;
+  wire \Do_pre[30] ;
+  wire \Do_pre[31] ;
+  wire \Do_pre[3] ;
+  wire \Do_pre[4] ;
+  wire \Do_pre[5] ;
+  wire \Do_pre[6] ;
+  wire \Do_pre[7] ;
+  wire \Do_pre[8] ;
+  wire \Do_pre[9] ;
+  input EN;
+  input [3:0] WE;
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.CLKBUF  (
+    .A(\B_0_0.CLK ),
+    .X(\B_0_0.CLK_buf )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_0.DEC.DEC_L0.AND0  (
+    .A(A[3]),
+    .B(A[4]),
+    .C(A[5]),
+    .D_N(\B_0_0.DEC.DEC_L0.EN ),
+    .Y(\B_0_0.DEC.DEC_L0.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L0.AND1  (
+    .A_N(A[5]),
+    .B_N(A[4]),
+    .C(A[3]),
+    .D(\B_0_0.DEC.DEC_L0.EN ),
+    .X(\B_0_0.DEC.DEC_L0.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L0.AND2  (
+    .A_N(A[5]),
+    .B_N(A[3]),
+    .C(A[4]),
+    .D(\B_0_0.DEC.DEC_L0.EN ),
+    .X(\B_0_0.DEC.DEC_L0.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L0.AND3  (
+    .A_N(A[5]),
+    .B(A[4]),
+    .C(A[3]),
+    .D(\B_0_0.DEC.DEC_L0.EN ),
+    .X(\B_0_0.DEC.DEC_L0.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L0.AND4  (
+    .A_N(A[3]),
+    .B_N(A[4]),
+    .C(A[5]),
+    .D(\B_0_0.DEC.DEC_L0.EN ),
+    .X(\B_0_0.DEC.DEC_L0.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L0.AND5  (
+    .A_N(A[4]),
+    .B(A[3]),
+    .C(A[5]),
+    .D(\B_0_0.DEC.DEC_L0.EN ),
+    .X(\B_0_0.DEC.DEC_L0.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L0.AND6  (
+    .A_N(A[3]),
+    .B(A[4]),
+    .C(A[5]),
+    .D(\B_0_0.DEC.DEC_L0.EN ),
+    .X(\B_0_0.DEC.DEC_L0.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_0.DEC.DEC_L0.AND7  (
+    .A(A[3]),
+    .B(A[4]),
+    .C(A[5]),
+    .D(\B_0_0.DEC.DEC_L0.EN ),
+    .X(\B_0_0.DEC.DEC_L0.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_0.DEC.DEC_L1[0].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_0.DEC.DEC_L0.SEL[0] ),
+    .Y(\B_0_0.DEC.DEC_L1[0].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[0].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_0.DEC.DEC_L1[0].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[0].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_0.DEC.DEC_L1[0].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[0].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_0.DEC.DEC_L1[0].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[0].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_0.DEC.DEC_L1[0].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[0].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_0.DEC.DEC_L1[0].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[0].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_0.DEC.DEC_L1[0].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_0.DEC.DEC_L1[0].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_0.DEC.DEC_L1[0].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_0.DEC.DEC_L1[1].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_0.DEC.DEC_L0.SEL[1] ),
+    .Y(\B_0_0.DEC.DEC_L1[1].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[1].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_0.DEC.DEC_L1[1].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[1].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_0.DEC.DEC_L1[1].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[1].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_0.DEC.DEC_L1[1].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[1].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_0.DEC.DEC_L1[1].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[1].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_0.DEC.DEC_L1[1].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[1].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_0.DEC.DEC_L1[1].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_0.DEC.DEC_L1[1].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_0.DEC.DEC_L1[1].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_0.DEC.DEC_L1[2].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_0.DEC.DEC_L0.SEL[2] ),
+    .Y(\B_0_0.DEC.DEC_L1[2].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[2].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_0.DEC.DEC_L1[2].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[2].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_0.DEC.DEC_L1[2].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[2].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_0.DEC.DEC_L1[2].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[2].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_0.DEC.DEC_L1[2].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[2].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_0.DEC.DEC_L1[2].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[2].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_0.DEC.DEC_L1[2].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_0.DEC.DEC_L1[2].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_0.DEC.DEC_L1[2].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_0.DEC.DEC_L1[3].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_0.DEC.DEC_L0.SEL[3] ),
+    .Y(\B_0_0.DEC.DEC_L1[3].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[3].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_0.DEC.DEC_L1[3].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[3].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_0.DEC.DEC_L1[3].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[3].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_0.DEC.DEC_L1[3].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[3].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_0.DEC.DEC_L1[3].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[3].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_0.DEC.DEC_L1[3].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[3].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_0.DEC.DEC_L1[3].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_0.DEC.DEC_L1[3].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_0.DEC.DEC_L1[3].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_0.DEC.DEC_L1[4].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_0.DEC.DEC_L0.SEL[4] ),
+    .Y(\B_0_0.DEC.DEC_L1[4].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[4].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_0.DEC.DEC_L1[4].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[4].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_0.DEC.DEC_L1[4].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[4].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_0.DEC.DEC_L1[4].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[4].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_0.DEC.DEC_L1[4].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[4].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_0.DEC.DEC_L1[4].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[4].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_0.DEC.DEC_L1[4].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_0.DEC.DEC_L1[4].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_0.DEC.DEC_L1[4].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_0.DEC.DEC_L1[5].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_0.DEC.DEC_L0.SEL[5] ),
+    .Y(\B_0_0.DEC.DEC_L1[5].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[5].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_0.DEC.DEC_L1[5].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[5].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_0.DEC.DEC_L1[5].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[5].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_0.DEC.DEC_L1[5].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[5].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_0.DEC.DEC_L1[5].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[5].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_0.DEC.DEC_L1[5].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[5].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_0.DEC.DEC_L1[5].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_0.DEC.DEC_L1[5].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_0.DEC.DEC_L1[5].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_0.DEC.DEC_L1[6].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_0.DEC.DEC_L0.SEL[6] ),
+    .Y(\B_0_0.DEC.DEC_L1[6].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[6].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_0.DEC.DEC_L1[6].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[6].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_0.DEC.DEC_L1[6].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[6].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_0.DEC.DEC_L1[6].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[6].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_0.DEC.DEC_L1[6].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[6].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_0.DEC.DEC_L1[6].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[6].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_0.DEC.DEC_L1[6].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_0.DEC.DEC_L1[6].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_0.DEC.DEC_L1[6].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_0.DEC.DEC_L1[7].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_0.DEC.DEC_L0.SEL[7] ),
+    .Y(\B_0_0.DEC.DEC_L1[7].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[7].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_0.DEC.DEC_L1[7].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[7].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_0.DEC.DEC_L1[7].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[7].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_0.DEC.DEC_L1[7].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_0.DEC.DEC_L1[7].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_0.DEC.DEC_L1[7].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[7].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_0.DEC.DEC_L1[7].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_0.DEC.DEC_L1[7].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_0.DEC.DEC_L1[7].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_0.DEC.DEC_L1[7].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_0.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_0.DEC.DEC_L1[7].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[0]  (
+    .A(\B_0_0.Di[0] ),
+    .X(\B_0_0.Di_buf[0] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[10]  (
+    .A(\B_0_0.Di[10] ),
+    .X(\B_0_0.Di_buf[10] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[11]  (
+    .A(\B_0_0.Di[11] ),
+    .X(\B_0_0.Di_buf[11] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[12]  (
+    .A(\B_0_0.Di[12] ),
+    .X(\B_0_0.Di_buf[12] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[13]  (
+    .A(\B_0_0.Di[13] ),
+    .X(\B_0_0.Di_buf[13] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[14]  (
+    .A(\B_0_0.Di[14] ),
+    .X(\B_0_0.Di_buf[14] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[15]  (
+    .A(\B_0_0.Di[15] ),
+    .X(\B_0_0.Di_buf[15] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[16]  (
+    .A(\B_0_0.Di[16] ),
+    .X(\B_0_0.Di_buf[16] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[17]  (
+    .A(\B_0_0.Di[17] ),
+    .X(\B_0_0.Di_buf[17] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[18]  (
+    .A(\B_0_0.Di[18] ),
+    .X(\B_0_0.Di_buf[18] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[19]  (
+    .A(\B_0_0.Di[19] ),
+    .X(\B_0_0.Di_buf[19] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[1]  (
+    .A(\B_0_0.Di[1] ),
+    .X(\B_0_0.Di_buf[1] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[20]  (
+    .A(\B_0_0.Di[20] ),
+    .X(\B_0_0.Di_buf[20] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[21]  (
+    .A(\B_0_0.Di[21] ),
+    .X(\B_0_0.Di_buf[21] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[22]  (
+    .A(\B_0_0.Di[22] ),
+    .X(\B_0_0.Di_buf[22] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[23]  (
+    .A(\B_0_0.Di[23] ),
+    .X(\B_0_0.Di_buf[23] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[24]  (
+    .A(\B_0_0.Di[24] ),
+    .X(\B_0_0.Di_buf[24] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[25]  (
+    .A(\B_0_0.Di[25] ),
+    .X(\B_0_0.Di_buf[25] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[26]  (
+    .A(\B_0_0.Di[26] ),
+    .X(\B_0_0.Di_buf[26] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[27]  (
+    .A(\B_0_0.Di[27] ),
+    .X(\B_0_0.Di_buf[27] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[28]  (
+    .A(\B_0_0.Di[28] ),
+    .X(\B_0_0.Di_buf[28] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[29]  (
+    .A(\B_0_0.Di[29] ),
+    .X(\B_0_0.Di_buf[29] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[2]  (
+    .A(\B_0_0.Di[2] ),
+    .X(\B_0_0.Di_buf[2] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[30]  (
+    .A(\B_0_0.Di[30] ),
+    .X(\B_0_0.Di_buf[30] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[31]  (
+    .A(\B_0_0.Di[31] ),
+    .X(\B_0_0.Di_buf[31] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[3]  (
+    .A(\B_0_0.Di[3] ),
+    .X(\B_0_0.Di_buf[3] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[4]  (
+    .A(\B_0_0.Di[4] ),
+    .X(\B_0_0.Di_buf[4] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[5]  (
+    .A(\B_0_0.Di[5] ),
+    .X(\B_0_0.Di_buf[5] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[6]  (
+    .A(\B_0_0.Di[6] ),
+    .X(\B_0_0.Di_buf[6] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[7]  (
+    .A(\B_0_0.Di[7] ),
+    .X(\B_0_0.Di_buf[7] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[8]  (
+    .A(\B_0_0.Di[8] ),
+    .X(\B_0_0.Di_buf[8] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.DIBUF[9]  (
+    .A(\B_0_0.Di[9] ),
+    .X(\B_0_0.Di_buf[9] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[0]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[10]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[11]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[12]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[13]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[14]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[15]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[16]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[17]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[18]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[19]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[1]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[20]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[21]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[22]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[23]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[24]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[25]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[26]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[27]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[28]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[29]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[2]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[30]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[31]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[3]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[4]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[5]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[6]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[7]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[8]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_0.FLOATBUF[9]  (
+    .A(\B_0_0.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_0.DEC.DEC_L0.EN ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[0].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[0] ),
+    .Q(\B_0_0.Do[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[10].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[10] ),
+    .Q(\B_0_0.Do[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[11].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[11] ),
+    .Q(\B_0_0.Do[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[12].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[12] ),
+    .Q(\B_0_0.Do[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[13].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[13] ),
+    .Q(\B_0_0.Do[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[14].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[14] ),
+    .Q(\B_0_0.Do[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[15].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[15] ),
+    .Q(\B_0_0.Do[15] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[16].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[16] ),
+    .Q(\B_0_0.Do[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[17].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[17] ),
+    .Q(\B_0_0.Do[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[18].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[18] ),
+    .Q(\B_0_0.Do[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[19].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[19] ),
+    .Q(\B_0_0.Do[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[1].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[1] ),
+    .Q(\B_0_0.Do[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[20].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[20] ),
+    .Q(\B_0_0.Do[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[21].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[21] ),
+    .Q(\B_0_0.Do[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[22].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[22] ),
+    .Q(\B_0_0.Do[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[23].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[23] ),
+    .Q(\B_0_0.Do[23] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[24].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[24] ),
+    .Q(\B_0_0.Do[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[25].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[25] ),
+    .Q(\B_0_0.Do[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[26].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[26] ),
+    .Q(\B_0_0.Do[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[27].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[27] ),
+    .Q(\B_0_0.Do[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[28].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[28] ),
+    .Q(\B_0_0.Do[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[29].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[29] ),
+    .Q(\B_0_0.Do[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[2].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[2] ),
+    .Q(\B_0_0.Do[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[30].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[30] ),
+    .Q(\B_0_0.Do[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[31].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[31] ),
+    .Q(\B_0_0.Do[31] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[3].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[3] ),
+    .Q(\B_0_0.Do[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[4].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[4] ),
+    .Q(\B_0_0.Do[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[5].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[5] ),
+    .Q(\B_0_0.Do[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[6].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[6] ),
+    .Q(\B_0_0.Do[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[7].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[7] ),
+    .Q(\B_0_0.Do[7] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[8].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[8] ),
+    .Q(\B_0_0.Do[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.OUT[9].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_0.Do_pre[9] ),
+    .Q(\B_0_0.Do[9] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.WEBUF[0]  (
+    .A(\B_0_0.WE[0] ),
+    .X(\B_0_0.WE_buf[0] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.WEBUF[1]  (
+    .A(\B_0_0.WE[1] ),
+    .X(\B_0_0.WE_buf[1] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.WEBUF[2]  (
+    .A(\B_0_0.WE[2] ),
+    .X(\B_0_0.WE_buf[2] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_0.WEBUF[3]  (
+    .A(\B_0_0.WE[3] ),
+    .X(\B_0_0.WE_buf[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[0].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[0].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[0].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[0].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[0].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[0].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[0].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[0].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[0].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[0].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[0].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[0].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[0].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[0].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[0].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[0].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[0].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[0].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[0].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[0].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[0].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[0].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[0].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[0].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[0].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[0].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[0].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[0].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[0].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[0].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[0] ),
+    .Y(\B_0_0.WORD[0].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[0].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[0].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[0].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[0].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[0].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[0].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[0].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[0].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[0].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[0].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[0].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[0].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[0].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[0].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[0].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[0].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[0].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[0].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[0].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[0].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[0].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[0].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[0].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[0].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[0].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[0].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[0].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[0].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[0].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[0].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[0] ),
+    .Y(\B_0_0.WORD[0].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[0].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[0].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[0].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[0].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[0].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[0].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[0].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[0].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[0].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[0].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[0].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[0].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[0].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[0].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[0].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[0].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[0].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[0].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[0].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[0].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[0].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[0].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[0].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[0].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[0].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[0].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[0].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[0].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[0].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[0].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[0] ),
+    .Y(\B_0_0.WORD[0].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[0].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[0].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[0].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[0].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[0].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[0].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[0].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[0].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[0].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[0].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[0].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[0].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[0].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[0].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[0].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[0].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[0].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[0].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[0].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[0].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[0].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[0].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[0].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[0].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[0].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[0].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[0].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[0].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[0].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[0].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[0].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[0].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[0] ),
+    .Y(\B_0_0.WORD[0].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[10].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[10].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[10].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[10].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[10].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[10].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[10].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[10].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[10].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[10].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[10].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[10].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[10].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[10].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[10].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[10].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[10].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[10].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[10].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[10].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[10].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[10].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[10].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[10].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[10].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[10].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[10].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[10].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[10].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[10].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[2] ),
+    .Y(\B_0_0.WORD[10].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[10].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[10].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[10].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[10].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[10].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[10].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[10].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[10].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[10].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[10].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[10].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[10].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[10].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[10].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[10].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[10].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[10].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[10].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[10].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[10].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[10].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[10].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[10].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[10].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[10].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[10].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[10].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[10].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[10].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[10].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[2] ),
+    .Y(\B_0_0.WORD[10].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[10].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[10].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[10].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[10].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[10].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[10].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[10].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[10].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[10].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[10].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[10].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[10].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[10].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[10].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[10].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[10].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[10].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[10].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[10].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[10].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[10].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[10].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[10].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[10].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[10].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[10].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[10].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[10].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[10].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[10].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[2] ),
+    .Y(\B_0_0.WORD[10].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[10].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[10].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[10].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[10].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[10].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[10].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[10].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[10].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[10].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[10].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[10].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[10].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[10].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[10].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[10].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[10].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[10].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[10].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[10].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[10].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[10].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[10].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[10].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[10].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[10].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[10].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[10].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[10].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[10].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[10].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[10].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[10].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[2] ),
+    .Y(\B_0_0.WORD[10].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[11].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[11].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[11].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[11].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[11].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[11].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[11].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[11].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[11].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[11].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[11].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[11].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[11].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[11].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[11].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[11].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[11].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[11].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[11].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[11].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[11].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[11].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[11].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[11].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[11].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[11].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[11].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[11].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[11].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[11].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[3] ),
+    .Y(\B_0_0.WORD[11].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[11].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[11].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[11].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[11].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[11].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[11].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[11].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[11].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[11].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[11].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[11].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[11].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[11].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[11].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[11].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[11].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[11].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[11].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[11].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[11].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[11].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[11].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[11].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[11].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[11].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[11].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[11].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[11].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[11].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[11].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[3] ),
+    .Y(\B_0_0.WORD[11].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[11].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[11].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[11].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[11].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[11].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[11].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[11].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[11].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[11].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[11].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[11].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[11].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[11].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[11].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[11].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[11].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[11].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[11].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[11].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[11].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[11].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[11].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[11].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[11].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[11].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[11].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[11].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[11].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[11].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[11].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[3] ),
+    .Y(\B_0_0.WORD[11].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[11].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[11].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[11].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[11].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[11].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[11].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[11].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[11].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[11].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[11].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[11].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[11].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[11].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[11].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[11].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[11].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[11].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[11].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[11].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[11].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[11].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[11].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[11].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[11].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[11].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[11].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[11].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[11].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[11].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[11].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[11].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[11].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[3] ),
+    .Y(\B_0_0.WORD[11].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[12].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[12].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[12].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[12].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[12].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[12].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[12].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[12].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[12].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[12].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[12].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[12].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[12].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[12].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[12].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[12].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[12].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[12].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[12].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[12].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[12].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[12].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[12].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[12].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[12].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[12].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[12].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[12].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[12].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[12].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[4] ),
+    .Y(\B_0_0.WORD[12].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[12].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[12].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[12].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[12].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[12].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[12].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[12].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[12].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[12].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[12].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[12].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[12].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[12].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[12].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[12].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[12].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[12].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[12].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[12].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[12].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[12].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[12].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[12].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[12].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[12].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[12].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[12].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[12].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[12].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[12].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[4] ),
+    .Y(\B_0_0.WORD[12].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[12].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[12].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[12].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[12].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[12].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[12].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[12].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[12].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[12].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[12].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[12].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[12].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[12].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[12].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[12].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[12].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[12].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[12].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[12].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[12].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[12].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[12].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[12].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[12].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[12].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[12].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[12].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[12].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[12].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[12].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[4] ),
+    .Y(\B_0_0.WORD[12].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[12].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[12].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[12].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[12].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[12].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[12].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[12].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[12].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[12].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[12].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[12].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[12].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[12].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[12].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[12].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[12].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[12].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[12].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[12].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[12].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[12].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[12].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[12].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[12].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[12].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[12].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[12].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[12].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[12].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[12].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[12].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[12].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[4] ),
+    .Y(\B_0_0.WORD[12].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[13].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[13].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[13].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[13].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[13].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[13].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[13].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[13].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[13].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[13].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[13].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[13].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[13].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[13].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[13].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[13].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[13].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[13].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[13].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[13].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[13].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[13].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[13].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[13].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[13].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[13].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[13].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[13].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[13].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[13].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[5] ),
+    .Y(\B_0_0.WORD[13].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[13].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[13].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[13].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[13].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[13].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[13].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[13].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[13].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[13].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[13].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[13].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[13].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[13].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[13].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[13].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[13].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[13].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[13].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[13].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[13].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[13].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[13].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[13].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[13].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[13].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[13].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[13].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[13].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[13].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[13].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[5] ),
+    .Y(\B_0_0.WORD[13].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[13].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[13].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[13].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[13].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[13].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[13].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[13].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[13].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[13].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[13].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[13].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[13].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[13].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[13].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[13].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[13].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[13].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[13].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[13].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[13].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[13].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[13].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[13].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[13].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[13].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[13].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[13].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[13].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[13].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[13].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[5] ),
+    .Y(\B_0_0.WORD[13].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[13].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[13].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[13].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[13].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[13].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[13].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[13].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[13].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[13].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[13].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[13].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[13].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[13].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[13].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[13].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[13].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[13].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[13].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[13].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[13].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[13].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[13].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[13].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[13].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[13].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[13].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[13].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[13].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[13].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[13].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[13].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[13].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[5] ),
+    .Y(\B_0_0.WORD[13].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[14].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[14].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[14].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[14].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[14].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[14].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[14].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[14].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[14].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[14].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[14].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[14].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[14].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[14].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[14].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[14].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[14].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[14].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[14].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[14].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[14].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[14].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[14].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[14].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[14].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[14].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[14].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[14].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[14].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[14].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[6] ),
+    .Y(\B_0_0.WORD[14].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[14].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[14].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[14].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[14].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[14].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[14].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[14].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[14].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[14].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[14].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[14].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[14].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[14].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[14].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[14].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[14].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[14].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[14].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[14].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[14].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[14].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[14].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[14].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[14].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[14].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[14].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[14].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[14].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[14].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[14].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[6] ),
+    .Y(\B_0_0.WORD[14].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[14].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[14].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[14].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[14].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[14].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[14].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[14].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[14].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[14].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[14].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[14].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[14].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[14].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[14].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[14].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[14].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[14].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[14].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[14].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[14].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[14].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[14].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[14].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[14].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[14].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[14].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[14].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[14].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[14].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[14].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[6] ),
+    .Y(\B_0_0.WORD[14].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[14].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[14].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[14].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[14].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[14].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[14].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[14].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[14].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[14].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[14].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[14].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[14].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[14].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[14].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[14].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[14].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[14].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[14].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[14].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[14].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[14].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[14].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[14].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[14].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[14].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[14].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[14].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[14].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[14].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[14].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[14].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[14].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[6] ),
+    .Y(\B_0_0.WORD[14].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[15].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[15].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[15].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[15].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[15].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[15].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[15].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[15].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[15].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[15].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[15].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[15].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[15].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[15].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[15].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[15].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[15].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[15].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[15].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[15].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[15].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[15].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[15].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[15].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[15].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[15].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[15].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[15].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[15].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[15].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[7] ),
+    .Y(\B_0_0.WORD[15].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[15].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[15].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[15].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[15].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[15].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[15].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[15].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[15].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[15].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[15].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[15].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[15].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[15].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[15].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[15].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[15].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[15].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[15].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[15].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[15].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[15].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[15].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[15].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[15].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[15].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[15].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[15].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[15].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[15].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[15].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[7] ),
+    .Y(\B_0_0.WORD[15].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[15].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[15].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[15].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[15].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[15].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[15].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[15].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[15].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[15].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[15].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[15].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[15].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[15].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[15].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[15].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[15].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[15].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[15].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[15].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[15].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[15].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[15].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[15].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[15].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[15].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[15].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[15].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[15].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[15].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[15].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[7] ),
+    .Y(\B_0_0.WORD[15].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[15].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[15].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[15].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[15].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[15].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[15].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[15].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[15].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[15].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[15].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[15].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[15].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[15].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[15].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[15].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[15].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[15].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[15].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[15].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[15].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[15].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[15].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[15].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[15].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[15].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[15].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[15].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[15].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[15].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[15].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[15].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[15].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[7] ),
+    .Y(\B_0_0.WORD[15].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[16].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[16].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[16].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[16].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[16].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[16].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[16].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[16].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[16].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[16].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[16].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[16].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[16].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[16].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[16].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[16].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[16].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[16].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[16].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[16].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[16].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[16].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[16].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[16].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[16].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[16].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[16].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[16].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[16].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[16].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[0] ),
+    .Y(\B_0_0.WORD[16].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[16].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[16].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[16].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[16].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[16].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[16].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[16].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[16].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[16].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[16].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[16].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[16].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[16].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[16].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[16].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[16].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[16].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[16].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[16].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[16].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[16].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[16].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[16].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[16].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[16].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[16].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[16].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[16].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[16].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[16].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[0] ),
+    .Y(\B_0_0.WORD[16].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[16].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[16].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[16].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[16].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[16].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[16].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[16].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[16].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[16].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[16].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[16].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[16].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[16].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[16].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[16].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[16].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[16].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[16].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[16].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[16].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[16].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[16].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[16].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[16].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[16].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[16].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[16].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[16].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[16].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[16].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[0] ),
+    .Y(\B_0_0.WORD[16].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[16].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[16].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[16].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[16].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[16].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[16].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[16].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[16].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[16].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[16].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[16].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[16].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[16].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[16].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[16].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[16].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[16].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[16].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[16].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[16].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[16].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[16].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[16].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[16].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[16].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[16].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[16].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[16].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[16].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[16].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[16].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[16].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[0] ),
+    .Y(\B_0_0.WORD[16].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[17].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[17].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[17].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[17].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[17].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[17].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[17].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[17].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[17].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[17].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[17].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[17].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[17].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[17].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[17].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[17].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[17].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[17].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[17].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[17].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[17].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[17].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[17].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[17].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[17].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[17].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[17].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[17].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[17].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[17].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[1] ),
+    .Y(\B_0_0.WORD[17].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[17].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[17].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[17].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[17].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[17].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[17].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[17].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[17].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[17].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[17].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[17].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[17].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[17].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[17].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[17].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[17].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[17].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[17].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[17].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[17].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[17].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[17].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[17].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[17].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[17].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[17].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[17].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[17].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[17].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[17].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[1] ),
+    .Y(\B_0_0.WORD[17].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[17].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[17].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[17].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[17].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[17].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[17].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[17].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[17].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[17].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[17].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[17].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[17].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[17].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[17].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[17].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[17].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[17].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[17].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[17].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[17].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[17].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[17].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[17].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[17].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[17].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[17].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[17].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[17].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[17].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[17].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[1] ),
+    .Y(\B_0_0.WORD[17].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[17].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[17].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[17].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[17].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[17].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[17].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[17].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[17].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[17].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[17].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[17].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[17].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[17].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[17].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[17].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[17].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[17].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[17].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[17].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[17].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[17].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[17].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[17].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[17].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[17].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[17].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[17].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[17].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[17].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[17].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[17].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[17].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[1] ),
+    .Y(\B_0_0.WORD[17].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[18].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[18].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[18].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[18].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[18].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[18].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[18].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[18].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[18].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[18].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[18].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[18].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[18].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[18].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[18].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[18].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[18].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[18].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[18].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[18].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[18].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[18].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[18].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[18].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[18].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[18].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[18].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[18].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[18].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[18].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[2] ),
+    .Y(\B_0_0.WORD[18].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[18].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[18].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[18].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[18].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[18].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[18].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[18].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[18].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[18].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[18].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[18].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[18].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[18].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[18].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[18].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[18].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[18].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[18].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[18].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[18].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[18].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[18].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[18].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[18].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[18].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[18].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[18].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[18].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[18].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[18].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[2] ),
+    .Y(\B_0_0.WORD[18].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[18].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[18].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[18].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[18].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[18].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[18].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[18].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[18].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[18].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[18].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[18].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[18].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[18].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[18].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[18].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[18].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[18].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[18].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[18].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[18].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[18].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[18].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[18].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[18].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[18].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[18].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[18].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[18].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[18].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[18].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[2] ),
+    .Y(\B_0_0.WORD[18].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[18].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[18].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[18].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[18].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[18].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[18].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[18].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[18].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[18].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[18].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[18].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[18].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[18].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[18].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[18].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[18].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[18].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[18].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[18].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[18].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[18].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[18].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[18].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[18].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[18].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[18].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[18].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[18].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[18].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[18].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[18].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[18].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[2] ),
+    .Y(\B_0_0.WORD[18].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[19].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[19].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[19].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[19].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[19].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[19].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[19].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[19].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[19].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[19].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[19].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[19].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[19].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[19].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[19].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[19].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[19].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[19].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[19].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[19].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[19].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[19].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[19].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[19].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[19].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[19].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[19].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[19].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[19].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[19].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[3] ),
+    .Y(\B_0_0.WORD[19].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[19].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[19].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[19].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[19].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[19].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[19].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[19].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[19].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[19].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[19].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[19].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[19].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[19].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[19].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[19].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[19].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[19].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[19].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[19].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[19].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[19].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[19].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[19].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[19].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[19].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[19].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[19].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[19].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[19].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[19].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[3] ),
+    .Y(\B_0_0.WORD[19].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[19].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[19].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[19].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[19].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[19].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[19].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[19].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[19].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[19].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[19].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[19].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[19].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[19].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[19].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[19].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[19].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[19].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[19].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[19].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[19].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[19].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[19].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[19].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[19].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[19].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[19].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[19].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[19].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[19].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[19].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[3] ),
+    .Y(\B_0_0.WORD[19].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[19].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[19].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[19].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[19].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[19].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[19].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[19].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[19].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[19].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[19].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[19].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[19].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[19].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[19].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[19].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[19].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[19].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[19].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[19].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[19].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[19].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[19].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[19].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[19].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[19].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[19].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[19].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[19].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[19].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[19].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[19].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[19].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[3] ),
+    .Y(\B_0_0.WORD[19].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[1].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[1].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[1].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[1].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[1].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[1].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[1].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[1].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[1].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[1].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[1].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[1].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[1].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[1].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[1].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[1].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[1].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[1].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[1].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[1].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[1].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[1].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[1].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[1].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[1].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[1].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[1].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[1].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[1].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[1].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[1] ),
+    .Y(\B_0_0.WORD[1].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[1].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[1].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[1].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[1].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[1].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[1].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[1].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[1].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[1].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[1].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[1].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[1].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[1].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[1].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[1].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[1].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[1].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[1].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[1].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[1].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[1].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[1].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[1].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[1].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[1].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[1].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[1].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[1].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[1].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[1].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[1] ),
+    .Y(\B_0_0.WORD[1].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[1].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[1].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[1].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[1].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[1].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[1].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[1].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[1].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[1].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[1].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[1].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[1].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[1].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[1].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[1].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[1].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[1].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[1].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[1].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[1].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[1].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[1].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[1].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[1].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[1].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[1].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[1].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[1].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[1].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[1].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[1] ),
+    .Y(\B_0_0.WORD[1].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[1].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[1].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[1].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[1].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[1].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[1].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[1].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[1].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[1].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[1].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[1].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[1].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[1].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[1].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[1].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[1].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[1].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[1].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[1].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[1].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[1].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[1].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[1].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[1].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[1].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[1].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[1].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[1].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[1].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[1].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[1].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[1].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[1] ),
+    .Y(\B_0_0.WORD[1].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[20].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[20].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[20].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[20].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[20].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[20].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[20].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[20].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[20].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[20].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[20].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[20].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[20].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[20].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[20].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[20].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[20].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[20].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[20].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[20].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[20].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[20].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[20].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[20].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[20].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[20].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[20].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[20].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[20].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[20].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[4] ),
+    .Y(\B_0_0.WORD[20].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[20].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[20].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[20].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[20].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[20].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[20].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[20].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[20].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[20].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[20].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[20].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[20].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[20].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[20].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[20].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[20].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[20].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[20].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[20].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[20].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[20].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[20].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[20].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[20].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[20].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[20].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[20].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[20].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[20].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[20].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[4] ),
+    .Y(\B_0_0.WORD[20].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[20].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[20].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[20].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[20].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[20].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[20].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[20].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[20].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[20].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[20].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[20].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[20].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[20].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[20].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[20].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[20].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[20].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[20].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[20].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[20].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[20].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[20].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[20].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[20].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[20].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[20].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[20].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[20].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[20].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[20].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[4] ),
+    .Y(\B_0_0.WORD[20].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[20].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[20].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[20].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[20].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[20].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[20].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[20].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[20].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[20].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[20].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[20].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[20].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[20].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[20].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[20].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[20].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[20].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[20].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[20].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[20].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[20].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[20].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[20].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[20].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[20].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[20].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[20].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[20].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[20].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[20].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[20].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[20].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[4] ),
+    .Y(\B_0_0.WORD[20].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[21].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[21].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[21].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[21].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[21].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[21].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[21].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[21].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[21].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[21].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[21].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[21].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[21].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[21].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[21].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[21].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[21].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[21].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[21].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[21].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[21].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[21].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[21].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[21].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[21].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[21].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[21].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[21].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[21].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[21].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[5] ),
+    .Y(\B_0_0.WORD[21].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[21].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[21].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[21].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[21].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[21].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[21].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[21].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[21].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[21].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[21].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[21].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[21].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[21].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[21].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[21].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[21].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[21].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[21].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[21].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[21].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[21].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[21].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[21].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[21].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[21].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[21].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[21].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[21].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[21].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[21].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[5] ),
+    .Y(\B_0_0.WORD[21].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[21].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[21].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[21].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[21].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[21].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[21].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[21].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[21].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[21].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[21].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[21].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[21].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[21].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[21].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[21].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[21].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[21].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[21].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[21].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[21].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[21].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[21].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[21].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[21].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[21].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[21].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[21].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[21].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[21].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[21].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[5] ),
+    .Y(\B_0_0.WORD[21].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[21].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[21].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[21].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[21].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[21].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[21].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[21].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[21].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[21].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[21].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[21].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[21].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[21].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[21].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[21].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[21].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[21].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[21].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[21].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[21].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[21].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[21].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[21].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[21].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[21].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[21].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[21].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[21].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[21].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[21].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[21].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[21].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[5] ),
+    .Y(\B_0_0.WORD[21].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[22].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[22].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[22].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[22].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[22].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[22].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[22].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[22].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[22].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[22].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[22].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[22].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[22].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[22].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[22].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[22].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[22].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[22].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[22].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[22].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[22].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[22].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[22].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[22].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[22].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[22].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[22].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[22].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[22].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[22].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[6] ),
+    .Y(\B_0_0.WORD[22].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[22].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[22].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[22].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[22].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[22].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[22].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[22].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[22].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[22].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[22].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[22].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[22].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[22].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[22].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[22].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[22].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[22].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[22].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[22].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[22].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[22].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[22].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[22].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[22].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[22].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[22].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[22].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[22].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[22].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[22].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[6] ),
+    .Y(\B_0_0.WORD[22].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[22].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[22].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[22].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[22].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[22].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[22].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[22].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[22].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[22].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[22].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[22].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[22].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[22].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[22].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[22].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[22].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[22].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[22].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[22].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[22].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[22].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[22].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[22].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[22].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[22].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[22].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[22].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[22].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[22].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[22].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[6] ),
+    .Y(\B_0_0.WORD[22].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[22].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[22].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[22].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[22].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[22].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[22].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[22].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[22].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[22].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[22].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[22].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[22].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[22].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[22].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[22].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[22].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[22].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[22].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[22].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[22].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[22].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[22].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[22].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[22].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[22].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[22].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[22].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[22].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[22].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[22].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[22].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[22].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[6] ),
+    .Y(\B_0_0.WORD[22].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[23].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[23].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[23].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[23].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[23].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[23].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[23].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[23].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[23].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[23].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[23].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[23].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[23].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[23].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[23].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[23].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[23].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[23].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[23].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[23].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[23].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[23].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[23].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[23].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[23].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[23].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[23].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[23].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[23].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[23].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[7] ),
+    .Y(\B_0_0.WORD[23].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[23].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[23].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[23].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[23].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[23].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[23].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[23].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[23].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[23].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[23].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[23].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[23].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[23].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[23].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[23].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[23].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[23].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[23].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[23].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[23].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[23].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[23].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[23].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[23].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[23].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[23].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[23].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[23].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[23].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[23].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[7] ),
+    .Y(\B_0_0.WORD[23].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[23].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[23].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[23].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[23].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[23].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[23].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[23].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[23].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[23].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[23].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[23].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[23].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[23].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[23].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[23].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[23].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[23].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[23].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[23].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[23].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[23].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[23].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[23].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[23].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[23].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[23].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[23].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[23].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[23].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[23].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[7] ),
+    .Y(\B_0_0.WORD[23].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[23].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[23].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[23].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[23].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[23].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[23].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[23].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[23].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[23].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[23].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[23].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[23].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[23].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[23].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[23].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[23].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[23].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[23].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[23].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[23].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[23].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[23].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[23].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[23].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[23].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[23].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[23].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[23].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[23].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[23].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[23].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[23].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[2].U.SEL[7] ),
+    .Y(\B_0_0.WORD[23].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[24].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[24].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[24].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[24].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[24].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[24].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[24].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[24].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[24].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[24].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[24].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[24].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[24].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[24].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[24].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[24].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[24].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[24].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[24].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[24].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[24].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[24].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[24].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[24].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[24].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[24].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[24].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[24].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[24].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[24].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[0] ),
+    .Y(\B_0_0.WORD[24].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[24].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[24].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[24].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[24].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[24].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[24].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[24].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[24].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[24].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[24].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[24].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[24].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[24].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[24].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[24].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[24].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[24].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[24].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[24].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[24].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[24].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[24].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[24].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[24].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[24].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[24].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[24].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[24].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[24].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[24].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[0] ),
+    .Y(\B_0_0.WORD[24].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[24].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[24].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[24].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[24].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[24].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[24].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[24].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[24].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[24].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[24].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[24].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[24].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[24].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[24].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[24].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[24].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[24].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[24].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[24].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[24].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[24].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[24].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[24].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[24].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[24].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[24].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[24].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[24].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[24].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[24].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[0] ),
+    .Y(\B_0_0.WORD[24].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[24].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[24].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[24].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[24].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[24].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[24].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[24].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[24].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[24].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[24].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[24].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[24].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[24].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[24].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[24].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[24].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[24].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[24].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[24].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[24].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[24].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[24].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[24].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[24].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[24].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[24].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[24].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[24].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[24].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[24].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[24].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[24].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[0] ),
+    .Y(\B_0_0.WORD[24].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[25].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[25].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[25].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[25].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[25].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[25].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[25].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[25].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[25].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[25].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[25].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[25].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[25].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[25].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[25].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[25].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[25].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[25].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[25].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[25].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[25].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[25].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[25].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[25].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[25].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[25].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[25].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[25].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[25].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[25].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[1] ),
+    .Y(\B_0_0.WORD[25].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[25].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[25].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[25].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[25].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[25].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[25].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[25].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[25].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[25].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[25].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[25].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[25].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[25].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[25].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[25].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[25].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[25].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[25].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[25].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[25].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[25].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[25].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[25].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[25].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[25].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[25].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[25].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[25].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[25].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[25].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[1] ),
+    .Y(\B_0_0.WORD[25].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[25].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[25].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[25].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[25].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[25].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[25].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[25].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[25].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[25].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[25].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[25].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[25].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[25].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[25].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[25].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[25].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[25].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[25].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[25].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[25].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[25].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[25].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[25].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[25].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[25].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[25].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[25].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[25].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[25].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[25].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[1] ),
+    .Y(\B_0_0.WORD[25].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[25].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[25].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[25].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[25].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[25].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[25].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[25].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[25].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[25].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[25].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[25].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[25].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[25].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[25].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[25].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[25].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[25].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[25].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[25].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[25].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[25].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[25].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[25].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[25].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[25].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[25].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[25].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[25].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[25].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[25].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[25].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[25].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[1] ),
+    .Y(\B_0_0.WORD[25].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[26].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[26].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[26].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[26].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[26].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[26].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[26].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[26].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[26].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[26].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[26].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[26].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[26].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[26].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[26].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[26].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[26].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[26].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[26].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[26].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[26].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[26].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[26].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[26].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[26].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[26].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[26].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[26].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[26].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[26].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[2] ),
+    .Y(\B_0_0.WORD[26].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[26].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[26].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[26].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[26].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[26].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[26].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[26].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[26].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[26].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[26].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[26].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[26].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[26].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[26].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[26].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[26].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[26].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[26].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[26].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[26].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[26].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[26].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[26].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[26].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[26].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[26].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[26].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[26].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[26].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[26].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[2] ),
+    .Y(\B_0_0.WORD[26].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[26].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[26].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[26].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[26].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[26].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[26].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[26].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[26].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[26].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[26].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[26].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[26].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[26].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[26].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[26].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[26].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[26].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[26].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[26].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[26].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[26].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[26].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[26].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[26].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[26].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[26].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[26].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[26].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[26].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[26].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[2] ),
+    .Y(\B_0_0.WORD[26].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[26].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[26].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[26].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[26].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[26].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[26].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[26].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[26].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[26].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[26].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[26].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[26].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[26].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[26].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[26].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[26].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[26].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[26].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[26].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[26].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[26].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[26].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[26].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[26].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[26].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[26].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[26].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[26].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[26].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[26].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[26].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[26].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[2] ),
+    .Y(\B_0_0.WORD[26].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[27].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[27].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[27].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[27].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[27].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[27].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[27].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[27].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[27].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[27].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[27].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[27].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[27].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[27].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[27].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[27].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[27].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[27].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[27].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[27].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[27].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[27].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[27].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[27].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[27].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[27].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[27].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[27].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[27].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[27].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[3] ),
+    .Y(\B_0_0.WORD[27].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[27].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[27].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[27].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[27].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[27].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[27].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[27].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[27].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[27].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[27].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[27].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[27].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[27].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[27].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[27].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[27].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[27].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[27].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[27].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[27].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[27].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[27].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[27].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[27].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[27].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[27].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[27].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[27].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[27].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[27].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[3] ),
+    .Y(\B_0_0.WORD[27].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[27].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[27].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[27].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[27].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[27].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[27].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[27].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[27].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[27].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[27].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[27].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[27].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[27].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[27].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[27].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[27].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[27].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[27].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[27].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[27].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[27].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[27].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[27].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[27].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[27].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[27].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[27].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[27].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[27].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[27].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[3] ),
+    .Y(\B_0_0.WORD[27].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[27].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[27].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[27].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[27].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[27].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[27].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[27].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[27].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[27].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[27].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[27].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[27].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[27].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[27].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[27].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[27].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[27].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[27].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[27].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[27].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[27].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[27].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[27].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[27].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[27].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[27].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[27].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[27].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[27].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[27].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[27].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[27].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[3] ),
+    .Y(\B_0_0.WORD[27].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[28].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[28].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[28].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[28].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[28].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[28].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[28].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[28].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[28].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[28].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[28].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[28].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[28].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[28].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[28].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[28].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[28].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[28].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[28].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[28].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[28].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[28].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[28].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[28].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[28].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[28].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[28].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[28].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[28].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[28].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[4] ),
+    .Y(\B_0_0.WORD[28].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[28].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[28].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[28].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[28].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[28].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[28].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[28].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[28].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[28].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[28].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[28].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[28].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[28].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[28].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[28].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[28].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[28].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[28].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[28].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[28].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[28].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[28].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[28].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[28].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[28].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[28].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[28].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[28].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[28].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[28].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[4] ),
+    .Y(\B_0_0.WORD[28].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[28].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[28].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[28].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[28].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[28].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[28].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[28].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[28].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[28].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[28].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[28].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[28].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[28].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[28].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[28].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[28].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[28].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[28].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[28].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[28].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[28].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[28].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[28].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[28].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[28].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[28].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[28].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[28].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[28].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[28].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[4] ),
+    .Y(\B_0_0.WORD[28].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[28].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[28].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[28].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[28].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[28].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[28].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[28].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[28].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[28].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[28].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[28].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[28].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[28].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[28].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[28].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[28].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[28].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[28].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[28].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[28].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[28].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[28].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[28].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[28].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[28].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[28].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[28].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[28].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[28].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[28].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[28].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[28].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[4] ),
+    .Y(\B_0_0.WORD[28].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[29].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[29].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[29].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[29].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[29].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[29].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[29].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[29].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[29].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[29].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[29].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[29].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[29].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[29].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[29].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[29].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[29].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[29].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[29].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[29].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[29].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[29].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[29].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[29].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[29].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[29].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[29].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[29].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[29].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[29].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[5] ),
+    .Y(\B_0_0.WORD[29].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[29].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[29].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[29].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[29].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[29].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[29].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[29].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[29].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[29].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[29].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[29].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[29].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[29].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[29].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[29].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[29].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[29].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[29].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[29].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[29].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[29].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[29].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[29].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[29].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[29].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[29].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[29].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[29].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[29].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[29].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[5] ),
+    .Y(\B_0_0.WORD[29].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[29].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[29].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[29].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[29].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[29].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[29].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[29].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[29].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[29].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[29].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[29].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[29].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[29].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[29].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[29].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[29].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[29].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[29].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[29].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[29].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[29].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[29].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[29].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[29].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[29].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[29].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[29].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[29].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[29].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[29].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[5] ),
+    .Y(\B_0_0.WORD[29].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[29].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[29].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[29].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[29].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[29].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[29].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[29].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[29].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[29].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[29].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[29].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[29].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[29].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[29].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[29].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[29].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[29].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[29].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[29].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[29].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[29].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[29].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[29].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[29].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[29].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[29].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[29].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[29].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[29].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[29].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[29].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[29].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[5] ),
+    .Y(\B_0_0.WORD[29].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[2].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[2].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[2].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[2].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[2].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[2].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[2].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[2].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[2].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[2].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[2].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[2].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[2].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[2].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[2].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[2].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[2].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[2].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[2].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[2].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[2].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[2].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[2].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[2].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[2].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[2].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[2].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[2].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[2].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[2].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[2] ),
+    .Y(\B_0_0.WORD[2].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[2].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[2].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[2].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[2].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[2].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[2].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[2].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[2].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[2].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[2].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[2].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[2].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[2].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[2].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[2].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[2].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[2].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[2].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[2].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[2].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[2].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[2].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[2].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[2].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[2].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[2].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[2].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[2].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[2].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[2].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[2] ),
+    .Y(\B_0_0.WORD[2].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[2].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[2].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[2].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[2].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[2].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[2].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[2].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[2].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[2].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[2].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[2].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[2].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[2].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[2].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[2].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[2].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[2].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[2].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[2].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[2].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[2].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[2].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[2].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[2].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[2].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[2].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[2].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[2].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[2].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[2].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[2] ),
+    .Y(\B_0_0.WORD[2].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[2].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[2].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[2].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[2].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[2].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[2].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[2].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[2].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[2].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[2].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[2].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[2].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[2].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[2].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[2].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[2].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[2].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[2].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[2].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[2].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[2].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[2].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[2].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[2].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[2].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[2].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[2].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[2].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[2].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[2].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[2].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[2].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[2] ),
+    .Y(\B_0_0.WORD[2].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[30].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[30].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[30].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[30].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[30].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[30].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[30].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[30].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[30].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[30].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[30].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[30].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[30].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[30].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[30].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[30].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[30].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[30].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[30].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[30].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[30].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[30].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[30].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[30].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[30].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[30].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[30].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[30].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[30].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[30].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[6] ),
+    .Y(\B_0_0.WORD[30].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[30].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[30].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[30].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[30].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[30].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[30].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[30].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[30].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[30].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[30].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[30].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[30].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[30].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[30].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[30].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[30].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[30].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[30].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[30].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[30].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[30].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[30].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[30].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[30].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[30].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[30].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[30].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[30].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[30].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[30].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[6] ),
+    .Y(\B_0_0.WORD[30].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[30].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[30].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[30].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[30].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[30].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[30].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[30].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[30].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[30].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[30].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[30].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[30].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[30].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[30].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[30].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[30].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[30].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[30].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[30].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[30].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[30].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[30].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[30].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[30].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[30].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[30].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[30].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[30].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[30].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[30].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[6] ),
+    .Y(\B_0_0.WORD[30].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[30].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[30].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[30].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[30].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[30].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[30].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[30].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[30].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[30].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[30].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[30].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[30].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[30].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[30].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[30].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[30].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[30].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[30].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[30].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[30].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[30].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[30].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[30].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[30].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[30].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[30].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[30].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[30].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[30].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[30].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[30].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[30].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[6] ),
+    .Y(\B_0_0.WORD[30].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[31].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[31].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[31].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[31].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[31].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[31].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[31].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[31].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[31].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[31].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[31].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[31].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[31].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[31].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[31].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[31].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[31].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[31].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[31].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[31].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[31].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[31].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[31].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[31].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[31].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[31].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[31].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[31].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[31].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[31].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[7] ),
+    .Y(\B_0_0.WORD[31].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[31].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[31].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[31].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[31].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[31].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[31].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[31].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[31].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[31].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[31].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[31].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[31].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[31].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[31].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[31].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[31].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[31].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[31].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[31].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[31].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[31].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[31].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[31].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[31].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[31].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[31].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[31].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[31].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[31].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[31].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[7] ),
+    .Y(\B_0_0.WORD[31].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[31].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[31].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[31].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[31].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[31].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[31].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[31].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[31].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[31].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[31].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[31].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[31].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[31].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[31].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[31].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[31].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[31].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[31].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[31].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[31].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[31].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[31].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[31].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[31].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[31].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[31].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[31].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[31].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[31].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[31].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[7] ),
+    .Y(\B_0_0.WORD[31].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[31].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[31].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[31].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[31].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[31].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[31].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[31].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[31].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[31].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[31].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[31].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[31].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[31].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[31].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[31].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[31].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[31].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[31].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[31].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[31].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[31].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[31].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[31].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[31].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[31].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[31].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[31].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[31].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[31].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[31].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[31].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[31].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[3].U.SEL[7] ),
+    .Y(\B_0_0.WORD[31].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[32].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[32].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[32].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[32].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[32].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[32].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[32].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[32].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[32].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[32].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[32].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[32].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[32].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[32].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[32].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[32].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[32].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[32].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[32].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[32].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[32].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[32].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[32].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[32].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[32].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[32].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[32].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[32].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[32].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[32].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[0] ),
+    .Y(\B_0_0.WORD[32].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[32].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[32].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[32].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[32].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[32].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[32].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[32].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[32].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[32].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[32].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[32].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[32].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[32].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[32].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[32].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[32].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[32].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[32].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[32].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[32].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[32].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[32].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[32].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[32].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[32].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[32].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[32].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[32].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[32].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[32].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[0] ),
+    .Y(\B_0_0.WORD[32].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[32].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[32].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[32].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[32].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[32].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[32].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[32].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[32].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[32].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[32].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[32].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[32].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[32].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[32].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[32].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[32].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[32].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[32].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[32].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[32].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[32].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[32].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[32].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[32].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[32].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[32].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[32].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[32].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[32].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[32].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[0] ),
+    .Y(\B_0_0.WORD[32].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[32].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[32].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[32].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[32].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[32].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[32].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[32].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[32].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[32].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[32].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[32].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[32].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[32].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[32].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[32].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[32].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[32].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[32].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[32].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[32].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[32].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[32].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[32].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[32].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[32].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[32].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[32].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[32].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[32].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[32].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[32].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[32].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[0] ),
+    .Y(\B_0_0.WORD[32].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[33].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[33].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[33].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[33].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[33].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[33].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[33].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[33].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[33].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[33].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[33].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[33].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[33].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[33].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[33].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[33].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[33].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[33].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[33].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[33].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[33].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[33].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[33].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[33].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[33].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[33].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[33].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[33].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[33].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[33].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[1] ),
+    .Y(\B_0_0.WORD[33].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[33].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[33].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[33].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[33].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[33].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[33].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[33].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[33].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[33].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[33].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[33].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[33].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[33].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[33].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[33].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[33].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[33].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[33].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[33].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[33].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[33].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[33].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[33].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[33].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[33].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[33].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[33].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[33].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[33].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[33].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[1] ),
+    .Y(\B_0_0.WORD[33].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[33].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[33].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[33].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[33].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[33].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[33].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[33].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[33].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[33].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[33].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[33].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[33].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[33].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[33].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[33].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[33].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[33].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[33].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[33].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[33].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[33].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[33].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[33].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[33].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[33].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[33].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[33].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[33].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[33].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[33].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[1] ),
+    .Y(\B_0_0.WORD[33].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[33].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[33].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[33].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[33].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[33].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[33].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[33].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[33].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[33].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[33].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[33].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[33].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[33].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[33].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[33].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[33].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[33].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[33].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[33].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[33].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[33].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[33].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[33].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[33].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[33].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[33].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[33].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[33].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[33].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[33].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[33].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[33].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[1] ),
+    .Y(\B_0_0.WORD[33].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[34].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[34].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[34].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[34].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[34].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[34].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[34].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[34].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[34].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[34].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[34].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[34].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[34].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[34].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[34].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[34].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[34].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[34].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[34].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[34].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[34].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[34].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[34].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[34].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[34].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[34].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[34].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[34].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[34].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[34].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[2] ),
+    .Y(\B_0_0.WORD[34].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[34].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[34].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[34].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[34].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[34].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[34].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[34].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[34].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[34].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[34].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[34].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[34].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[34].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[34].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[34].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[34].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[34].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[34].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[34].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[34].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[34].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[34].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[34].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[34].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[34].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[34].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[34].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[34].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[34].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[34].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[2] ),
+    .Y(\B_0_0.WORD[34].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[34].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[34].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[34].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[34].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[34].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[34].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[34].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[34].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[34].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[34].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[34].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[34].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[34].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[34].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[34].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[34].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[34].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[34].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[34].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[34].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[34].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[34].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[34].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[34].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[34].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[34].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[34].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[34].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[34].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[34].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[2] ),
+    .Y(\B_0_0.WORD[34].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[34].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[34].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[34].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[34].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[34].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[34].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[34].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[34].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[34].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[34].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[34].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[34].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[34].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[34].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[34].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[34].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[34].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[34].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[34].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[34].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[34].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[34].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[34].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[34].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[34].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[34].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[34].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[34].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[34].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[34].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[34].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[34].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[2] ),
+    .Y(\B_0_0.WORD[34].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[35].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[35].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[35].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[35].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[35].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[35].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[35].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[35].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[35].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[35].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[35].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[35].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[35].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[35].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[35].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[35].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[35].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[35].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[35].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[35].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[35].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[35].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[35].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[35].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[35].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[35].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[35].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[35].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[35].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[35].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[3] ),
+    .Y(\B_0_0.WORD[35].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[35].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[35].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[35].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[35].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[35].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[35].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[35].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[35].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[35].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[35].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[35].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[35].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[35].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[35].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[35].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[35].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[35].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[35].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[35].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[35].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[35].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[35].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[35].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[35].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[35].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[35].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[35].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[35].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[35].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[35].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[3] ),
+    .Y(\B_0_0.WORD[35].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[35].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[35].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[35].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[35].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[35].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[35].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[35].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[35].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[35].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[35].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[35].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[35].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[35].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[35].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[35].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[35].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[35].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[35].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[35].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[35].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[35].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[35].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[35].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[35].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[35].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[35].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[35].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[35].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[35].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[35].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[3] ),
+    .Y(\B_0_0.WORD[35].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[35].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[35].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[35].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[35].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[35].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[35].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[35].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[35].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[35].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[35].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[35].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[35].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[35].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[35].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[35].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[35].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[35].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[35].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[35].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[35].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[35].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[35].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[35].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[35].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[35].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[35].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[35].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[35].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[35].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[35].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[35].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[35].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[3] ),
+    .Y(\B_0_0.WORD[35].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[36].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[36].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[36].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[36].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[36].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[36].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[36].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[36].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[36].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[36].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[36].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[36].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[36].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[36].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[36].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[36].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[36].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[36].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[36].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[36].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[36].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[36].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[36].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[36].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[36].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[36].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[36].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[36].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[36].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[36].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[4] ),
+    .Y(\B_0_0.WORD[36].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[36].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[36].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[36].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[36].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[36].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[36].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[36].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[36].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[36].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[36].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[36].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[36].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[36].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[36].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[36].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[36].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[36].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[36].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[36].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[36].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[36].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[36].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[36].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[36].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[36].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[36].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[36].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[36].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[36].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[36].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[4] ),
+    .Y(\B_0_0.WORD[36].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[36].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[36].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[36].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[36].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[36].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[36].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[36].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[36].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[36].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[36].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[36].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[36].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[36].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[36].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[36].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[36].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[36].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[36].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[36].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[36].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[36].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[36].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[36].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[36].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[36].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[36].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[36].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[36].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[36].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[36].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[4] ),
+    .Y(\B_0_0.WORD[36].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[36].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[36].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[36].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[36].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[36].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[36].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[36].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[36].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[36].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[36].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[36].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[36].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[36].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[36].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[36].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[36].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[36].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[36].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[36].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[36].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[36].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[36].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[36].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[36].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[36].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[36].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[36].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[36].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[36].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[36].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[36].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[36].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[4] ),
+    .Y(\B_0_0.WORD[36].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[37].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[37].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[37].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[37].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[37].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[37].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[37].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[37].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[37].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[37].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[37].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[37].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[37].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[37].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[37].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[37].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[37].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[37].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[37].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[37].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[37].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[37].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[37].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[37].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[37].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[37].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[37].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[37].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[37].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[37].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[5] ),
+    .Y(\B_0_0.WORD[37].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[37].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[37].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[37].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[37].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[37].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[37].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[37].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[37].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[37].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[37].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[37].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[37].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[37].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[37].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[37].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[37].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[37].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[37].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[37].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[37].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[37].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[37].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[37].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[37].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[37].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[37].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[37].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[37].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[37].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[37].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[5] ),
+    .Y(\B_0_0.WORD[37].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[37].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[37].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[37].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[37].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[37].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[37].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[37].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[37].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[37].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[37].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[37].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[37].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[37].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[37].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[37].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[37].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[37].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[37].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[37].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[37].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[37].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[37].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[37].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[37].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[37].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[37].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[37].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[37].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[37].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[37].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[5] ),
+    .Y(\B_0_0.WORD[37].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[37].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[37].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[37].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[37].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[37].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[37].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[37].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[37].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[37].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[37].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[37].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[37].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[37].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[37].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[37].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[37].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[37].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[37].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[37].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[37].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[37].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[37].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[37].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[37].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[37].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[37].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[37].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[37].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[37].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[37].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[37].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[37].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[5] ),
+    .Y(\B_0_0.WORD[37].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[38].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[38].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[38].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[38].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[38].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[38].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[38].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[38].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[38].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[38].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[38].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[38].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[38].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[38].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[38].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[38].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[38].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[38].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[38].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[38].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[38].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[38].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[38].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[38].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[38].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[38].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[38].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[38].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[38].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[38].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[6] ),
+    .Y(\B_0_0.WORD[38].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[38].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[38].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[38].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[38].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[38].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[38].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[38].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[38].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[38].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[38].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[38].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[38].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[38].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[38].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[38].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[38].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[38].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[38].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[38].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[38].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[38].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[38].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[38].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[38].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[38].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[38].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[38].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[38].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[38].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[38].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[6] ),
+    .Y(\B_0_0.WORD[38].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[38].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[38].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[38].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[38].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[38].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[38].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[38].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[38].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[38].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[38].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[38].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[38].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[38].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[38].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[38].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[38].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[38].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[38].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[38].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[38].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[38].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[38].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[38].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[38].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[38].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[38].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[38].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[38].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[38].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[38].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[6] ),
+    .Y(\B_0_0.WORD[38].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[38].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[38].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[38].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[38].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[38].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[38].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[38].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[38].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[38].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[38].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[38].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[38].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[38].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[38].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[38].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[38].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[38].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[38].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[38].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[38].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[38].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[38].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[38].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[38].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[38].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[38].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[38].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[38].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[38].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[38].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[38].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[38].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[6] ),
+    .Y(\B_0_0.WORD[38].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[39].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[39].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[39].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[39].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[39].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[39].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[39].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[39].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[39].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[39].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[39].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[39].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[39].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[39].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[39].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[39].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[39].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[39].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[39].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[39].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[39].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[39].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[39].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[39].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[39].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[39].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[39].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[39].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[39].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[39].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[7] ),
+    .Y(\B_0_0.WORD[39].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[39].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[39].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[39].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[39].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[39].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[39].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[39].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[39].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[39].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[39].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[39].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[39].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[39].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[39].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[39].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[39].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[39].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[39].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[39].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[39].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[39].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[39].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[39].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[39].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[39].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[39].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[39].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[39].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[39].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[39].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[7] ),
+    .Y(\B_0_0.WORD[39].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[39].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[39].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[39].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[39].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[39].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[39].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[39].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[39].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[39].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[39].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[39].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[39].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[39].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[39].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[39].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[39].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[39].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[39].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[39].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[39].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[39].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[39].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[39].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[39].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[39].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[39].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[39].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[39].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[39].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[39].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[7] ),
+    .Y(\B_0_0.WORD[39].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[39].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[39].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[39].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[39].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[39].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[39].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[39].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[39].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[39].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[39].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[39].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[39].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[39].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[39].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[39].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[39].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[39].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[39].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[39].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[39].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[39].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[39].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[39].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[39].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[39].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[39].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[39].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[39].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[39].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[39].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[39].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[39].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[4].U.SEL[7] ),
+    .Y(\B_0_0.WORD[39].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[3].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[3].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[3].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[3].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[3].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[3].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[3].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[3].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[3].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[3].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[3].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[3].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[3].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[3].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[3].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[3].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[3].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[3].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[3].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[3].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[3].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[3].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[3].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[3].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[3].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[3].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[3].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[3].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[3].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[3].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[3] ),
+    .Y(\B_0_0.WORD[3].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[3].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[3].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[3].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[3].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[3].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[3].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[3].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[3].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[3].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[3].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[3].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[3].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[3].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[3].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[3].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[3].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[3].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[3].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[3].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[3].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[3].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[3].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[3].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[3].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[3].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[3].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[3].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[3].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[3].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[3].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[3] ),
+    .Y(\B_0_0.WORD[3].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[3].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[3].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[3].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[3].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[3].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[3].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[3].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[3].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[3].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[3].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[3].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[3].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[3].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[3].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[3].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[3].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[3].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[3].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[3].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[3].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[3].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[3].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[3].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[3].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[3].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[3].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[3].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[3].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[3].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[3].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[3] ),
+    .Y(\B_0_0.WORD[3].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[3].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[3].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[3].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[3].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[3].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[3].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[3].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[3].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[3].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[3].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[3].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[3].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[3].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[3].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[3].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[3].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[3].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[3].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[3].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[3].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[3].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[3].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[3].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[3].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[3].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[3].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[3].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[3].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[3].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[3].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[3].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[3].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[3] ),
+    .Y(\B_0_0.WORD[3].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[40].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[40].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[40].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[40].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[40].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[40].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[40].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[40].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[40].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[40].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[40].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[40].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[40].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[40].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[40].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[40].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[40].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[40].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[40].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[40].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[40].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[40].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[40].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[40].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[40].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[40].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[40].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[40].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[40].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[40].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[0] ),
+    .Y(\B_0_0.WORD[40].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[40].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[40].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[40].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[40].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[40].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[40].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[40].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[40].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[40].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[40].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[40].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[40].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[40].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[40].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[40].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[40].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[40].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[40].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[40].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[40].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[40].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[40].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[40].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[40].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[40].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[40].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[40].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[40].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[40].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[40].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[0] ),
+    .Y(\B_0_0.WORD[40].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[40].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[40].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[40].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[40].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[40].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[40].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[40].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[40].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[40].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[40].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[40].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[40].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[40].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[40].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[40].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[40].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[40].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[40].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[40].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[40].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[40].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[40].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[40].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[40].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[40].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[40].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[40].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[40].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[40].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[40].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[0] ),
+    .Y(\B_0_0.WORD[40].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[40].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[40].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[40].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[40].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[40].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[40].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[40].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[40].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[40].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[40].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[40].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[40].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[40].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[40].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[40].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[40].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[40].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[40].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[40].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[40].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[40].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[40].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[40].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[40].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[40].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[40].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[40].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[40].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[40].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[40].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[40].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[40].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[0] ),
+    .Y(\B_0_0.WORD[40].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[41].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[41].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[41].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[41].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[41].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[41].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[41].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[41].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[41].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[41].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[41].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[41].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[41].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[41].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[41].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[41].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[41].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[41].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[41].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[41].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[41].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[41].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[41].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[41].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[41].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[41].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[41].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[41].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[41].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[41].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[1] ),
+    .Y(\B_0_0.WORD[41].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[41].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[41].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[41].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[41].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[41].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[41].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[41].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[41].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[41].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[41].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[41].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[41].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[41].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[41].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[41].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[41].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[41].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[41].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[41].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[41].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[41].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[41].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[41].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[41].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[41].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[41].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[41].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[41].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[41].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[41].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[1] ),
+    .Y(\B_0_0.WORD[41].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[41].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[41].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[41].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[41].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[41].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[41].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[41].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[41].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[41].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[41].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[41].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[41].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[41].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[41].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[41].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[41].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[41].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[41].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[41].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[41].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[41].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[41].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[41].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[41].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[41].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[41].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[41].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[41].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[41].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[41].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[1] ),
+    .Y(\B_0_0.WORD[41].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[41].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[41].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[41].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[41].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[41].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[41].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[41].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[41].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[41].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[41].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[41].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[41].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[41].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[41].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[41].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[41].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[41].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[41].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[41].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[41].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[41].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[41].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[41].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[41].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[41].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[41].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[41].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[41].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[41].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[41].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[41].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[41].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[1] ),
+    .Y(\B_0_0.WORD[41].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[42].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[42].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[42].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[42].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[42].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[42].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[42].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[42].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[42].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[42].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[42].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[42].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[42].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[42].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[42].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[42].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[42].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[42].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[42].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[42].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[42].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[42].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[42].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[42].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[42].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[42].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[42].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[42].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[42].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[42].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[2] ),
+    .Y(\B_0_0.WORD[42].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[42].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[42].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[42].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[42].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[42].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[42].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[42].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[42].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[42].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[42].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[42].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[42].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[42].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[42].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[42].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[42].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[42].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[42].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[42].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[42].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[42].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[42].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[42].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[42].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[42].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[42].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[42].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[42].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[42].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[42].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[2] ),
+    .Y(\B_0_0.WORD[42].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[42].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[42].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[42].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[42].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[42].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[42].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[42].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[42].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[42].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[42].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[42].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[42].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[42].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[42].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[42].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[42].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[42].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[42].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[42].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[42].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[42].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[42].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[42].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[42].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[42].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[42].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[42].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[42].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[42].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[42].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[2] ),
+    .Y(\B_0_0.WORD[42].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[42].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[42].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[42].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[42].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[42].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[42].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[42].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[42].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[42].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[42].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[42].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[42].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[42].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[42].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[42].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[42].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[42].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[42].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[42].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[42].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[42].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[42].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[42].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[42].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[42].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[42].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[42].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[42].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[42].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[42].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[42].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[42].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[2] ),
+    .Y(\B_0_0.WORD[42].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[43].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[43].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[43].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[43].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[43].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[43].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[43].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[43].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[43].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[43].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[43].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[43].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[43].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[43].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[43].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[43].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[43].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[43].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[43].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[43].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[43].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[43].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[43].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[43].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[43].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[43].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[43].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[43].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[43].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[43].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[3] ),
+    .Y(\B_0_0.WORD[43].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[43].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[43].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[43].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[43].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[43].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[43].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[43].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[43].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[43].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[43].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[43].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[43].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[43].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[43].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[43].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[43].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[43].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[43].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[43].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[43].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[43].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[43].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[43].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[43].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[43].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[43].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[43].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[43].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[43].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[43].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[3] ),
+    .Y(\B_0_0.WORD[43].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[43].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[43].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[43].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[43].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[43].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[43].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[43].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[43].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[43].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[43].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[43].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[43].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[43].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[43].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[43].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[43].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[43].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[43].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[43].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[43].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[43].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[43].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[43].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[43].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[43].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[43].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[43].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[43].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[43].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[43].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[3] ),
+    .Y(\B_0_0.WORD[43].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[43].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[43].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[43].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[43].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[43].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[43].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[43].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[43].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[43].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[43].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[43].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[43].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[43].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[43].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[43].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[43].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[43].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[43].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[43].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[43].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[43].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[43].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[43].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[43].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[43].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[43].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[43].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[43].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[43].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[43].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[43].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[43].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[3] ),
+    .Y(\B_0_0.WORD[43].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[44].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[44].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[44].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[44].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[44].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[44].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[44].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[44].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[44].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[44].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[44].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[44].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[44].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[44].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[44].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[44].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[44].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[44].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[44].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[44].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[44].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[44].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[44].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[44].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[44].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[44].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[44].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[44].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[44].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[44].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[4] ),
+    .Y(\B_0_0.WORD[44].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[44].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[44].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[44].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[44].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[44].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[44].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[44].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[44].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[44].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[44].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[44].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[44].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[44].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[44].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[44].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[44].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[44].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[44].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[44].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[44].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[44].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[44].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[44].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[44].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[44].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[44].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[44].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[44].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[44].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[44].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[4] ),
+    .Y(\B_0_0.WORD[44].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[44].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[44].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[44].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[44].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[44].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[44].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[44].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[44].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[44].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[44].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[44].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[44].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[44].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[44].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[44].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[44].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[44].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[44].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[44].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[44].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[44].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[44].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[44].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[44].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[44].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[44].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[44].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[44].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[44].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[44].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[4] ),
+    .Y(\B_0_0.WORD[44].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[44].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[44].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[44].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[44].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[44].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[44].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[44].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[44].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[44].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[44].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[44].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[44].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[44].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[44].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[44].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[44].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[44].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[44].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[44].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[44].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[44].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[44].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[44].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[44].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[44].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[44].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[44].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[44].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[44].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[44].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[44].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[44].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[4] ),
+    .Y(\B_0_0.WORD[44].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[45].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[45].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[45].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[45].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[45].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[45].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[45].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[45].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[45].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[45].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[45].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[45].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[45].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[45].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[45].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[45].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[45].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[45].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[45].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[45].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[45].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[45].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[45].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[45].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[45].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[45].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[45].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[45].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[45].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[45].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[5] ),
+    .Y(\B_0_0.WORD[45].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[45].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[45].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[45].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[45].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[45].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[45].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[45].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[45].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[45].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[45].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[45].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[45].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[45].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[45].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[45].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[45].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[45].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[45].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[45].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[45].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[45].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[45].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[45].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[45].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[45].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[45].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[45].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[45].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[45].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[45].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[5] ),
+    .Y(\B_0_0.WORD[45].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[45].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[45].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[45].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[45].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[45].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[45].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[45].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[45].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[45].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[45].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[45].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[45].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[45].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[45].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[45].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[45].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[45].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[45].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[45].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[45].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[45].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[45].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[45].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[45].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[45].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[45].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[45].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[45].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[45].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[45].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[5] ),
+    .Y(\B_0_0.WORD[45].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[45].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[45].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[45].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[45].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[45].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[45].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[45].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[45].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[45].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[45].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[45].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[45].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[45].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[45].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[45].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[45].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[45].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[45].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[45].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[45].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[45].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[45].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[45].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[45].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[45].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[45].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[45].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[45].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[45].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[45].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[45].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[45].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[5] ),
+    .Y(\B_0_0.WORD[45].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[46].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[46].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[46].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[46].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[46].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[46].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[46].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[46].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[46].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[46].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[46].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[46].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[46].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[46].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[46].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[46].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[46].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[46].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[46].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[46].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[46].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[46].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[46].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[46].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[46].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[46].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[46].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[46].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[46].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[46].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[6] ),
+    .Y(\B_0_0.WORD[46].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[46].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[46].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[46].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[46].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[46].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[46].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[46].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[46].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[46].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[46].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[46].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[46].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[46].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[46].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[46].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[46].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[46].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[46].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[46].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[46].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[46].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[46].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[46].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[46].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[46].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[46].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[46].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[46].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[46].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[46].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[6] ),
+    .Y(\B_0_0.WORD[46].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[46].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[46].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[46].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[46].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[46].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[46].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[46].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[46].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[46].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[46].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[46].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[46].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[46].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[46].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[46].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[46].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[46].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[46].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[46].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[46].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[46].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[46].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[46].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[46].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[46].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[46].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[46].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[46].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[46].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[46].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[6] ),
+    .Y(\B_0_0.WORD[46].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[46].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[46].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[46].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[46].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[46].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[46].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[46].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[46].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[46].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[46].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[46].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[46].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[46].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[46].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[46].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[46].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[46].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[46].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[46].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[46].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[46].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[46].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[46].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[46].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[46].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[46].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[46].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[46].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[46].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[46].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[46].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[46].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[6] ),
+    .Y(\B_0_0.WORD[46].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[47].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[47].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[47].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[47].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[47].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[47].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[47].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[47].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[47].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[47].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[47].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[47].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[47].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[47].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[47].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[47].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[47].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[47].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[47].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[47].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[47].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[47].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[47].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[47].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[47].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[47].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[47].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[47].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[47].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[47].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[7] ),
+    .Y(\B_0_0.WORD[47].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[47].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[47].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[47].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[47].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[47].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[47].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[47].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[47].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[47].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[47].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[47].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[47].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[47].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[47].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[47].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[47].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[47].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[47].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[47].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[47].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[47].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[47].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[47].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[47].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[47].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[47].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[47].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[47].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[47].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[47].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[7] ),
+    .Y(\B_0_0.WORD[47].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[47].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[47].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[47].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[47].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[47].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[47].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[47].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[47].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[47].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[47].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[47].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[47].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[47].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[47].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[47].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[47].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[47].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[47].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[47].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[47].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[47].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[47].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[47].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[47].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[47].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[47].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[47].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[47].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[47].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[47].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[7] ),
+    .Y(\B_0_0.WORD[47].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[47].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[47].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[47].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[47].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[47].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[47].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[47].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[47].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[47].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[47].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[47].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[47].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[47].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[47].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[47].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[47].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[47].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[47].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[47].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[47].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[47].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[47].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[47].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[47].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[47].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[47].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[47].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[47].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[47].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[47].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[47].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[47].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[5].U.SEL[7] ),
+    .Y(\B_0_0.WORD[47].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[48].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[48].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[48].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[48].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[48].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[48].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[48].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[48].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[48].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[48].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[48].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[48].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[48].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[48].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[48].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[48].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[48].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[48].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[48].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[48].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[48].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[48].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[48].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[48].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[48].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[48].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[48].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[48].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[48].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[48].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[0] ),
+    .Y(\B_0_0.WORD[48].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[48].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[48].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[48].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[48].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[48].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[48].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[48].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[48].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[48].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[48].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[48].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[48].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[48].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[48].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[48].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[48].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[48].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[48].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[48].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[48].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[48].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[48].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[48].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[48].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[48].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[48].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[48].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[48].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[48].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[48].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[0] ),
+    .Y(\B_0_0.WORD[48].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[48].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[48].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[48].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[48].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[48].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[48].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[48].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[48].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[48].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[48].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[48].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[48].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[48].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[48].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[48].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[48].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[48].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[48].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[48].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[48].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[48].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[48].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[48].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[48].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[48].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[48].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[48].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[48].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[48].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[48].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[0] ),
+    .Y(\B_0_0.WORD[48].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[48].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[48].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[48].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[48].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[48].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[48].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[48].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[48].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[48].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[48].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[48].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[48].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[48].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[48].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[48].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[48].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[48].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[48].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[48].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[48].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[48].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[48].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[48].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[48].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[48].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[48].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[48].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[48].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[48].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[48].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[48].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[48].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[0] ),
+    .Y(\B_0_0.WORD[48].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[49].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[49].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[49].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[49].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[49].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[49].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[49].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[49].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[49].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[49].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[49].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[49].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[49].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[49].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[49].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[49].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[49].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[49].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[49].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[49].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[49].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[49].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[49].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[49].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[49].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[49].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[49].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[49].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[49].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[49].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[1] ),
+    .Y(\B_0_0.WORD[49].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[49].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[49].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[49].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[49].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[49].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[49].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[49].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[49].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[49].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[49].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[49].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[49].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[49].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[49].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[49].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[49].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[49].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[49].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[49].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[49].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[49].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[49].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[49].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[49].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[49].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[49].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[49].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[49].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[49].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[49].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[1] ),
+    .Y(\B_0_0.WORD[49].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[49].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[49].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[49].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[49].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[49].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[49].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[49].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[49].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[49].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[49].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[49].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[49].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[49].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[49].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[49].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[49].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[49].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[49].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[49].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[49].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[49].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[49].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[49].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[49].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[49].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[49].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[49].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[49].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[49].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[49].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[1] ),
+    .Y(\B_0_0.WORD[49].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[49].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[49].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[49].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[49].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[49].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[49].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[49].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[49].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[49].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[49].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[49].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[49].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[49].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[49].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[49].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[49].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[49].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[49].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[49].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[49].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[49].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[49].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[49].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[49].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[49].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[49].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[49].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[49].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[49].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[49].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[49].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[49].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[1] ),
+    .Y(\B_0_0.WORD[49].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[4].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[4].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[4].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[4].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[4].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[4].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[4].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[4].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[4].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[4].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[4].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[4].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[4].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[4].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[4].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[4].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[4].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[4].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[4].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[4].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[4].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[4].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[4].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[4].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[4].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[4].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[4].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[4].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[4].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[4].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[4] ),
+    .Y(\B_0_0.WORD[4].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[4].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[4].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[4].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[4].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[4].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[4].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[4].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[4].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[4].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[4].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[4].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[4].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[4].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[4].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[4].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[4].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[4].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[4].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[4].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[4].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[4].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[4].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[4].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[4].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[4].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[4].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[4].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[4].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[4].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[4].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[4] ),
+    .Y(\B_0_0.WORD[4].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[4].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[4].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[4].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[4].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[4].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[4].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[4].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[4].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[4].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[4].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[4].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[4].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[4].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[4].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[4].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[4].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[4].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[4].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[4].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[4].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[4].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[4].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[4].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[4].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[4].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[4].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[4].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[4].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[4].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[4].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[4] ),
+    .Y(\B_0_0.WORD[4].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[4].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[4].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[4].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[4].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[4].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[4].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[4].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[4].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[4].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[4].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[4].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[4].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[4].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[4].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[4].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[4].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[4].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[4].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[4].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[4].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[4].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[4].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[4].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[4].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[4].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[4].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[4].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[4].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[4].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[4].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[4].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[4].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[4] ),
+    .Y(\B_0_0.WORD[4].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[50].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[50].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[50].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[50].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[50].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[50].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[50].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[50].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[50].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[50].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[50].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[50].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[50].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[50].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[50].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[50].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[50].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[50].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[50].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[50].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[50].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[50].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[50].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[50].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[50].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[50].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[50].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[50].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[50].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[50].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[2] ),
+    .Y(\B_0_0.WORD[50].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[50].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[50].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[50].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[50].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[50].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[50].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[50].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[50].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[50].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[50].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[50].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[50].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[50].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[50].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[50].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[50].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[50].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[50].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[50].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[50].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[50].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[50].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[50].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[50].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[50].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[50].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[50].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[50].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[50].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[50].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[2] ),
+    .Y(\B_0_0.WORD[50].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[50].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[50].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[50].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[50].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[50].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[50].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[50].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[50].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[50].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[50].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[50].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[50].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[50].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[50].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[50].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[50].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[50].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[50].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[50].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[50].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[50].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[50].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[50].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[50].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[50].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[50].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[50].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[50].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[50].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[50].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[2] ),
+    .Y(\B_0_0.WORD[50].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[50].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[50].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[50].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[50].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[50].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[50].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[50].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[50].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[50].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[50].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[50].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[50].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[50].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[50].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[50].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[50].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[50].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[50].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[50].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[50].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[50].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[50].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[50].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[50].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[50].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[50].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[50].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[50].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[50].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[50].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[50].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[50].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[2] ),
+    .Y(\B_0_0.WORD[50].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[51].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[51].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[51].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[51].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[51].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[51].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[51].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[51].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[51].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[51].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[51].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[51].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[51].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[51].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[51].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[51].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[51].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[51].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[51].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[51].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[51].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[51].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[51].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[51].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[51].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[51].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[51].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[51].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[51].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[51].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[3] ),
+    .Y(\B_0_0.WORD[51].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[51].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[51].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[51].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[51].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[51].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[51].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[51].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[51].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[51].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[51].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[51].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[51].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[51].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[51].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[51].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[51].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[51].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[51].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[51].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[51].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[51].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[51].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[51].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[51].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[51].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[51].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[51].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[51].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[51].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[51].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[3] ),
+    .Y(\B_0_0.WORD[51].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[51].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[51].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[51].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[51].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[51].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[51].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[51].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[51].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[51].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[51].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[51].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[51].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[51].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[51].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[51].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[51].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[51].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[51].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[51].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[51].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[51].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[51].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[51].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[51].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[51].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[51].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[51].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[51].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[51].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[51].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[3] ),
+    .Y(\B_0_0.WORD[51].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[51].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[51].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[51].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[51].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[51].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[51].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[51].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[51].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[51].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[51].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[51].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[51].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[51].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[51].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[51].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[51].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[51].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[51].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[51].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[51].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[51].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[51].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[51].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[51].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[51].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[51].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[51].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[51].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[51].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[51].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[51].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[51].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[3] ),
+    .Y(\B_0_0.WORD[51].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[52].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[52].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[52].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[52].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[52].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[52].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[52].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[52].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[52].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[52].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[52].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[52].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[52].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[52].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[52].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[52].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[52].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[52].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[52].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[52].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[52].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[52].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[52].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[52].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[52].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[52].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[52].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[52].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[52].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[52].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[4] ),
+    .Y(\B_0_0.WORD[52].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[52].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[52].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[52].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[52].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[52].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[52].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[52].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[52].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[52].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[52].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[52].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[52].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[52].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[52].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[52].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[52].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[52].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[52].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[52].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[52].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[52].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[52].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[52].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[52].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[52].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[52].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[52].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[52].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[52].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[52].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[4] ),
+    .Y(\B_0_0.WORD[52].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[52].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[52].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[52].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[52].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[52].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[52].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[52].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[52].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[52].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[52].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[52].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[52].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[52].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[52].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[52].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[52].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[52].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[52].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[52].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[52].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[52].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[52].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[52].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[52].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[52].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[52].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[52].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[52].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[52].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[52].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[4] ),
+    .Y(\B_0_0.WORD[52].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[52].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[52].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[52].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[52].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[52].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[52].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[52].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[52].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[52].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[52].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[52].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[52].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[52].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[52].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[52].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[52].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[52].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[52].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[52].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[52].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[52].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[52].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[52].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[52].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[52].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[52].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[52].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[52].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[52].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[52].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[52].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[52].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[4] ),
+    .Y(\B_0_0.WORD[52].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[53].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[53].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[53].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[53].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[53].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[53].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[53].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[53].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[53].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[53].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[53].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[53].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[53].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[53].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[53].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[53].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[53].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[53].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[53].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[53].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[53].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[53].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[53].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[53].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[53].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[53].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[53].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[53].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[53].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[53].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[5] ),
+    .Y(\B_0_0.WORD[53].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[53].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[53].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[53].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[53].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[53].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[53].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[53].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[53].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[53].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[53].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[53].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[53].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[53].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[53].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[53].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[53].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[53].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[53].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[53].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[53].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[53].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[53].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[53].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[53].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[53].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[53].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[53].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[53].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[53].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[53].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[5] ),
+    .Y(\B_0_0.WORD[53].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[53].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[53].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[53].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[53].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[53].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[53].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[53].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[53].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[53].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[53].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[53].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[53].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[53].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[53].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[53].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[53].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[53].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[53].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[53].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[53].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[53].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[53].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[53].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[53].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[53].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[53].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[53].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[53].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[53].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[53].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[5] ),
+    .Y(\B_0_0.WORD[53].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[53].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[53].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[53].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[53].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[53].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[53].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[53].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[53].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[53].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[53].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[53].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[53].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[53].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[53].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[53].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[53].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[53].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[53].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[53].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[53].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[53].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[53].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[53].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[53].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[53].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[53].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[53].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[53].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[53].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[53].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[53].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[53].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[5] ),
+    .Y(\B_0_0.WORD[53].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[54].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[54].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[54].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[54].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[54].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[54].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[54].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[54].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[54].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[54].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[54].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[54].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[54].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[54].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[54].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[54].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[54].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[54].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[54].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[54].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[54].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[54].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[54].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[54].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[54].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[54].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[54].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[54].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[54].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[54].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[6] ),
+    .Y(\B_0_0.WORD[54].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[54].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[54].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[54].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[54].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[54].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[54].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[54].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[54].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[54].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[54].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[54].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[54].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[54].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[54].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[54].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[54].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[54].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[54].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[54].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[54].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[54].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[54].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[54].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[54].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[54].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[54].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[54].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[54].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[54].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[54].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[6] ),
+    .Y(\B_0_0.WORD[54].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[54].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[54].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[54].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[54].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[54].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[54].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[54].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[54].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[54].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[54].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[54].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[54].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[54].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[54].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[54].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[54].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[54].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[54].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[54].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[54].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[54].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[54].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[54].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[54].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[54].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[54].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[54].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[54].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[54].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[54].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[6] ),
+    .Y(\B_0_0.WORD[54].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[54].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[54].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[54].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[54].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[54].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[54].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[54].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[54].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[54].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[54].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[54].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[54].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[54].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[54].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[54].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[54].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[54].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[54].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[54].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[54].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[54].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[54].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[54].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[54].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[54].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[54].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[54].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[54].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[54].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[54].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[54].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[54].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[6] ),
+    .Y(\B_0_0.WORD[54].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[55].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[55].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[55].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[55].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[55].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[55].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[55].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[55].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[55].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[55].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[55].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[55].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[55].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[55].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[55].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[55].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[55].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[55].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[55].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[55].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[55].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[55].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[55].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[55].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[55].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[55].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[55].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[55].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[55].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[55].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[7] ),
+    .Y(\B_0_0.WORD[55].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[55].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[55].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[55].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[55].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[55].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[55].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[55].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[55].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[55].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[55].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[55].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[55].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[55].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[55].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[55].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[55].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[55].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[55].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[55].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[55].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[55].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[55].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[55].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[55].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[55].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[55].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[55].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[55].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[55].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[55].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[7] ),
+    .Y(\B_0_0.WORD[55].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[55].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[55].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[55].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[55].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[55].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[55].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[55].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[55].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[55].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[55].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[55].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[55].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[55].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[55].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[55].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[55].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[55].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[55].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[55].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[55].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[55].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[55].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[55].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[55].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[55].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[55].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[55].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[55].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[55].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[55].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[7] ),
+    .Y(\B_0_0.WORD[55].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[55].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[55].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[55].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[55].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[55].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[55].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[55].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[55].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[55].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[55].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[55].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[55].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[55].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[55].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[55].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[55].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[55].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[55].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[55].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[55].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[55].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[55].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[55].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[55].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[55].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[55].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[55].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[55].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[55].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[55].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[55].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[55].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[6].U.SEL[7] ),
+    .Y(\B_0_0.WORD[55].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[56].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[56].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[56].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[56].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[56].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[56].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[56].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[56].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[56].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[56].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[56].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[56].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[56].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[56].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[56].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[56].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[56].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[56].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[56].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[56].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[56].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[56].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[56].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[56].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[56].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[56].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[56].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[56].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[56].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[56].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[0] ),
+    .Y(\B_0_0.WORD[56].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[56].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[56].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[56].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[56].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[56].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[56].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[56].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[56].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[56].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[56].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[56].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[56].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[56].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[56].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[56].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[56].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[56].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[56].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[56].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[56].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[56].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[56].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[56].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[56].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[56].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[56].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[56].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[56].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[56].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[56].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[0] ),
+    .Y(\B_0_0.WORD[56].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[56].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[56].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[56].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[56].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[56].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[56].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[56].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[56].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[56].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[56].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[56].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[56].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[56].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[56].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[56].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[56].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[56].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[56].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[56].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[56].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[56].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[56].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[56].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[56].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[56].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[56].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[56].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[56].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[56].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[56].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[0] ),
+    .Y(\B_0_0.WORD[56].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[56].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[56].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[56].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[56].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[56].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[56].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[56].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[56].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[56].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[56].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[56].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[56].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[56].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[56].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[56].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[56].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[56].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[56].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[56].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[56].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[56].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[56].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[56].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[56].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[56].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[56].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[56].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[56].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[56].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[56].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[56].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[56].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[0] ),
+    .Y(\B_0_0.WORD[56].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[57].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[57].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[57].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[57].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[57].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[57].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[57].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[57].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[57].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[57].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[57].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[57].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[57].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[57].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[57].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[57].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[57].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[57].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[57].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[57].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[57].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[57].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[57].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[57].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[57].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[57].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[57].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[57].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[57].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[57].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[1] ),
+    .Y(\B_0_0.WORD[57].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[57].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[57].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[57].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[57].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[57].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[57].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[57].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[57].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[57].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[57].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[57].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[57].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[57].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[57].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[57].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[57].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[57].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[57].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[57].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[57].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[57].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[57].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[57].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[57].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[57].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[57].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[57].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[57].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[57].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[57].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[1] ),
+    .Y(\B_0_0.WORD[57].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[57].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[57].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[57].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[57].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[57].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[57].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[57].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[57].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[57].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[57].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[57].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[57].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[57].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[57].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[57].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[57].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[57].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[57].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[57].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[57].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[57].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[57].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[57].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[57].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[57].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[57].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[57].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[57].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[57].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[57].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[1] ),
+    .Y(\B_0_0.WORD[57].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[57].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[57].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[57].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[57].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[57].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[57].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[57].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[57].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[57].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[57].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[57].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[57].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[57].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[57].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[57].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[57].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[57].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[57].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[57].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[57].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[57].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[57].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[57].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[57].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[57].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[57].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[57].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[57].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[57].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[57].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[57].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[57].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[1] ),
+    .Y(\B_0_0.WORD[57].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[58].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[58].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[58].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[58].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[58].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[58].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[58].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[58].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[58].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[58].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[58].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[58].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[58].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[58].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[58].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[58].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[58].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[58].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[58].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[58].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[58].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[58].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[58].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[58].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[58].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[58].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[58].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[58].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[58].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[58].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[2] ),
+    .Y(\B_0_0.WORD[58].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[58].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[58].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[58].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[58].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[58].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[58].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[58].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[58].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[58].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[58].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[58].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[58].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[58].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[58].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[58].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[58].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[58].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[58].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[58].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[58].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[58].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[58].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[58].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[58].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[58].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[58].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[58].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[58].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[58].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[58].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[2] ),
+    .Y(\B_0_0.WORD[58].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[58].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[58].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[58].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[58].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[58].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[58].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[58].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[58].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[58].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[58].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[58].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[58].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[58].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[58].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[58].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[58].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[58].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[58].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[58].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[58].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[58].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[58].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[58].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[58].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[58].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[58].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[58].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[58].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[58].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[58].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[2] ),
+    .Y(\B_0_0.WORD[58].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[58].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[58].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[58].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[58].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[58].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[58].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[58].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[58].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[58].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[58].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[58].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[58].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[58].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[58].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[58].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[58].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[58].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[58].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[58].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[58].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[58].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[58].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[58].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[58].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[58].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[58].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[58].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[58].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[58].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[58].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[2] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[58].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[58].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[2] ),
+    .Y(\B_0_0.WORD[58].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[59].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[59].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[59].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[59].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[59].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[59].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[59].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[59].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[59].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[59].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[59].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[59].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[59].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[59].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[59].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[59].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[59].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[59].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[59].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[59].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[59].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[59].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[59].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[59].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[59].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[59].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[59].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[59].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[59].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[59].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[3] ),
+    .Y(\B_0_0.WORD[59].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[59].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[59].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[59].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[59].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[59].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[59].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[59].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[59].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[59].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[59].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[59].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[59].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[59].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[59].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[59].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[59].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[59].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[59].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[59].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[59].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[59].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[59].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[59].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[59].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[59].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[59].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[59].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[59].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[59].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[59].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[3] ),
+    .Y(\B_0_0.WORD[59].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[59].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[59].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[59].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[59].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[59].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[59].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[59].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[59].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[59].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[59].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[59].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[59].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[59].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[59].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[59].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[59].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[59].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[59].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[59].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[59].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[59].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[59].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[59].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[59].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[59].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[59].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[59].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[59].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[59].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[59].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[3] ),
+    .Y(\B_0_0.WORD[59].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[59].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[59].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[59].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[59].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[59].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[59].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[59].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[59].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[59].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[59].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[59].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[59].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[59].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[59].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[59].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[59].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[59].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[59].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[59].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[59].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[59].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[59].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[59].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[59].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[59].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[59].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[59].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[59].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[59].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[59].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[3] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[59].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[59].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[3] ),
+    .Y(\B_0_0.WORD[59].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[5].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[5].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[5].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[5].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[5].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[5].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[5].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[5].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[5].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[5].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[5].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[5].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[5].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[5].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[5].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[5].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[5].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[5].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[5].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[5].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[5].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[5].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[5].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[5].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[5].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[5].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[5].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[5].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[5].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[5].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[5] ),
+    .Y(\B_0_0.WORD[5].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[5].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[5].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[5].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[5].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[5].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[5].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[5].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[5].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[5].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[5].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[5].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[5].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[5].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[5].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[5].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[5].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[5].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[5].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[5].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[5].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[5].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[5].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[5].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[5].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[5].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[5].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[5].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[5].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[5].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[5].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[5] ),
+    .Y(\B_0_0.WORD[5].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[5].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[5].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[5].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[5].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[5].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[5].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[5].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[5].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[5].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[5].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[5].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[5].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[5].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[5].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[5].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[5].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[5].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[5].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[5].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[5].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[5].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[5].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[5].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[5].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[5].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[5].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[5].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[5].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[5].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[5].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[5] ),
+    .Y(\B_0_0.WORD[5].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[5].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[5].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[5].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[5].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[5].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[5].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[5].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[5].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[5].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[5].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[5].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[5].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[5].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[5].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[5].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[5].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[5].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[5].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[5].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[5].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[5].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[5].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[5].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[5].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[5].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[5].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[5].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[5].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[5].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[5].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[5].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[5].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[5] ),
+    .Y(\B_0_0.WORD[5].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[60].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[60].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[60].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[60].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[60].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[60].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[60].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[60].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[60].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[60].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[60].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[60].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[60].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[60].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[60].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[60].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[60].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[60].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[60].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[60].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[60].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[60].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[60].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[60].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[60].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[60].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[60].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[60].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[60].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[60].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[4] ),
+    .Y(\B_0_0.WORD[60].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[60].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[60].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[60].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[60].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[60].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[60].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[60].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[60].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[60].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[60].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[60].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[60].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[60].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[60].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[60].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[60].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[60].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[60].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[60].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[60].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[60].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[60].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[60].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[60].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[60].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[60].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[60].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[60].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[60].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[60].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[4] ),
+    .Y(\B_0_0.WORD[60].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[60].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[60].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[60].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[60].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[60].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[60].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[60].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[60].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[60].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[60].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[60].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[60].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[60].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[60].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[60].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[60].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[60].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[60].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[60].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[60].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[60].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[60].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[60].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[60].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[60].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[60].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[60].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[60].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[60].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[60].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[4] ),
+    .Y(\B_0_0.WORD[60].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[60].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[60].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[60].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[60].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[60].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[60].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[60].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[60].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[60].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[60].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[60].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[60].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[60].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[60].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[60].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[60].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[60].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[60].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[60].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[60].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[60].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[60].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[60].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[60].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[60].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[60].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[60].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[60].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[60].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[60].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[4] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[60].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[60].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[4] ),
+    .Y(\B_0_0.WORD[60].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[61].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[61].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[61].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[61].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[61].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[61].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[61].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[61].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[61].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[61].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[61].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[61].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[61].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[61].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[61].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[61].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[61].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[61].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[61].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[61].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[61].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[61].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[61].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[61].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[61].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[61].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[61].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[61].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[61].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[61].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[5] ),
+    .Y(\B_0_0.WORD[61].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[61].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[61].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[61].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[61].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[61].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[61].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[61].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[61].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[61].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[61].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[61].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[61].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[61].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[61].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[61].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[61].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[61].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[61].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[61].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[61].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[61].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[61].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[61].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[61].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[61].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[61].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[61].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[61].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[61].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[61].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[5] ),
+    .Y(\B_0_0.WORD[61].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[61].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[61].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[61].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[61].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[61].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[61].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[61].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[61].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[61].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[61].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[61].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[61].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[61].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[61].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[61].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[61].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[61].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[61].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[61].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[61].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[61].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[61].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[61].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[61].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[61].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[61].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[61].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[61].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[61].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[61].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[5] ),
+    .Y(\B_0_0.WORD[61].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[61].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[61].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[61].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[61].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[61].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[61].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[61].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[61].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[61].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[61].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[61].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[61].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[61].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[61].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[61].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[61].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[61].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[61].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[61].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[61].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[61].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[61].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[61].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[61].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[61].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[61].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[61].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[61].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[61].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[61].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[5] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[61].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[61].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[5] ),
+    .Y(\B_0_0.WORD[61].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[62].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[62].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[62].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[62].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[62].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[62].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[62].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[62].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[62].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[62].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[62].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[62].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[62].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[62].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[62].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[62].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[62].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[62].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[62].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[62].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[62].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[62].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[62].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[62].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[62].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[62].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[62].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[62].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[62].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[62].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[6] ),
+    .Y(\B_0_0.WORD[62].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[62].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[62].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[62].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[62].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[62].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[62].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[62].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[62].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[62].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[62].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[62].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[62].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[62].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[62].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[62].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[62].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[62].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[62].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[62].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[62].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[62].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[62].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[62].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[62].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[62].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[62].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[62].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[62].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[62].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[62].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[6] ),
+    .Y(\B_0_0.WORD[62].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[62].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[62].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[62].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[62].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[62].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[62].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[62].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[62].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[62].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[62].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[62].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[62].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[62].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[62].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[62].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[62].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[62].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[62].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[62].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[62].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[62].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[62].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[62].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[62].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[62].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[62].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[62].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[62].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[62].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[62].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[6] ),
+    .Y(\B_0_0.WORD[62].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[62].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[62].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[62].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[62].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[62].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[62].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[62].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[62].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[62].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[62].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[62].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[62].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[62].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[62].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[62].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[62].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[62].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[62].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[62].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[62].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[62].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[62].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[62].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[62].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[62].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[62].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[62].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[62].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[62].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[62].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[62].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[62].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[6] ),
+    .Y(\B_0_0.WORD[62].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[63].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[63].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[63].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[63].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[63].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[63].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[63].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[63].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[63].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[63].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[63].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[63].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[63].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[63].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[63].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[63].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[63].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[63].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[63].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[63].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[63].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[63].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[63].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[63].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[63].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[63].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[63].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[63].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[63].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[63].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[7] ),
+    .Y(\B_0_0.WORD[63].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[63].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[63].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[63].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[63].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[63].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[63].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[63].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[63].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[63].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[63].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[63].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[63].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[63].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[63].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[63].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[63].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[63].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[63].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[63].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[63].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[63].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[63].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[63].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[63].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[63].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[63].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[63].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[63].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[63].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[63].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[7] ),
+    .Y(\B_0_0.WORD[63].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[63].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[63].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[63].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[63].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[63].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[63].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[63].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[63].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[63].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[63].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[63].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[63].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[63].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[63].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[63].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[63].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[63].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[63].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[63].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[63].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[63].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[63].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[63].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[63].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[63].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[63].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[63].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[63].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[63].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[63].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[7] ),
+    .Y(\B_0_0.WORD[63].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[63].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[63].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[63].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[63].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[63].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[63].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[63].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[63].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[63].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[63].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[63].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[63].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[63].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[63].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[63].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[63].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[63].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[63].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[63].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[63].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[63].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[63].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[63].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[63].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[63].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[63].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[63].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[63].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[63].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[63].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[63].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[63].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[7].U.SEL[7] ),
+    .Y(\B_0_0.WORD[63].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[6].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[6].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[6].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[6].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[6].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[6].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[6].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[6].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[6].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[6].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[6].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[6].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[6].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[6].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[6].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[6].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[6].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[6].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[6].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[6].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[6].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[6].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[6].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[6].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[6].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[6].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[6].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[6].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[6].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[6].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[6] ),
+    .Y(\B_0_0.WORD[6].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[6].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[6].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[6].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[6].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[6].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[6].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[6].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[6].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[6].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[6].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[6].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[6].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[6].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[6].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[6].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[6].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[6].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[6].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[6].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[6].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[6].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[6].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[6].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[6].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[6].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[6].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[6].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[6].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[6].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[6].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[6] ),
+    .Y(\B_0_0.WORD[6].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[6].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[6].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[6].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[6].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[6].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[6].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[6].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[6].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[6].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[6].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[6].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[6].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[6].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[6].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[6].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[6].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[6].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[6].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[6].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[6].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[6].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[6].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[6].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[6].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[6].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[6].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[6].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[6].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[6].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[6].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[6] ),
+    .Y(\B_0_0.WORD[6].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[6].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[6].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[6].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[6].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[6].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[6].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[6].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[6].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[6].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[6].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[6].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[6].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[6].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[6].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[6].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[6].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[6].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[6].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[6].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[6].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[6].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[6].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[6].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[6].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[6].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[6].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[6].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[6].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[6].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[6].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[6] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[6].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[6].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[6] ),
+    .Y(\B_0_0.WORD[6].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[7].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[7].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[7].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[7].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[7].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[7].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[7].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[7].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[7].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[7].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[7].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[7].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[7].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[7].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[7].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[7].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[7].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[7].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[7].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[7].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[7].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[7].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[7].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[7].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[7].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[7].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[7].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[7].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[7].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[7].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[7] ),
+    .Y(\B_0_0.WORD[7].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[7].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[7].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[7].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[7].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[7].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[7].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[7].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[7].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[7].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[7].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[7].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[7].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[7].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[7].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[7].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[7].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[7].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[7].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[7].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[7].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[7].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[7].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[7].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[7].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[7].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[7].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[7].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[7].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[7].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[7].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[7] ),
+    .Y(\B_0_0.WORD[7].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[7].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[7].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[7].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[7].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[7].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[7].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[7].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[7].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[7].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[7].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[7].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[7].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[7].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[7].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[7].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[7].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[7].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[7].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[7].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[7].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[7].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[7].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[7].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[7].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[7].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[7].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[7].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[7].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[7].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[7].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[7] ),
+    .Y(\B_0_0.WORD[7].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[7].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[7].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[7].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[7].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[7].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[7].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[7].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[7].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[7].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[7].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[7].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[7].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[7].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[7].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[7].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[7].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[7].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[7].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[7].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[7].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[7].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[7].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[7].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[7].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[7].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[7].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[7].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[7].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[7].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[7].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[7] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[7].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[7].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[0].U.SEL[7] ),
+    .Y(\B_0_0.WORD[7].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[8].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[8].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[8].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[8].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[8].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[8].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[8].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[8].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[8].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[8].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[8].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[8].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[8].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[8].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[8].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[8].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[8].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[8].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[8].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[8].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[8].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[8].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[8].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[8].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[8].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[8].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[8].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[8].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[8].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[8].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[0] ),
+    .Y(\B_0_0.WORD[8].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[8].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[8].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[8].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[8].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[8].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[8].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[8].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[8].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[8].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[8].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[8].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[8].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[8].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[8].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[8].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[8].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[8].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[8].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[8].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[8].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[8].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[8].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[8].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[8].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[8].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[8].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[8].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[8].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[8].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[8].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[0] ),
+    .Y(\B_0_0.WORD[8].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[8].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[8].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[8].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[8].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[8].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[8].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[8].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[8].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[8].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[8].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[8].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[8].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[8].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[8].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[8].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[8].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[8].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[8].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[8].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[8].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[8].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[8].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[8].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[8].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[8].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[8].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[8].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[8].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[8].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[8].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[0] ),
+    .Y(\B_0_0.WORD[8].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[8].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[8].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[8].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[8].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[8].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[8].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[8].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[8].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[8].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[8].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[8].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[8].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[8].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[8].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[8].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[8].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[8].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[8].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[8].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[8].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[8].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[8].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[8].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[8].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[8].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[8].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[8].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[8].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[8].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[8].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[0] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[8].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[8].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[0] ),
+    .Y(\B_0_0.WORD[8].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B0.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[9].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[0] ),
+    .Q(\B_0_0.WORD[9].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B0.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[9].W.B0.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B0.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[9].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[1] ),
+    .Q(\B_0_0.WORD[9].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B0.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[9].W.B0.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B0.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[9].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[2] ),
+    .Q(\B_0_0.WORD[9].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B0.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[9].W.B0.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B0.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[9].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[3] ),
+    .Q(\B_0_0.WORD[9].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B0.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[9].W.B0.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B0.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[9].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[4] ),
+    .Q(\B_0_0.WORD[9].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B0.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[9].W.B0.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B0.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[9].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[5] ),
+    .Q(\B_0_0.WORD[9].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B0.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[9].W.B0.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B0.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[9].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[6] ),
+    .Q(\B_0_0.WORD[9].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B0.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[9].W.B0.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B0.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[9].W.B0.GCLK ),
+    .D(\B_0_0.Di_buf[7] ),
+    .Q(\B_0_0.WORD[9].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B0.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[9].W.B0.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_0.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[9].W.B0.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[9].W.B0.we_wire ),
+    .GCLK(\B_0_0.WORD[9].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[9].W.B0.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[0] ),
+    .X(\B_0_0.WORD[9].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[9].W.B0.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[1] ),
+    .Y(\B_0_0.WORD[9].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B1.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[9].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[8] ),
+    .Q(\B_0_0.WORD[9].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B1.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[9].W.B1.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B1.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[9].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[9] ),
+    .Q(\B_0_0.WORD[9].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B1.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[9].W.B1.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B1.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[9].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[10] ),
+    .Q(\B_0_0.WORD[9].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B1.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[9].W.B1.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B1.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[9].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[11] ),
+    .Q(\B_0_0.WORD[9].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B1.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[9].W.B1.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B1.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[9].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[12] ),
+    .Q(\B_0_0.WORD[9].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B1.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[9].W.B1.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B1.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[9].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[13] ),
+    .Q(\B_0_0.WORD[9].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B1.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[9].W.B1.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B1.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[9].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[14] ),
+    .Q(\B_0_0.WORD[9].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B1.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[9].W.B1.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B1.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[9].W.B1.GCLK ),
+    .D(\B_0_0.Di_buf[15] ),
+    .Q(\B_0_0.WORD[9].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B1.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[9].W.B1.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_0.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[9].W.B1.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[9].W.B1.we_wire ),
+    .GCLK(\B_0_0.WORD[9].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[9].W.B1.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[1] ),
+    .X(\B_0_0.WORD[9].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[9].W.B1.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[1] ),
+    .Y(\B_0_0.WORD[9].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B2.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[9].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[16] ),
+    .Q(\B_0_0.WORD[9].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B2.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[9].W.B2.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B2.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[9].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[17] ),
+    .Q(\B_0_0.WORD[9].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B2.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[9].W.B2.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B2.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[9].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[18] ),
+    .Q(\B_0_0.WORD[9].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B2.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[9].W.B2.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B2.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[9].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[19] ),
+    .Q(\B_0_0.WORD[9].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B2.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[9].W.B2.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B2.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[9].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[20] ),
+    .Q(\B_0_0.WORD[9].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B2.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[9].W.B2.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B2.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[9].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[21] ),
+    .Q(\B_0_0.WORD[9].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B2.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[9].W.B2.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B2.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[9].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[22] ),
+    .Q(\B_0_0.WORD[9].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B2.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[9].W.B2.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B2.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[9].W.B2.GCLK ),
+    .D(\B_0_0.Di_buf[23] ),
+    .Q(\B_0_0.WORD[9].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B2.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[9].W.B2.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_0.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[9].W.B2.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[9].W.B2.we_wire ),
+    .GCLK(\B_0_0.WORD[9].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[9].W.B2.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[2] ),
+    .X(\B_0_0.WORD[9].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[9].W.B2.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[1] ),
+    .Y(\B_0_0.WORD[9].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B3.BIT[0].FF  (
+    .CLK(\B_0_0.WORD[9].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[24] ),
+    .Q(\B_0_0.WORD[9].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B3.BIT[0].OBUF  (
+    .A(\B_0_0.WORD[9].W.B3.q_wire[0] ),
+    .TE_B(\B_0_0.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B3.BIT[1].FF  (
+    .CLK(\B_0_0.WORD[9].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[25] ),
+    .Q(\B_0_0.WORD[9].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B3.BIT[1].OBUF  (
+    .A(\B_0_0.WORD[9].W.B3.q_wire[1] ),
+    .TE_B(\B_0_0.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B3.BIT[2].FF  (
+    .CLK(\B_0_0.WORD[9].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[26] ),
+    .Q(\B_0_0.WORD[9].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B3.BIT[2].OBUF  (
+    .A(\B_0_0.WORD[9].W.B3.q_wire[2] ),
+    .TE_B(\B_0_0.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B3.BIT[3].FF  (
+    .CLK(\B_0_0.WORD[9].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[27] ),
+    .Q(\B_0_0.WORD[9].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B3.BIT[3].OBUF  (
+    .A(\B_0_0.WORD[9].W.B3.q_wire[3] ),
+    .TE_B(\B_0_0.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B3.BIT[4].FF  (
+    .CLK(\B_0_0.WORD[9].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[28] ),
+    .Q(\B_0_0.WORD[9].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B3.BIT[4].OBUF  (
+    .A(\B_0_0.WORD[9].W.B3.q_wire[4] ),
+    .TE_B(\B_0_0.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B3.BIT[5].FF  (
+    .CLK(\B_0_0.WORD[9].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[29] ),
+    .Q(\B_0_0.WORD[9].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B3.BIT[5].OBUF  (
+    .A(\B_0_0.WORD[9].W.B3.q_wire[5] ),
+    .TE_B(\B_0_0.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B3.BIT[6].FF  (
+    .CLK(\B_0_0.WORD[9].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[30] ),
+    .Q(\B_0_0.WORD[9].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B3.BIT[6].OBUF  (
+    .A(\B_0_0.WORD[9].W.B3.q_wire[6] ),
+    .TE_B(\B_0_0.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_0.WORD[9].W.B3.BIT[7].FF  (
+    .CLK(\B_0_0.WORD[9].W.B3.GCLK ),
+    .D(\B_0_0.Di_buf[31] ),
+    .Q(\B_0_0.WORD[9].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_0.WORD[9].W.B3.BIT[7].OBUF  (
+    .A(\B_0_0.WORD[9].W.B3.q_wire[7] ),
+    .TE_B(\B_0_0.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_0.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_0.WORD[9].W.B3.CG  (
+    .CLK(\B_0_0.CLK_buf ),
+    .GATE(\B_0_0.WORD[9].W.B3.we_wire ),
+    .GCLK(\B_0_0.WORD[9].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_0.WORD[9].W.B3.CGAND  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[1] ),
+    .B(\B_0_0.WE_buf[3] ),
+    .X(\B_0_0.WORD[9].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_0.WORD[9].W.B3.INV  (
+    .A(\B_0_0.DEC.DEC_L1[1].U.SEL[1] ),
+    .Y(\B_0_0.WORD[9].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.CLKBUF  (
+    .A(\B_0_0.CLK ),
+    .X(\B_0_1.CLK_buf )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_1.DEC.DEC_L0.AND0  (
+    .A(A[3]),
+    .B(A[4]),
+    .C(A[5]),
+    .D_N(\B_0_1.DEC.DEC_L0.EN ),
+    .Y(\B_0_1.DEC.DEC_L0.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L0.AND1  (
+    .A_N(A[5]),
+    .B_N(A[4]),
+    .C(A[3]),
+    .D(\B_0_1.DEC.DEC_L0.EN ),
+    .X(\B_0_1.DEC.DEC_L0.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L0.AND2  (
+    .A_N(A[5]),
+    .B_N(A[3]),
+    .C(A[4]),
+    .D(\B_0_1.DEC.DEC_L0.EN ),
+    .X(\B_0_1.DEC.DEC_L0.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L0.AND3  (
+    .A_N(A[5]),
+    .B(A[4]),
+    .C(A[3]),
+    .D(\B_0_1.DEC.DEC_L0.EN ),
+    .X(\B_0_1.DEC.DEC_L0.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L0.AND4  (
+    .A_N(A[3]),
+    .B_N(A[4]),
+    .C(A[5]),
+    .D(\B_0_1.DEC.DEC_L0.EN ),
+    .X(\B_0_1.DEC.DEC_L0.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L0.AND5  (
+    .A_N(A[4]),
+    .B(A[3]),
+    .C(A[5]),
+    .D(\B_0_1.DEC.DEC_L0.EN ),
+    .X(\B_0_1.DEC.DEC_L0.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L0.AND6  (
+    .A_N(A[3]),
+    .B(A[4]),
+    .C(A[5]),
+    .D(\B_0_1.DEC.DEC_L0.EN ),
+    .X(\B_0_1.DEC.DEC_L0.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_1.DEC.DEC_L0.AND7  (
+    .A(A[3]),
+    .B(A[4]),
+    .C(A[5]),
+    .D(\B_0_1.DEC.DEC_L0.EN ),
+    .X(\B_0_1.DEC.DEC_L0.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_1.DEC.DEC_L1[0].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_1.DEC.DEC_L0.SEL[0] ),
+    .Y(\B_0_1.DEC.DEC_L1[0].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[0].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_1.DEC.DEC_L1[0].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[0].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_1.DEC.DEC_L1[0].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[0].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_1.DEC.DEC_L1[0].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[0].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_1.DEC.DEC_L1[0].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[0].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_1.DEC.DEC_L1[0].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[0].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_1.DEC.DEC_L1[0].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_1.DEC.DEC_L1[0].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_1.DEC.DEC_L1[0].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_1.DEC.DEC_L1[1].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_1.DEC.DEC_L0.SEL[1] ),
+    .Y(\B_0_1.DEC.DEC_L1[1].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[1].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_1.DEC.DEC_L1[1].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[1].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_1.DEC.DEC_L1[1].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[1].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_1.DEC.DEC_L1[1].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[1].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_1.DEC.DEC_L1[1].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[1].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_1.DEC.DEC_L1[1].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[1].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_1.DEC.DEC_L1[1].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_1.DEC.DEC_L1[1].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_1.DEC.DEC_L1[1].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_1.DEC.DEC_L1[2].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_1.DEC.DEC_L0.SEL[2] ),
+    .Y(\B_0_1.DEC.DEC_L1[2].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[2].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_1.DEC.DEC_L1[2].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[2].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_1.DEC.DEC_L1[2].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[2].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_1.DEC.DEC_L1[2].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[2].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_1.DEC.DEC_L1[2].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[2].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_1.DEC.DEC_L1[2].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[2].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_1.DEC.DEC_L1[2].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_1.DEC.DEC_L1[2].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_1.DEC.DEC_L1[2].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_1.DEC.DEC_L1[3].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_1.DEC.DEC_L0.SEL[3] ),
+    .Y(\B_0_1.DEC.DEC_L1[3].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[3].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_1.DEC.DEC_L1[3].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[3].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_1.DEC.DEC_L1[3].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[3].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_1.DEC.DEC_L1[3].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[3].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_1.DEC.DEC_L1[3].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[3].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_1.DEC.DEC_L1[3].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[3].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_1.DEC.DEC_L1[3].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_1.DEC.DEC_L1[3].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_1.DEC.DEC_L1[3].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_1.DEC.DEC_L1[4].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_1.DEC.DEC_L0.SEL[4] ),
+    .Y(\B_0_1.DEC.DEC_L1[4].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[4].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_1.DEC.DEC_L1[4].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[4].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_1.DEC.DEC_L1[4].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[4].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_1.DEC.DEC_L1[4].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[4].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_1.DEC.DEC_L1[4].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[4].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_1.DEC.DEC_L1[4].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[4].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_1.DEC.DEC_L1[4].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_1.DEC.DEC_L1[4].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_1.DEC.DEC_L1[4].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_1.DEC.DEC_L1[5].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_1.DEC.DEC_L0.SEL[5] ),
+    .Y(\B_0_1.DEC.DEC_L1[5].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[5].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_1.DEC.DEC_L1[5].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[5].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_1.DEC.DEC_L1[5].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[5].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_1.DEC.DEC_L1[5].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[5].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_1.DEC.DEC_L1[5].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[5].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_1.DEC.DEC_L1[5].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[5].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_1.DEC.DEC_L1[5].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_1.DEC.DEC_L1[5].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_1.DEC.DEC_L1[5].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_1.DEC.DEC_L1[6].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_1.DEC.DEC_L0.SEL[6] ),
+    .Y(\B_0_1.DEC.DEC_L1[6].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[6].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_1.DEC.DEC_L1[6].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[6].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_1.DEC.DEC_L1[6].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[6].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_1.DEC.DEC_L1[6].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[6].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_1.DEC.DEC_L1[6].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[6].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_1.DEC.DEC_L1[6].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[6].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_1.DEC.DEC_L1[6].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_1.DEC.DEC_L1[6].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_1.DEC.DEC_L1[6].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_1.DEC.DEC_L1[7].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_1.DEC.DEC_L0.SEL[7] ),
+    .Y(\B_0_1.DEC.DEC_L1[7].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[7].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_1.DEC.DEC_L1[7].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[7].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_1.DEC.DEC_L1[7].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[7].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_1.DEC.DEC_L1[7].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_1.DEC.DEC_L1[7].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_1.DEC.DEC_L1[7].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[7].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_1.DEC.DEC_L1[7].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_1.DEC.DEC_L1[7].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_1.DEC.DEC_L1[7].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_1.DEC.DEC_L1[7].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_1.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_1.DEC.DEC_L1[7].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[0]  (
+    .A(\B_0_0.Di[0] ),
+    .X(\B_0_1.Di_buf[0] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[10]  (
+    .A(\B_0_0.Di[10] ),
+    .X(\B_0_1.Di_buf[10] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[11]  (
+    .A(\B_0_0.Di[11] ),
+    .X(\B_0_1.Di_buf[11] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[12]  (
+    .A(\B_0_0.Di[12] ),
+    .X(\B_0_1.Di_buf[12] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[13]  (
+    .A(\B_0_0.Di[13] ),
+    .X(\B_0_1.Di_buf[13] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[14]  (
+    .A(\B_0_0.Di[14] ),
+    .X(\B_0_1.Di_buf[14] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[15]  (
+    .A(\B_0_0.Di[15] ),
+    .X(\B_0_1.Di_buf[15] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[16]  (
+    .A(\B_0_0.Di[16] ),
+    .X(\B_0_1.Di_buf[16] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[17]  (
+    .A(\B_0_0.Di[17] ),
+    .X(\B_0_1.Di_buf[17] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[18]  (
+    .A(\B_0_0.Di[18] ),
+    .X(\B_0_1.Di_buf[18] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[19]  (
+    .A(\B_0_0.Di[19] ),
+    .X(\B_0_1.Di_buf[19] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[1]  (
+    .A(\B_0_0.Di[1] ),
+    .X(\B_0_1.Di_buf[1] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[20]  (
+    .A(\B_0_0.Di[20] ),
+    .X(\B_0_1.Di_buf[20] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[21]  (
+    .A(\B_0_0.Di[21] ),
+    .X(\B_0_1.Di_buf[21] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[22]  (
+    .A(\B_0_0.Di[22] ),
+    .X(\B_0_1.Di_buf[22] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[23]  (
+    .A(\B_0_0.Di[23] ),
+    .X(\B_0_1.Di_buf[23] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[24]  (
+    .A(\B_0_0.Di[24] ),
+    .X(\B_0_1.Di_buf[24] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[25]  (
+    .A(\B_0_0.Di[25] ),
+    .X(\B_0_1.Di_buf[25] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[26]  (
+    .A(\B_0_0.Di[26] ),
+    .X(\B_0_1.Di_buf[26] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[27]  (
+    .A(\B_0_0.Di[27] ),
+    .X(\B_0_1.Di_buf[27] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[28]  (
+    .A(\B_0_0.Di[28] ),
+    .X(\B_0_1.Di_buf[28] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[29]  (
+    .A(\B_0_0.Di[29] ),
+    .X(\B_0_1.Di_buf[29] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[2]  (
+    .A(\B_0_0.Di[2] ),
+    .X(\B_0_1.Di_buf[2] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[30]  (
+    .A(\B_0_0.Di[30] ),
+    .X(\B_0_1.Di_buf[30] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[31]  (
+    .A(\B_0_0.Di[31] ),
+    .X(\B_0_1.Di_buf[31] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[3]  (
+    .A(\B_0_0.Di[3] ),
+    .X(\B_0_1.Di_buf[3] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[4]  (
+    .A(\B_0_0.Di[4] ),
+    .X(\B_0_1.Di_buf[4] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[5]  (
+    .A(\B_0_0.Di[5] ),
+    .X(\B_0_1.Di_buf[5] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[6]  (
+    .A(\B_0_0.Di[6] ),
+    .X(\B_0_1.Di_buf[6] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[7]  (
+    .A(\B_0_0.Di[7] ),
+    .X(\B_0_1.Di_buf[7] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[8]  (
+    .A(\B_0_0.Di[8] ),
+    .X(\B_0_1.Di_buf[8] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.DIBUF[9]  (
+    .A(\B_0_0.Di[9] ),
+    .X(\B_0_1.Di_buf[9] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[0]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[10]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[11]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[12]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[13]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[14]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[15]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[16]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[17]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[18]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[19]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[1]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[20]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[21]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[22]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[23]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[24]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[25]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[26]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[27]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[28]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[29]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[2]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[30]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[31]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[3]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[4]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[5]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[6]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[7]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[8]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_1.FLOATBUF[9]  (
+    .A(\B_0_1.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_1.DEC.DEC_L0.EN ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[0].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[0] ),
+    .Q(\B_0_1.Do[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[10].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[10] ),
+    .Q(\B_0_1.Do[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[11].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[11] ),
+    .Q(\B_0_1.Do[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[12].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[12] ),
+    .Q(\B_0_1.Do[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[13].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[13] ),
+    .Q(\B_0_1.Do[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[14].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[14] ),
+    .Q(\B_0_1.Do[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[15].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[15] ),
+    .Q(\B_0_1.Do[15] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[16].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[16] ),
+    .Q(\B_0_1.Do[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[17].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[17] ),
+    .Q(\B_0_1.Do[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[18].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[18] ),
+    .Q(\B_0_1.Do[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[19].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[19] ),
+    .Q(\B_0_1.Do[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[1].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[1] ),
+    .Q(\B_0_1.Do[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[20].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[20] ),
+    .Q(\B_0_1.Do[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[21].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[21] ),
+    .Q(\B_0_1.Do[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[22].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[22] ),
+    .Q(\B_0_1.Do[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[23].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[23] ),
+    .Q(\B_0_1.Do[23] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[24].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[24] ),
+    .Q(\B_0_1.Do[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[25].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[25] ),
+    .Q(\B_0_1.Do[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[26].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[26] ),
+    .Q(\B_0_1.Do[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[27].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[27] ),
+    .Q(\B_0_1.Do[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[28].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[28] ),
+    .Q(\B_0_1.Do[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[29].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[29] ),
+    .Q(\B_0_1.Do[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[2].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[2] ),
+    .Q(\B_0_1.Do[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[30].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[30] ),
+    .Q(\B_0_1.Do[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[31].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[31] ),
+    .Q(\B_0_1.Do[31] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[3].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[3] ),
+    .Q(\B_0_1.Do[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[4].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[4] ),
+    .Q(\B_0_1.Do[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[5].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[5] ),
+    .Q(\B_0_1.Do[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[6].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[6] ),
+    .Q(\B_0_1.Do[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[7].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[7] ),
+    .Q(\B_0_1.Do[7] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[8].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[8] ),
+    .Q(\B_0_1.Do[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.OUT[9].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_1.Do_pre[9] ),
+    .Q(\B_0_1.Do[9] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.WEBUF[0]  (
+    .A(\B_0_0.WE[0] ),
+    .X(\B_0_1.WE_buf[0] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.WEBUF[1]  (
+    .A(\B_0_0.WE[1] ),
+    .X(\B_0_1.WE_buf[1] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.WEBUF[2]  (
+    .A(\B_0_0.WE[2] ),
+    .X(\B_0_1.WE_buf[2] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_1.WEBUF[3]  (
+    .A(\B_0_0.WE[3] ),
+    .X(\B_0_1.WE_buf[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[0].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[0].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[0].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[0].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[0].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[0].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[0].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[0].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[0].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[0].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[0].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[0].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[0].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[0].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[0].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[0].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[0].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[0].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[0].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[0].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[0].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[0].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[0].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[0].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[0].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[0].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[0].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[0].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[0].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[0].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[0] ),
+    .Y(\B_0_1.WORD[0].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[0].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[0].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[0].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[0].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[0].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[0].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[0].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[0].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[0].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[0].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[0].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[0].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[0].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[0].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[0].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[0].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[0].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[0].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[0].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[0].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[0].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[0].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[0].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[0].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[0].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[0].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[0].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[0].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[0].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[0].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[0] ),
+    .Y(\B_0_1.WORD[0].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[0].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[0].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[0].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[0].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[0].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[0].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[0].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[0].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[0].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[0].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[0].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[0].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[0].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[0].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[0].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[0].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[0].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[0].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[0].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[0].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[0].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[0].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[0].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[0].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[0].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[0].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[0].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[0].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[0].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[0].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[0] ),
+    .Y(\B_0_1.WORD[0].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[0].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[0].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[0].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[0].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[0].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[0].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[0].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[0].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[0].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[0].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[0].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[0].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[0].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[0].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[0].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[0].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[0].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[0].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[0].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[0].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[0].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[0].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[0].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[0].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[0].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[0].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[0].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[0].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[0].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[0].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[0].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[0].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[0] ),
+    .Y(\B_0_1.WORD[0].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[10].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[10].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[10].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[10].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[10].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[10].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[10].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[10].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[10].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[10].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[10].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[10].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[10].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[10].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[10].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[10].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[10].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[10].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[10].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[10].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[10].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[10].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[10].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[10].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[10].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[10].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[10].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[10].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[10].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[10].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[2] ),
+    .Y(\B_0_1.WORD[10].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[10].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[10].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[10].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[10].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[10].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[10].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[10].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[10].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[10].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[10].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[10].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[10].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[10].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[10].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[10].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[10].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[10].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[10].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[10].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[10].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[10].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[10].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[10].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[10].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[10].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[10].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[10].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[10].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[10].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[10].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[2] ),
+    .Y(\B_0_1.WORD[10].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[10].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[10].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[10].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[10].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[10].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[10].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[10].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[10].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[10].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[10].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[10].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[10].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[10].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[10].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[10].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[10].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[10].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[10].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[10].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[10].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[10].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[10].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[10].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[10].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[10].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[10].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[10].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[10].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[10].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[10].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[2] ),
+    .Y(\B_0_1.WORD[10].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[10].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[10].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[10].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[10].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[10].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[10].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[10].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[10].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[10].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[10].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[10].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[10].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[10].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[10].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[10].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[10].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[10].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[10].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[10].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[10].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[10].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[10].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[10].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[10].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[10].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[10].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[10].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[10].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[10].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[10].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[10].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[10].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[2] ),
+    .Y(\B_0_1.WORD[10].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[11].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[11].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[11].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[11].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[11].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[11].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[11].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[11].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[11].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[11].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[11].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[11].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[11].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[11].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[11].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[11].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[11].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[11].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[11].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[11].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[11].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[11].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[11].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[11].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[11].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[11].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[11].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[11].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[11].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[11].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[3] ),
+    .Y(\B_0_1.WORD[11].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[11].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[11].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[11].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[11].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[11].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[11].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[11].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[11].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[11].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[11].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[11].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[11].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[11].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[11].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[11].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[11].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[11].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[11].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[11].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[11].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[11].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[11].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[11].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[11].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[11].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[11].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[11].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[11].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[11].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[11].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[3] ),
+    .Y(\B_0_1.WORD[11].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[11].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[11].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[11].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[11].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[11].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[11].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[11].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[11].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[11].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[11].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[11].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[11].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[11].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[11].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[11].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[11].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[11].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[11].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[11].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[11].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[11].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[11].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[11].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[11].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[11].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[11].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[11].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[11].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[11].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[11].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[3] ),
+    .Y(\B_0_1.WORD[11].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[11].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[11].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[11].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[11].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[11].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[11].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[11].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[11].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[11].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[11].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[11].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[11].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[11].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[11].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[11].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[11].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[11].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[11].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[11].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[11].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[11].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[11].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[11].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[11].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[11].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[11].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[11].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[11].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[11].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[11].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[11].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[11].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[3] ),
+    .Y(\B_0_1.WORD[11].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[12].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[12].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[12].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[12].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[12].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[12].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[12].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[12].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[12].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[12].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[12].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[12].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[12].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[12].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[12].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[12].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[12].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[12].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[12].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[12].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[12].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[12].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[12].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[12].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[12].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[12].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[12].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[12].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[12].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[12].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[4] ),
+    .Y(\B_0_1.WORD[12].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[12].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[12].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[12].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[12].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[12].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[12].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[12].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[12].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[12].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[12].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[12].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[12].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[12].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[12].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[12].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[12].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[12].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[12].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[12].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[12].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[12].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[12].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[12].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[12].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[12].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[12].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[12].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[12].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[12].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[12].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[4] ),
+    .Y(\B_0_1.WORD[12].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[12].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[12].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[12].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[12].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[12].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[12].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[12].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[12].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[12].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[12].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[12].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[12].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[12].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[12].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[12].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[12].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[12].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[12].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[12].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[12].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[12].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[12].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[12].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[12].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[12].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[12].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[12].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[12].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[12].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[12].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[4] ),
+    .Y(\B_0_1.WORD[12].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[12].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[12].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[12].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[12].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[12].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[12].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[12].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[12].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[12].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[12].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[12].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[12].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[12].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[12].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[12].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[12].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[12].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[12].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[12].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[12].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[12].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[12].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[12].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[12].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[12].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[12].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[12].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[12].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[12].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[12].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[12].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[12].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[4] ),
+    .Y(\B_0_1.WORD[12].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[13].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[13].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[13].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[13].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[13].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[13].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[13].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[13].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[13].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[13].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[13].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[13].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[13].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[13].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[13].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[13].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[13].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[13].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[13].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[13].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[13].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[13].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[13].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[13].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[13].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[13].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[13].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[13].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[13].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[13].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[5] ),
+    .Y(\B_0_1.WORD[13].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[13].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[13].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[13].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[13].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[13].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[13].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[13].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[13].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[13].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[13].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[13].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[13].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[13].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[13].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[13].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[13].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[13].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[13].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[13].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[13].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[13].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[13].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[13].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[13].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[13].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[13].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[13].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[13].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[13].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[13].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[5] ),
+    .Y(\B_0_1.WORD[13].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[13].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[13].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[13].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[13].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[13].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[13].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[13].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[13].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[13].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[13].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[13].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[13].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[13].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[13].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[13].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[13].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[13].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[13].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[13].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[13].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[13].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[13].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[13].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[13].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[13].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[13].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[13].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[13].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[13].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[13].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[5] ),
+    .Y(\B_0_1.WORD[13].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[13].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[13].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[13].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[13].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[13].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[13].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[13].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[13].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[13].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[13].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[13].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[13].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[13].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[13].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[13].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[13].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[13].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[13].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[13].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[13].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[13].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[13].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[13].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[13].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[13].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[13].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[13].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[13].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[13].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[13].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[13].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[13].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[5] ),
+    .Y(\B_0_1.WORD[13].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[14].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[14].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[14].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[14].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[14].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[14].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[14].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[14].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[14].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[14].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[14].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[14].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[14].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[14].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[14].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[14].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[14].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[14].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[14].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[14].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[14].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[14].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[14].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[14].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[14].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[14].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[14].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[14].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[14].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[14].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[6] ),
+    .Y(\B_0_1.WORD[14].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[14].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[14].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[14].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[14].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[14].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[14].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[14].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[14].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[14].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[14].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[14].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[14].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[14].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[14].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[14].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[14].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[14].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[14].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[14].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[14].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[14].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[14].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[14].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[14].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[14].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[14].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[14].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[14].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[14].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[14].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[6] ),
+    .Y(\B_0_1.WORD[14].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[14].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[14].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[14].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[14].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[14].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[14].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[14].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[14].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[14].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[14].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[14].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[14].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[14].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[14].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[14].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[14].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[14].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[14].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[14].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[14].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[14].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[14].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[14].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[14].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[14].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[14].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[14].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[14].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[14].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[14].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[6] ),
+    .Y(\B_0_1.WORD[14].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[14].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[14].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[14].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[14].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[14].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[14].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[14].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[14].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[14].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[14].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[14].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[14].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[14].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[14].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[14].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[14].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[14].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[14].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[14].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[14].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[14].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[14].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[14].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[14].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[14].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[14].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[14].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[14].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[14].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[14].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[14].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[14].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[6] ),
+    .Y(\B_0_1.WORD[14].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[15].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[15].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[15].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[15].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[15].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[15].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[15].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[15].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[15].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[15].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[15].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[15].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[15].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[15].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[15].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[15].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[15].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[15].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[15].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[15].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[15].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[15].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[15].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[15].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[15].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[15].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[15].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[15].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[15].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[15].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[7] ),
+    .Y(\B_0_1.WORD[15].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[15].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[15].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[15].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[15].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[15].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[15].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[15].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[15].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[15].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[15].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[15].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[15].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[15].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[15].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[15].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[15].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[15].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[15].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[15].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[15].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[15].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[15].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[15].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[15].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[15].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[15].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[15].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[15].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[15].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[15].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[7] ),
+    .Y(\B_0_1.WORD[15].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[15].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[15].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[15].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[15].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[15].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[15].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[15].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[15].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[15].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[15].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[15].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[15].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[15].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[15].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[15].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[15].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[15].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[15].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[15].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[15].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[15].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[15].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[15].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[15].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[15].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[15].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[15].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[15].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[15].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[15].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[7] ),
+    .Y(\B_0_1.WORD[15].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[15].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[15].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[15].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[15].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[15].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[15].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[15].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[15].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[15].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[15].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[15].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[15].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[15].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[15].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[15].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[15].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[15].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[15].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[15].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[15].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[15].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[15].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[15].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[15].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[15].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[15].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[15].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[15].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[15].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[15].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[15].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[15].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[7] ),
+    .Y(\B_0_1.WORD[15].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[16].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[16].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[16].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[16].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[16].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[16].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[16].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[16].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[16].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[16].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[16].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[16].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[16].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[16].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[16].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[16].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[16].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[16].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[16].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[16].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[16].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[16].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[16].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[16].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[16].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[16].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[16].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[16].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[16].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[16].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[0] ),
+    .Y(\B_0_1.WORD[16].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[16].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[16].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[16].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[16].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[16].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[16].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[16].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[16].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[16].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[16].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[16].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[16].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[16].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[16].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[16].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[16].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[16].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[16].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[16].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[16].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[16].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[16].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[16].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[16].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[16].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[16].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[16].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[16].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[16].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[16].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[0] ),
+    .Y(\B_0_1.WORD[16].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[16].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[16].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[16].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[16].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[16].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[16].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[16].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[16].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[16].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[16].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[16].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[16].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[16].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[16].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[16].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[16].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[16].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[16].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[16].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[16].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[16].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[16].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[16].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[16].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[16].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[16].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[16].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[16].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[16].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[16].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[0] ),
+    .Y(\B_0_1.WORD[16].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[16].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[16].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[16].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[16].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[16].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[16].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[16].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[16].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[16].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[16].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[16].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[16].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[16].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[16].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[16].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[16].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[16].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[16].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[16].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[16].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[16].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[16].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[16].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[16].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[16].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[16].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[16].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[16].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[16].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[16].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[16].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[16].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[0] ),
+    .Y(\B_0_1.WORD[16].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[17].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[17].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[17].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[17].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[17].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[17].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[17].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[17].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[17].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[17].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[17].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[17].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[17].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[17].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[17].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[17].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[17].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[17].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[17].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[17].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[17].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[17].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[17].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[17].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[17].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[17].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[17].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[17].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[17].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[17].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[1] ),
+    .Y(\B_0_1.WORD[17].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[17].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[17].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[17].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[17].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[17].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[17].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[17].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[17].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[17].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[17].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[17].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[17].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[17].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[17].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[17].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[17].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[17].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[17].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[17].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[17].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[17].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[17].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[17].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[17].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[17].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[17].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[17].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[17].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[17].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[17].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[1] ),
+    .Y(\B_0_1.WORD[17].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[17].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[17].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[17].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[17].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[17].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[17].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[17].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[17].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[17].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[17].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[17].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[17].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[17].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[17].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[17].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[17].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[17].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[17].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[17].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[17].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[17].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[17].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[17].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[17].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[17].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[17].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[17].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[17].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[17].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[17].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[1] ),
+    .Y(\B_0_1.WORD[17].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[17].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[17].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[17].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[17].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[17].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[17].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[17].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[17].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[17].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[17].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[17].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[17].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[17].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[17].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[17].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[17].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[17].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[17].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[17].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[17].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[17].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[17].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[17].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[17].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[17].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[17].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[17].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[17].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[17].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[17].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[17].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[17].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[1] ),
+    .Y(\B_0_1.WORD[17].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[18].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[18].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[18].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[18].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[18].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[18].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[18].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[18].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[18].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[18].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[18].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[18].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[18].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[18].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[18].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[18].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[18].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[18].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[18].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[18].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[18].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[18].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[18].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[18].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[18].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[18].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[18].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[18].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[18].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[18].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[2] ),
+    .Y(\B_0_1.WORD[18].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[18].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[18].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[18].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[18].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[18].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[18].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[18].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[18].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[18].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[18].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[18].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[18].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[18].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[18].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[18].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[18].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[18].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[18].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[18].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[18].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[18].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[18].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[18].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[18].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[18].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[18].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[18].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[18].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[18].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[18].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[2] ),
+    .Y(\B_0_1.WORD[18].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[18].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[18].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[18].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[18].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[18].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[18].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[18].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[18].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[18].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[18].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[18].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[18].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[18].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[18].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[18].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[18].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[18].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[18].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[18].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[18].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[18].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[18].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[18].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[18].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[18].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[18].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[18].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[18].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[18].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[18].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[2] ),
+    .Y(\B_0_1.WORD[18].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[18].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[18].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[18].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[18].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[18].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[18].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[18].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[18].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[18].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[18].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[18].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[18].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[18].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[18].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[18].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[18].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[18].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[18].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[18].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[18].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[18].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[18].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[18].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[18].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[18].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[18].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[18].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[18].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[18].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[18].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[18].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[18].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[2] ),
+    .Y(\B_0_1.WORD[18].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[19].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[19].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[19].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[19].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[19].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[19].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[19].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[19].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[19].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[19].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[19].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[19].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[19].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[19].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[19].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[19].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[19].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[19].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[19].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[19].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[19].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[19].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[19].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[19].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[19].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[19].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[19].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[19].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[19].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[19].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[3] ),
+    .Y(\B_0_1.WORD[19].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[19].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[19].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[19].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[19].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[19].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[19].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[19].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[19].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[19].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[19].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[19].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[19].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[19].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[19].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[19].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[19].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[19].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[19].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[19].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[19].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[19].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[19].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[19].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[19].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[19].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[19].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[19].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[19].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[19].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[19].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[3] ),
+    .Y(\B_0_1.WORD[19].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[19].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[19].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[19].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[19].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[19].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[19].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[19].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[19].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[19].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[19].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[19].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[19].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[19].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[19].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[19].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[19].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[19].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[19].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[19].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[19].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[19].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[19].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[19].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[19].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[19].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[19].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[19].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[19].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[19].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[19].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[3] ),
+    .Y(\B_0_1.WORD[19].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[19].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[19].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[19].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[19].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[19].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[19].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[19].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[19].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[19].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[19].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[19].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[19].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[19].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[19].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[19].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[19].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[19].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[19].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[19].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[19].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[19].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[19].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[19].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[19].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[19].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[19].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[19].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[19].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[19].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[19].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[19].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[19].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[3] ),
+    .Y(\B_0_1.WORD[19].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[1].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[1].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[1].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[1].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[1].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[1].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[1].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[1].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[1].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[1].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[1].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[1].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[1].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[1].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[1].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[1].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[1].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[1].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[1].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[1].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[1].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[1].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[1].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[1].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[1].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[1].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[1].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[1].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[1].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[1].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[1] ),
+    .Y(\B_0_1.WORD[1].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[1].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[1].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[1].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[1].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[1].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[1].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[1].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[1].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[1].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[1].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[1].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[1].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[1].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[1].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[1].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[1].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[1].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[1].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[1].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[1].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[1].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[1].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[1].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[1].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[1].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[1].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[1].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[1].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[1].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[1].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[1] ),
+    .Y(\B_0_1.WORD[1].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[1].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[1].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[1].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[1].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[1].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[1].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[1].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[1].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[1].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[1].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[1].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[1].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[1].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[1].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[1].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[1].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[1].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[1].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[1].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[1].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[1].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[1].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[1].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[1].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[1].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[1].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[1].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[1].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[1].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[1].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[1] ),
+    .Y(\B_0_1.WORD[1].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[1].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[1].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[1].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[1].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[1].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[1].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[1].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[1].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[1].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[1].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[1].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[1].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[1].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[1].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[1].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[1].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[1].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[1].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[1].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[1].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[1].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[1].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[1].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[1].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[1].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[1].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[1].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[1].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[1].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[1].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[1].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[1].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[1] ),
+    .Y(\B_0_1.WORD[1].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[20].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[20].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[20].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[20].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[20].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[20].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[20].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[20].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[20].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[20].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[20].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[20].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[20].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[20].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[20].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[20].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[20].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[20].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[20].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[20].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[20].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[20].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[20].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[20].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[20].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[20].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[20].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[20].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[20].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[20].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[4] ),
+    .Y(\B_0_1.WORD[20].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[20].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[20].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[20].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[20].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[20].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[20].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[20].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[20].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[20].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[20].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[20].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[20].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[20].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[20].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[20].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[20].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[20].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[20].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[20].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[20].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[20].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[20].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[20].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[20].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[20].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[20].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[20].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[20].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[20].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[20].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[4] ),
+    .Y(\B_0_1.WORD[20].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[20].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[20].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[20].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[20].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[20].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[20].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[20].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[20].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[20].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[20].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[20].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[20].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[20].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[20].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[20].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[20].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[20].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[20].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[20].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[20].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[20].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[20].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[20].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[20].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[20].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[20].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[20].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[20].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[20].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[20].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[4] ),
+    .Y(\B_0_1.WORD[20].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[20].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[20].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[20].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[20].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[20].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[20].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[20].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[20].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[20].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[20].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[20].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[20].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[20].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[20].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[20].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[20].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[20].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[20].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[20].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[20].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[20].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[20].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[20].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[20].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[20].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[20].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[20].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[20].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[20].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[20].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[20].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[20].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[4] ),
+    .Y(\B_0_1.WORD[20].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[21].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[21].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[21].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[21].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[21].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[21].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[21].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[21].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[21].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[21].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[21].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[21].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[21].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[21].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[21].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[21].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[21].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[21].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[21].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[21].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[21].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[21].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[21].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[21].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[21].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[21].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[21].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[21].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[21].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[21].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[5] ),
+    .Y(\B_0_1.WORD[21].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[21].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[21].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[21].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[21].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[21].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[21].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[21].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[21].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[21].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[21].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[21].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[21].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[21].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[21].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[21].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[21].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[21].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[21].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[21].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[21].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[21].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[21].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[21].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[21].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[21].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[21].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[21].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[21].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[21].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[21].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[5] ),
+    .Y(\B_0_1.WORD[21].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[21].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[21].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[21].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[21].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[21].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[21].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[21].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[21].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[21].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[21].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[21].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[21].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[21].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[21].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[21].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[21].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[21].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[21].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[21].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[21].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[21].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[21].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[21].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[21].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[21].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[21].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[21].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[21].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[21].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[21].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[5] ),
+    .Y(\B_0_1.WORD[21].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[21].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[21].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[21].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[21].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[21].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[21].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[21].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[21].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[21].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[21].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[21].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[21].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[21].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[21].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[21].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[21].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[21].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[21].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[21].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[21].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[21].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[21].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[21].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[21].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[21].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[21].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[21].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[21].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[21].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[21].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[21].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[21].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[5] ),
+    .Y(\B_0_1.WORD[21].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[22].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[22].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[22].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[22].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[22].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[22].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[22].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[22].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[22].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[22].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[22].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[22].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[22].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[22].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[22].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[22].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[22].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[22].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[22].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[22].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[22].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[22].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[22].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[22].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[22].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[22].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[22].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[22].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[22].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[22].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[6] ),
+    .Y(\B_0_1.WORD[22].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[22].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[22].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[22].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[22].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[22].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[22].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[22].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[22].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[22].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[22].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[22].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[22].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[22].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[22].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[22].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[22].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[22].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[22].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[22].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[22].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[22].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[22].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[22].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[22].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[22].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[22].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[22].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[22].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[22].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[22].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[6] ),
+    .Y(\B_0_1.WORD[22].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[22].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[22].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[22].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[22].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[22].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[22].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[22].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[22].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[22].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[22].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[22].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[22].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[22].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[22].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[22].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[22].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[22].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[22].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[22].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[22].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[22].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[22].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[22].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[22].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[22].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[22].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[22].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[22].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[22].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[22].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[6] ),
+    .Y(\B_0_1.WORD[22].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[22].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[22].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[22].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[22].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[22].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[22].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[22].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[22].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[22].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[22].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[22].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[22].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[22].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[22].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[22].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[22].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[22].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[22].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[22].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[22].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[22].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[22].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[22].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[22].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[22].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[22].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[22].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[22].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[22].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[22].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[22].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[22].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[6] ),
+    .Y(\B_0_1.WORD[22].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[23].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[23].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[23].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[23].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[23].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[23].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[23].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[23].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[23].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[23].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[23].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[23].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[23].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[23].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[23].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[23].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[23].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[23].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[23].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[23].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[23].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[23].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[23].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[23].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[23].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[23].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[23].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[23].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[23].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[23].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[7] ),
+    .Y(\B_0_1.WORD[23].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[23].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[23].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[23].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[23].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[23].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[23].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[23].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[23].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[23].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[23].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[23].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[23].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[23].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[23].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[23].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[23].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[23].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[23].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[23].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[23].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[23].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[23].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[23].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[23].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[23].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[23].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[23].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[23].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[23].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[23].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[7] ),
+    .Y(\B_0_1.WORD[23].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[23].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[23].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[23].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[23].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[23].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[23].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[23].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[23].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[23].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[23].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[23].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[23].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[23].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[23].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[23].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[23].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[23].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[23].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[23].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[23].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[23].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[23].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[23].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[23].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[23].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[23].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[23].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[23].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[23].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[23].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[7] ),
+    .Y(\B_0_1.WORD[23].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[23].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[23].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[23].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[23].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[23].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[23].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[23].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[23].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[23].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[23].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[23].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[23].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[23].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[23].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[23].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[23].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[23].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[23].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[23].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[23].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[23].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[23].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[23].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[23].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[23].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[23].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[23].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[23].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[23].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[23].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[23].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[23].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[2].U.SEL[7] ),
+    .Y(\B_0_1.WORD[23].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[24].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[24].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[24].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[24].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[24].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[24].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[24].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[24].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[24].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[24].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[24].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[24].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[24].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[24].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[24].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[24].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[24].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[24].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[24].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[24].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[24].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[24].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[24].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[24].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[24].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[24].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[24].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[24].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[24].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[24].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[0] ),
+    .Y(\B_0_1.WORD[24].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[24].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[24].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[24].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[24].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[24].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[24].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[24].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[24].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[24].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[24].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[24].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[24].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[24].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[24].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[24].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[24].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[24].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[24].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[24].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[24].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[24].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[24].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[24].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[24].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[24].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[24].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[24].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[24].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[24].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[24].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[0] ),
+    .Y(\B_0_1.WORD[24].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[24].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[24].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[24].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[24].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[24].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[24].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[24].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[24].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[24].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[24].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[24].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[24].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[24].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[24].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[24].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[24].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[24].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[24].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[24].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[24].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[24].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[24].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[24].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[24].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[24].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[24].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[24].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[24].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[24].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[24].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[0] ),
+    .Y(\B_0_1.WORD[24].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[24].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[24].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[24].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[24].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[24].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[24].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[24].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[24].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[24].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[24].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[24].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[24].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[24].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[24].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[24].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[24].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[24].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[24].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[24].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[24].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[24].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[24].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[24].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[24].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[24].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[24].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[24].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[24].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[24].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[24].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[24].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[24].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[0] ),
+    .Y(\B_0_1.WORD[24].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[25].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[25].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[25].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[25].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[25].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[25].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[25].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[25].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[25].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[25].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[25].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[25].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[25].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[25].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[25].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[25].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[25].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[25].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[25].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[25].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[25].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[25].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[25].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[25].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[25].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[25].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[25].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[25].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[25].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[25].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[1] ),
+    .Y(\B_0_1.WORD[25].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[25].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[25].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[25].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[25].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[25].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[25].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[25].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[25].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[25].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[25].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[25].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[25].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[25].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[25].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[25].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[25].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[25].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[25].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[25].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[25].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[25].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[25].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[25].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[25].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[25].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[25].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[25].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[25].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[25].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[25].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[1] ),
+    .Y(\B_0_1.WORD[25].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[25].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[25].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[25].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[25].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[25].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[25].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[25].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[25].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[25].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[25].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[25].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[25].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[25].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[25].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[25].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[25].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[25].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[25].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[25].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[25].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[25].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[25].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[25].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[25].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[25].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[25].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[25].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[25].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[25].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[25].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[1] ),
+    .Y(\B_0_1.WORD[25].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[25].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[25].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[25].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[25].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[25].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[25].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[25].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[25].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[25].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[25].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[25].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[25].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[25].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[25].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[25].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[25].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[25].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[25].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[25].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[25].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[25].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[25].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[25].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[25].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[25].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[25].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[25].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[25].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[25].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[25].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[25].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[25].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[1] ),
+    .Y(\B_0_1.WORD[25].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[26].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[26].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[26].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[26].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[26].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[26].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[26].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[26].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[26].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[26].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[26].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[26].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[26].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[26].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[26].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[26].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[26].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[26].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[26].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[26].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[26].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[26].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[26].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[26].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[26].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[26].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[26].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[26].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[26].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[26].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[2] ),
+    .Y(\B_0_1.WORD[26].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[26].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[26].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[26].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[26].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[26].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[26].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[26].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[26].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[26].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[26].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[26].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[26].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[26].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[26].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[26].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[26].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[26].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[26].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[26].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[26].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[26].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[26].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[26].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[26].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[26].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[26].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[26].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[26].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[26].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[26].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[2] ),
+    .Y(\B_0_1.WORD[26].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[26].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[26].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[26].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[26].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[26].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[26].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[26].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[26].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[26].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[26].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[26].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[26].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[26].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[26].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[26].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[26].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[26].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[26].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[26].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[26].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[26].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[26].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[26].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[26].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[26].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[26].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[26].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[26].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[26].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[26].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[2] ),
+    .Y(\B_0_1.WORD[26].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[26].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[26].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[26].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[26].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[26].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[26].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[26].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[26].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[26].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[26].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[26].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[26].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[26].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[26].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[26].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[26].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[26].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[26].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[26].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[26].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[26].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[26].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[26].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[26].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[26].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[26].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[26].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[26].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[26].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[26].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[26].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[26].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[2] ),
+    .Y(\B_0_1.WORD[26].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[27].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[27].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[27].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[27].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[27].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[27].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[27].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[27].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[27].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[27].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[27].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[27].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[27].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[27].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[27].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[27].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[27].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[27].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[27].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[27].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[27].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[27].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[27].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[27].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[27].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[27].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[27].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[27].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[27].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[27].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[3] ),
+    .Y(\B_0_1.WORD[27].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[27].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[27].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[27].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[27].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[27].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[27].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[27].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[27].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[27].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[27].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[27].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[27].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[27].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[27].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[27].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[27].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[27].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[27].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[27].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[27].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[27].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[27].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[27].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[27].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[27].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[27].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[27].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[27].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[27].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[27].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[3] ),
+    .Y(\B_0_1.WORD[27].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[27].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[27].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[27].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[27].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[27].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[27].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[27].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[27].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[27].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[27].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[27].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[27].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[27].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[27].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[27].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[27].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[27].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[27].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[27].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[27].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[27].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[27].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[27].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[27].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[27].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[27].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[27].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[27].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[27].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[27].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[3] ),
+    .Y(\B_0_1.WORD[27].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[27].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[27].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[27].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[27].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[27].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[27].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[27].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[27].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[27].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[27].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[27].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[27].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[27].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[27].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[27].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[27].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[27].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[27].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[27].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[27].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[27].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[27].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[27].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[27].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[27].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[27].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[27].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[27].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[27].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[27].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[27].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[27].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[3] ),
+    .Y(\B_0_1.WORD[27].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[28].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[28].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[28].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[28].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[28].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[28].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[28].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[28].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[28].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[28].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[28].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[28].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[28].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[28].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[28].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[28].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[28].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[28].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[28].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[28].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[28].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[28].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[28].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[28].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[28].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[28].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[28].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[28].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[28].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[28].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[4] ),
+    .Y(\B_0_1.WORD[28].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[28].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[28].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[28].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[28].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[28].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[28].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[28].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[28].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[28].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[28].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[28].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[28].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[28].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[28].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[28].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[28].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[28].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[28].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[28].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[28].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[28].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[28].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[28].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[28].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[28].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[28].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[28].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[28].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[28].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[28].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[4] ),
+    .Y(\B_0_1.WORD[28].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[28].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[28].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[28].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[28].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[28].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[28].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[28].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[28].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[28].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[28].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[28].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[28].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[28].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[28].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[28].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[28].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[28].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[28].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[28].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[28].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[28].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[28].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[28].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[28].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[28].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[28].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[28].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[28].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[28].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[28].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[4] ),
+    .Y(\B_0_1.WORD[28].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[28].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[28].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[28].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[28].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[28].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[28].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[28].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[28].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[28].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[28].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[28].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[28].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[28].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[28].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[28].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[28].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[28].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[28].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[28].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[28].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[28].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[28].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[28].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[28].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[28].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[28].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[28].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[28].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[28].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[28].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[28].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[28].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[4] ),
+    .Y(\B_0_1.WORD[28].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[29].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[29].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[29].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[29].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[29].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[29].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[29].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[29].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[29].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[29].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[29].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[29].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[29].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[29].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[29].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[29].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[29].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[29].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[29].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[29].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[29].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[29].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[29].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[29].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[29].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[29].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[29].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[29].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[29].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[29].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[5] ),
+    .Y(\B_0_1.WORD[29].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[29].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[29].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[29].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[29].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[29].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[29].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[29].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[29].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[29].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[29].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[29].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[29].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[29].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[29].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[29].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[29].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[29].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[29].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[29].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[29].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[29].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[29].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[29].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[29].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[29].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[29].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[29].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[29].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[29].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[29].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[5] ),
+    .Y(\B_0_1.WORD[29].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[29].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[29].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[29].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[29].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[29].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[29].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[29].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[29].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[29].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[29].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[29].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[29].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[29].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[29].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[29].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[29].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[29].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[29].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[29].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[29].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[29].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[29].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[29].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[29].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[29].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[29].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[29].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[29].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[29].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[29].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[5] ),
+    .Y(\B_0_1.WORD[29].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[29].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[29].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[29].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[29].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[29].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[29].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[29].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[29].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[29].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[29].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[29].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[29].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[29].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[29].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[29].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[29].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[29].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[29].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[29].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[29].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[29].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[29].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[29].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[29].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[29].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[29].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[29].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[29].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[29].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[29].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[29].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[29].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[5] ),
+    .Y(\B_0_1.WORD[29].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[2].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[2].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[2].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[2].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[2].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[2].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[2].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[2].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[2].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[2].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[2].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[2].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[2].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[2].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[2].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[2].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[2].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[2].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[2].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[2].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[2].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[2].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[2].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[2].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[2].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[2].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[2].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[2].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[2].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[2].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[2] ),
+    .Y(\B_0_1.WORD[2].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[2].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[2].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[2].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[2].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[2].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[2].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[2].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[2].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[2].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[2].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[2].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[2].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[2].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[2].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[2].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[2].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[2].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[2].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[2].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[2].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[2].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[2].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[2].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[2].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[2].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[2].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[2].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[2].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[2].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[2].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[2] ),
+    .Y(\B_0_1.WORD[2].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[2].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[2].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[2].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[2].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[2].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[2].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[2].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[2].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[2].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[2].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[2].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[2].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[2].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[2].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[2].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[2].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[2].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[2].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[2].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[2].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[2].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[2].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[2].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[2].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[2].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[2].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[2].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[2].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[2].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[2].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[2] ),
+    .Y(\B_0_1.WORD[2].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[2].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[2].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[2].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[2].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[2].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[2].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[2].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[2].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[2].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[2].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[2].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[2].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[2].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[2].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[2].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[2].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[2].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[2].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[2].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[2].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[2].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[2].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[2].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[2].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[2].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[2].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[2].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[2].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[2].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[2].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[2].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[2].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[2] ),
+    .Y(\B_0_1.WORD[2].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[30].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[30].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[30].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[30].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[30].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[30].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[30].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[30].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[30].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[30].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[30].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[30].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[30].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[30].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[30].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[30].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[30].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[30].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[30].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[30].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[30].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[30].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[30].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[30].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[30].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[30].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[30].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[30].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[30].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[30].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[6] ),
+    .Y(\B_0_1.WORD[30].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[30].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[30].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[30].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[30].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[30].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[30].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[30].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[30].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[30].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[30].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[30].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[30].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[30].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[30].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[30].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[30].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[30].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[30].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[30].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[30].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[30].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[30].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[30].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[30].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[30].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[30].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[30].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[30].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[30].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[30].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[6] ),
+    .Y(\B_0_1.WORD[30].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[30].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[30].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[30].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[30].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[30].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[30].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[30].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[30].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[30].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[30].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[30].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[30].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[30].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[30].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[30].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[30].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[30].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[30].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[30].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[30].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[30].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[30].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[30].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[30].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[30].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[30].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[30].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[30].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[30].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[30].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[6] ),
+    .Y(\B_0_1.WORD[30].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[30].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[30].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[30].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[30].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[30].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[30].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[30].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[30].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[30].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[30].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[30].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[30].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[30].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[30].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[30].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[30].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[30].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[30].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[30].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[30].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[30].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[30].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[30].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[30].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[30].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[30].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[30].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[30].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[30].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[30].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[30].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[30].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[6] ),
+    .Y(\B_0_1.WORD[30].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[31].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[31].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[31].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[31].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[31].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[31].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[31].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[31].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[31].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[31].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[31].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[31].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[31].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[31].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[31].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[31].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[31].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[31].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[31].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[31].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[31].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[31].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[31].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[31].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[31].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[31].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[31].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[31].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[31].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[31].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[7] ),
+    .Y(\B_0_1.WORD[31].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[31].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[31].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[31].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[31].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[31].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[31].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[31].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[31].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[31].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[31].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[31].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[31].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[31].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[31].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[31].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[31].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[31].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[31].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[31].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[31].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[31].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[31].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[31].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[31].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[31].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[31].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[31].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[31].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[31].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[31].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[7] ),
+    .Y(\B_0_1.WORD[31].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[31].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[31].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[31].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[31].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[31].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[31].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[31].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[31].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[31].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[31].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[31].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[31].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[31].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[31].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[31].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[31].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[31].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[31].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[31].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[31].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[31].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[31].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[31].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[31].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[31].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[31].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[31].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[31].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[31].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[31].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[7] ),
+    .Y(\B_0_1.WORD[31].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[31].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[31].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[31].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[31].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[31].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[31].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[31].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[31].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[31].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[31].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[31].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[31].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[31].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[31].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[31].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[31].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[31].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[31].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[31].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[31].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[31].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[31].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[31].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[31].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[31].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[31].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[31].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[31].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[31].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[31].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[31].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[31].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[3].U.SEL[7] ),
+    .Y(\B_0_1.WORD[31].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[32].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[32].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[32].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[32].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[32].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[32].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[32].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[32].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[32].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[32].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[32].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[32].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[32].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[32].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[32].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[32].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[32].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[32].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[32].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[32].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[32].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[32].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[32].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[32].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[32].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[32].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[32].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[32].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[32].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[32].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[0] ),
+    .Y(\B_0_1.WORD[32].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[32].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[32].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[32].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[32].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[32].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[32].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[32].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[32].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[32].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[32].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[32].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[32].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[32].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[32].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[32].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[32].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[32].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[32].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[32].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[32].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[32].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[32].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[32].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[32].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[32].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[32].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[32].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[32].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[32].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[32].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[0] ),
+    .Y(\B_0_1.WORD[32].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[32].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[32].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[32].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[32].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[32].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[32].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[32].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[32].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[32].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[32].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[32].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[32].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[32].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[32].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[32].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[32].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[32].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[32].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[32].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[32].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[32].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[32].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[32].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[32].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[32].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[32].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[32].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[32].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[32].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[32].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[0] ),
+    .Y(\B_0_1.WORD[32].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[32].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[32].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[32].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[32].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[32].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[32].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[32].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[32].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[32].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[32].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[32].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[32].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[32].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[32].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[32].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[32].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[32].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[32].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[32].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[32].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[32].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[32].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[32].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[32].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[32].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[32].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[32].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[32].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[32].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[32].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[32].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[32].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[0] ),
+    .Y(\B_0_1.WORD[32].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[33].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[33].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[33].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[33].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[33].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[33].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[33].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[33].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[33].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[33].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[33].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[33].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[33].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[33].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[33].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[33].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[33].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[33].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[33].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[33].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[33].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[33].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[33].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[33].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[33].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[33].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[33].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[33].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[33].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[33].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[1] ),
+    .Y(\B_0_1.WORD[33].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[33].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[33].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[33].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[33].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[33].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[33].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[33].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[33].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[33].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[33].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[33].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[33].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[33].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[33].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[33].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[33].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[33].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[33].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[33].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[33].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[33].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[33].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[33].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[33].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[33].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[33].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[33].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[33].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[33].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[33].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[1] ),
+    .Y(\B_0_1.WORD[33].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[33].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[33].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[33].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[33].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[33].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[33].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[33].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[33].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[33].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[33].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[33].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[33].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[33].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[33].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[33].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[33].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[33].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[33].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[33].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[33].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[33].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[33].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[33].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[33].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[33].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[33].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[33].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[33].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[33].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[33].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[1] ),
+    .Y(\B_0_1.WORD[33].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[33].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[33].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[33].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[33].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[33].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[33].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[33].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[33].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[33].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[33].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[33].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[33].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[33].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[33].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[33].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[33].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[33].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[33].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[33].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[33].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[33].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[33].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[33].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[33].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[33].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[33].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[33].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[33].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[33].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[33].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[33].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[33].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[1] ),
+    .Y(\B_0_1.WORD[33].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[34].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[34].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[34].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[34].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[34].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[34].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[34].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[34].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[34].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[34].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[34].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[34].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[34].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[34].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[34].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[34].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[34].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[34].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[34].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[34].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[34].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[34].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[34].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[34].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[34].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[34].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[34].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[34].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[34].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[34].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[2] ),
+    .Y(\B_0_1.WORD[34].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[34].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[34].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[34].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[34].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[34].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[34].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[34].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[34].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[34].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[34].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[34].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[34].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[34].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[34].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[34].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[34].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[34].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[34].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[34].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[34].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[34].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[34].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[34].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[34].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[34].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[34].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[34].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[34].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[34].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[34].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[2] ),
+    .Y(\B_0_1.WORD[34].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[34].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[34].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[34].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[34].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[34].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[34].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[34].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[34].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[34].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[34].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[34].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[34].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[34].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[34].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[34].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[34].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[34].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[34].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[34].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[34].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[34].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[34].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[34].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[34].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[34].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[34].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[34].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[34].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[34].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[34].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[2] ),
+    .Y(\B_0_1.WORD[34].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[34].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[34].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[34].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[34].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[34].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[34].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[34].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[34].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[34].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[34].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[34].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[34].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[34].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[34].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[34].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[34].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[34].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[34].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[34].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[34].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[34].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[34].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[34].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[34].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[34].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[34].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[34].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[34].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[34].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[34].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[34].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[34].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[2] ),
+    .Y(\B_0_1.WORD[34].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[35].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[35].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[35].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[35].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[35].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[35].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[35].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[35].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[35].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[35].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[35].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[35].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[35].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[35].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[35].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[35].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[35].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[35].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[35].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[35].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[35].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[35].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[35].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[35].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[35].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[35].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[35].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[35].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[35].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[35].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[3] ),
+    .Y(\B_0_1.WORD[35].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[35].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[35].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[35].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[35].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[35].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[35].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[35].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[35].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[35].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[35].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[35].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[35].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[35].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[35].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[35].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[35].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[35].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[35].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[35].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[35].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[35].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[35].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[35].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[35].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[35].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[35].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[35].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[35].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[35].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[35].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[3] ),
+    .Y(\B_0_1.WORD[35].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[35].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[35].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[35].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[35].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[35].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[35].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[35].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[35].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[35].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[35].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[35].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[35].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[35].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[35].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[35].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[35].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[35].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[35].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[35].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[35].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[35].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[35].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[35].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[35].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[35].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[35].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[35].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[35].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[35].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[35].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[3] ),
+    .Y(\B_0_1.WORD[35].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[35].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[35].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[35].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[35].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[35].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[35].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[35].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[35].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[35].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[35].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[35].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[35].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[35].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[35].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[35].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[35].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[35].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[35].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[35].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[35].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[35].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[35].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[35].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[35].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[35].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[35].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[35].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[35].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[35].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[35].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[35].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[35].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[3] ),
+    .Y(\B_0_1.WORD[35].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[36].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[36].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[36].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[36].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[36].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[36].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[36].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[36].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[36].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[36].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[36].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[36].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[36].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[36].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[36].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[36].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[36].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[36].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[36].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[36].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[36].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[36].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[36].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[36].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[36].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[36].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[36].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[36].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[36].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[36].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[4] ),
+    .Y(\B_0_1.WORD[36].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[36].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[36].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[36].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[36].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[36].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[36].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[36].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[36].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[36].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[36].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[36].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[36].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[36].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[36].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[36].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[36].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[36].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[36].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[36].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[36].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[36].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[36].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[36].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[36].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[36].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[36].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[36].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[36].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[36].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[36].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[4] ),
+    .Y(\B_0_1.WORD[36].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[36].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[36].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[36].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[36].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[36].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[36].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[36].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[36].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[36].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[36].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[36].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[36].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[36].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[36].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[36].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[36].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[36].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[36].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[36].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[36].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[36].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[36].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[36].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[36].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[36].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[36].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[36].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[36].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[36].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[36].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[4] ),
+    .Y(\B_0_1.WORD[36].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[36].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[36].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[36].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[36].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[36].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[36].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[36].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[36].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[36].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[36].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[36].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[36].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[36].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[36].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[36].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[36].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[36].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[36].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[36].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[36].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[36].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[36].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[36].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[36].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[36].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[36].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[36].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[36].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[36].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[36].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[36].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[36].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[4] ),
+    .Y(\B_0_1.WORD[36].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[37].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[37].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[37].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[37].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[37].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[37].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[37].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[37].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[37].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[37].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[37].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[37].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[37].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[37].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[37].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[37].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[37].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[37].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[37].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[37].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[37].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[37].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[37].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[37].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[37].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[37].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[37].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[37].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[37].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[37].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[5] ),
+    .Y(\B_0_1.WORD[37].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[37].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[37].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[37].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[37].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[37].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[37].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[37].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[37].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[37].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[37].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[37].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[37].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[37].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[37].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[37].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[37].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[37].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[37].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[37].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[37].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[37].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[37].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[37].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[37].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[37].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[37].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[37].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[37].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[37].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[37].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[5] ),
+    .Y(\B_0_1.WORD[37].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[37].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[37].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[37].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[37].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[37].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[37].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[37].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[37].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[37].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[37].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[37].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[37].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[37].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[37].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[37].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[37].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[37].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[37].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[37].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[37].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[37].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[37].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[37].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[37].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[37].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[37].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[37].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[37].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[37].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[37].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[5] ),
+    .Y(\B_0_1.WORD[37].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[37].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[37].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[37].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[37].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[37].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[37].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[37].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[37].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[37].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[37].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[37].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[37].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[37].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[37].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[37].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[37].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[37].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[37].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[37].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[37].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[37].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[37].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[37].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[37].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[37].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[37].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[37].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[37].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[37].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[37].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[37].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[37].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[5] ),
+    .Y(\B_0_1.WORD[37].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[38].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[38].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[38].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[38].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[38].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[38].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[38].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[38].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[38].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[38].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[38].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[38].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[38].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[38].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[38].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[38].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[38].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[38].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[38].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[38].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[38].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[38].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[38].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[38].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[38].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[38].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[38].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[38].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[38].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[38].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[6] ),
+    .Y(\B_0_1.WORD[38].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[38].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[38].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[38].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[38].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[38].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[38].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[38].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[38].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[38].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[38].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[38].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[38].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[38].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[38].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[38].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[38].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[38].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[38].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[38].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[38].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[38].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[38].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[38].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[38].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[38].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[38].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[38].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[38].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[38].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[38].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[6] ),
+    .Y(\B_0_1.WORD[38].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[38].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[38].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[38].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[38].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[38].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[38].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[38].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[38].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[38].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[38].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[38].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[38].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[38].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[38].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[38].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[38].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[38].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[38].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[38].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[38].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[38].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[38].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[38].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[38].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[38].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[38].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[38].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[38].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[38].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[38].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[6] ),
+    .Y(\B_0_1.WORD[38].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[38].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[38].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[38].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[38].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[38].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[38].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[38].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[38].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[38].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[38].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[38].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[38].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[38].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[38].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[38].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[38].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[38].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[38].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[38].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[38].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[38].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[38].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[38].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[38].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[38].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[38].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[38].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[38].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[38].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[38].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[38].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[38].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[6] ),
+    .Y(\B_0_1.WORD[38].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[39].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[39].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[39].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[39].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[39].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[39].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[39].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[39].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[39].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[39].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[39].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[39].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[39].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[39].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[39].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[39].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[39].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[39].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[39].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[39].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[39].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[39].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[39].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[39].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[39].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[39].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[39].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[39].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[39].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[39].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[7] ),
+    .Y(\B_0_1.WORD[39].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[39].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[39].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[39].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[39].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[39].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[39].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[39].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[39].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[39].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[39].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[39].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[39].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[39].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[39].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[39].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[39].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[39].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[39].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[39].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[39].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[39].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[39].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[39].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[39].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[39].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[39].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[39].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[39].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[39].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[39].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[7] ),
+    .Y(\B_0_1.WORD[39].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[39].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[39].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[39].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[39].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[39].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[39].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[39].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[39].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[39].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[39].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[39].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[39].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[39].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[39].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[39].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[39].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[39].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[39].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[39].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[39].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[39].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[39].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[39].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[39].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[39].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[39].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[39].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[39].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[39].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[39].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[7] ),
+    .Y(\B_0_1.WORD[39].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[39].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[39].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[39].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[39].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[39].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[39].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[39].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[39].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[39].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[39].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[39].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[39].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[39].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[39].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[39].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[39].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[39].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[39].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[39].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[39].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[39].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[39].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[39].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[39].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[39].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[39].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[39].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[39].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[39].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[39].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[39].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[39].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[4].U.SEL[7] ),
+    .Y(\B_0_1.WORD[39].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[3].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[3].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[3].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[3].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[3].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[3].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[3].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[3].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[3].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[3].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[3].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[3].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[3].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[3].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[3].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[3].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[3].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[3].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[3].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[3].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[3].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[3].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[3].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[3].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[3].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[3].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[3].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[3].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[3].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[3].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[3] ),
+    .Y(\B_0_1.WORD[3].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[3].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[3].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[3].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[3].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[3].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[3].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[3].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[3].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[3].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[3].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[3].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[3].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[3].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[3].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[3].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[3].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[3].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[3].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[3].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[3].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[3].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[3].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[3].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[3].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[3].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[3].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[3].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[3].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[3].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[3].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[3] ),
+    .Y(\B_0_1.WORD[3].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[3].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[3].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[3].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[3].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[3].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[3].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[3].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[3].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[3].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[3].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[3].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[3].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[3].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[3].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[3].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[3].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[3].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[3].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[3].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[3].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[3].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[3].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[3].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[3].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[3].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[3].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[3].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[3].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[3].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[3].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[3] ),
+    .Y(\B_0_1.WORD[3].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[3].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[3].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[3].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[3].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[3].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[3].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[3].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[3].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[3].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[3].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[3].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[3].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[3].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[3].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[3].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[3].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[3].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[3].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[3].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[3].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[3].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[3].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[3].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[3].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[3].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[3].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[3].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[3].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[3].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[3].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[3].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[3].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[3] ),
+    .Y(\B_0_1.WORD[3].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[40].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[40].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[40].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[40].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[40].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[40].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[40].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[40].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[40].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[40].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[40].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[40].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[40].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[40].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[40].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[40].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[40].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[40].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[40].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[40].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[40].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[40].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[40].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[40].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[40].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[40].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[40].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[40].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[40].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[40].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[0] ),
+    .Y(\B_0_1.WORD[40].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[40].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[40].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[40].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[40].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[40].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[40].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[40].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[40].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[40].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[40].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[40].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[40].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[40].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[40].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[40].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[40].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[40].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[40].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[40].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[40].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[40].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[40].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[40].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[40].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[40].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[40].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[40].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[40].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[40].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[40].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[0] ),
+    .Y(\B_0_1.WORD[40].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[40].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[40].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[40].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[40].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[40].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[40].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[40].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[40].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[40].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[40].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[40].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[40].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[40].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[40].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[40].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[40].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[40].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[40].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[40].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[40].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[40].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[40].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[40].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[40].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[40].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[40].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[40].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[40].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[40].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[40].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[0] ),
+    .Y(\B_0_1.WORD[40].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[40].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[40].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[40].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[40].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[40].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[40].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[40].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[40].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[40].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[40].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[40].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[40].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[40].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[40].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[40].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[40].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[40].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[40].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[40].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[40].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[40].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[40].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[40].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[40].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[40].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[40].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[40].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[40].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[40].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[40].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[40].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[40].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[0] ),
+    .Y(\B_0_1.WORD[40].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[41].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[41].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[41].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[41].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[41].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[41].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[41].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[41].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[41].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[41].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[41].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[41].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[41].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[41].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[41].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[41].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[41].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[41].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[41].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[41].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[41].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[41].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[41].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[41].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[41].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[41].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[41].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[41].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[41].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[41].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[1] ),
+    .Y(\B_0_1.WORD[41].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[41].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[41].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[41].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[41].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[41].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[41].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[41].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[41].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[41].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[41].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[41].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[41].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[41].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[41].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[41].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[41].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[41].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[41].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[41].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[41].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[41].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[41].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[41].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[41].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[41].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[41].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[41].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[41].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[41].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[41].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[1] ),
+    .Y(\B_0_1.WORD[41].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[41].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[41].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[41].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[41].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[41].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[41].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[41].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[41].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[41].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[41].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[41].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[41].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[41].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[41].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[41].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[41].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[41].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[41].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[41].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[41].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[41].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[41].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[41].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[41].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[41].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[41].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[41].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[41].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[41].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[41].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[1] ),
+    .Y(\B_0_1.WORD[41].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[41].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[41].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[41].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[41].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[41].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[41].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[41].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[41].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[41].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[41].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[41].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[41].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[41].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[41].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[41].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[41].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[41].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[41].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[41].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[41].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[41].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[41].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[41].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[41].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[41].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[41].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[41].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[41].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[41].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[41].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[41].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[41].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[1] ),
+    .Y(\B_0_1.WORD[41].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[42].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[42].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[42].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[42].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[42].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[42].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[42].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[42].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[42].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[42].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[42].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[42].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[42].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[42].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[42].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[42].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[42].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[42].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[42].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[42].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[42].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[42].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[42].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[42].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[42].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[42].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[42].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[42].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[42].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[42].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[2] ),
+    .Y(\B_0_1.WORD[42].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[42].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[42].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[42].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[42].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[42].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[42].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[42].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[42].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[42].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[42].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[42].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[42].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[42].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[42].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[42].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[42].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[42].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[42].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[42].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[42].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[42].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[42].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[42].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[42].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[42].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[42].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[42].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[42].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[42].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[42].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[2] ),
+    .Y(\B_0_1.WORD[42].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[42].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[42].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[42].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[42].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[42].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[42].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[42].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[42].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[42].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[42].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[42].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[42].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[42].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[42].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[42].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[42].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[42].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[42].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[42].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[42].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[42].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[42].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[42].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[42].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[42].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[42].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[42].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[42].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[42].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[42].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[2] ),
+    .Y(\B_0_1.WORD[42].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[42].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[42].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[42].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[42].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[42].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[42].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[42].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[42].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[42].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[42].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[42].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[42].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[42].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[42].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[42].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[42].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[42].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[42].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[42].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[42].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[42].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[42].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[42].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[42].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[42].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[42].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[42].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[42].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[42].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[42].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[42].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[42].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[2] ),
+    .Y(\B_0_1.WORD[42].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[43].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[43].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[43].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[43].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[43].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[43].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[43].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[43].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[43].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[43].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[43].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[43].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[43].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[43].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[43].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[43].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[43].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[43].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[43].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[43].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[43].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[43].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[43].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[43].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[43].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[43].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[43].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[43].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[43].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[43].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[3] ),
+    .Y(\B_0_1.WORD[43].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[43].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[43].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[43].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[43].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[43].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[43].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[43].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[43].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[43].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[43].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[43].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[43].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[43].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[43].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[43].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[43].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[43].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[43].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[43].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[43].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[43].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[43].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[43].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[43].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[43].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[43].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[43].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[43].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[43].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[43].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[3] ),
+    .Y(\B_0_1.WORD[43].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[43].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[43].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[43].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[43].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[43].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[43].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[43].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[43].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[43].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[43].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[43].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[43].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[43].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[43].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[43].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[43].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[43].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[43].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[43].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[43].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[43].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[43].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[43].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[43].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[43].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[43].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[43].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[43].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[43].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[43].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[3] ),
+    .Y(\B_0_1.WORD[43].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[43].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[43].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[43].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[43].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[43].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[43].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[43].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[43].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[43].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[43].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[43].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[43].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[43].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[43].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[43].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[43].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[43].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[43].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[43].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[43].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[43].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[43].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[43].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[43].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[43].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[43].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[43].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[43].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[43].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[43].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[43].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[43].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[3] ),
+    .Y(\B_0_1.WORD[43].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[44].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[44].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[44].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[44].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[44].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[44].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[44].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[44].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[44].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[44].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[44].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[44].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[44].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[44].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[44].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[44].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[44].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[44].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[44].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[44].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[44].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[44].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[44].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[44].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[44].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[44].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[44].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[44].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[44].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[44].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[4] ),
+    .Y(\B_0_1.WORD[44].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[44].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[44].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[44].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[44].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[44].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[44].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[44].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[44].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[44].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[44].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[44].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[44].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[44].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[44].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[44].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[44].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[44].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[44].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[44].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[44].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[44].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[44].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[44].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[44].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[44].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[44].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[44].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[44].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[44].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[44].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[4] ),
+    .Y(\B_0_1.WORD[44].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[44].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[44].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[44].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[44].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[44].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[44].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[44].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[44].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[44].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[44].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[44].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[44].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[44].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[44].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[44].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[44].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[44].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[44].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[44].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[44].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[44].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[44].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[44].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[44].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[44].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[44].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[44].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[44].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[44].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[44].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[4] ),
+    .Y(\B_0_1.WORD[44].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[44].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[44].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[44].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[44].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[44].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[44].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[44].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[44].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[44].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[44].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[44].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[44].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[44].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[44].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[44].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[44].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[44].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[44].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[44].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[44].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[44].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[44].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[44].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[44].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[44].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[44].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[44].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[44].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[44].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[44].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[44].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[44].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[4] ),
+    .Y(\B_0_1.WORD[44].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[45].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[45].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[45].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[45].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[45].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[45].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[45].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[45].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[45].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[45].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[45].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[45].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[45].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[45].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[45].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[45].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[45].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[45].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[45].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[45].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[45].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[45].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[45].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[45].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[45].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[45].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[45].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[45].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[45].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[45].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[5] ),
+    .Y(\B_0_1.WORD[45].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[45].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[45].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[45].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[45].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[45].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[45].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[45].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[45].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[45].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[45].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[45].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[45].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[45].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[45].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[45].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[45].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[45].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[45].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[45].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[45].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[45].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[45].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[45].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[45].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[45].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[45].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[45].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[45].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[45].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[45].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[5] ),
+    .Y(\B_0_1.WORD[45].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[45].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[45].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[45].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[45].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[45].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[45].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[45].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[45].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[45].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[45].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[45].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[45].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[45].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[45].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[45].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[45].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[45].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[45].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[45].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[45].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[45].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[45].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[45].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[45].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[45].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[45].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[45].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[45].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[45].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[45].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[5] ),
+    .Y(\B_0_1.WORD[45].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[45].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[45].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[45].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[45].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[45].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[45].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[45].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[45].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[45].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[45].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[45].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[45].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[45].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[45].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[45].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[45].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[45].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[45].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[45].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[45].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[45].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[45].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[45].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[45].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[45].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[45].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[45].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[45].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[45].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[45].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[45].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[45].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[5] ),
+    .Y(\B_0_1.WORD[45].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[46].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[46].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[46].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[46].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[46].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[46].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[46].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[46].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[46].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[46].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[46].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[46].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[46].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[46].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[46].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[46].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[46].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[46].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[46].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[46].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[46].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[46].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[46].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[46].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[46].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[46].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[46].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[46].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[46].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[46].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[6] ),
+    .Y(\B_0_1.WORD[46].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[46].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[46].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[46].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[46].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[46].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[46].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[46].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[46].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[46].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[46].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[46].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[46].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[46].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[46].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[46].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[46].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[46].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[46].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[46].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[46].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[46].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[46].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[46].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[46].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[46].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[46].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[46].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[46].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[46].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[46].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[6] ),
+    .Y(\B_0_1.WORD[46].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[46].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[46].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[46].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[46].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[46].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[46].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[46].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[46].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[46].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[46].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[46].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[46].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[46].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[46].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[46].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[46].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[46].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[46].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[46].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[46].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[46].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[46].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[46].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[46].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[46].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[46].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[46].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[46].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[46].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[46].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[6] ),
+    .Y(\B_0_1.WORD[46].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[46].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[46].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[46].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[46].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[46].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[46].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[46].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[46].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[46].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[46].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[46].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[46].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[46].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[46].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[46].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[46].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[46].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[46].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[46].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[46].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[46].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[46].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[46].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[46].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[46].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[46].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[46].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[46].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[46].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[46].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[46].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[46].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[6] ),
+    .Y(\B_0_1.WORD[46].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[47].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[47].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[47].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[47].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[47].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[47].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[47].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[47].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[47].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[47].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[47].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[47].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[47].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[47].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[47].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[47].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[47].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[47].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[47].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[47].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[47].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[47].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[47].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[47].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[47].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[47].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[47].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[47].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[47].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[47].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[7] ),
+    .Y(\B_0_1.WORD[47].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[47].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[47].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[47].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[47].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[47].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[47].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[47].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[47].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[47].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[47].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[47].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[47].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[47].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[47].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[47].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[47].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[47].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[47].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[47].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[47].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[47].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[47].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[47].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[47].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[47].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[47].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[47].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[47].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[47].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[47].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[7] ),
+    .Y(\B_0_1.WORD[47].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[47].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[47].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[47].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[47].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[47].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[47].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[47].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[47].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[47].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[47].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[47].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[47].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[47].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[47].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[47].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[47].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[47].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[47].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[47].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[47].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[47].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[47].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[47].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[47].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[47].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[47].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[47].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[47].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[47].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[47].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[7] ),
+    .Y(\B_0_1.WORD[47].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[47].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[47].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[47].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[47].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[47].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[47].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[47].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[47].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[47].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[47].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[47].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[47].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[47].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[47].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[47].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[47].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[47].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[47].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[47].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[47].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[47].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[47].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[47].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[47].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[47].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[47].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[47].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[47].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[47].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[47].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[47].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[47].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[5].U.SEL[7] ),
+    .Y(\B_0_1.WORD[47].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[48].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[48].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[48].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[48].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[48].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[48].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[48].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[48].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[48].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[48].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[48].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[48].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[48].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[48].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[48].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[48].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[48].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[48].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[48].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[48].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[48].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[48].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[48].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[48].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[48].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[48].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[48].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[48].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[48].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[48].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[0] ),
+    .Y(\B_0_1.WORD[48].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[48].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[48].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[48].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[48].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[48].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[48].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[48].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[48].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[48].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[48].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[48].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[48].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[48].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[48].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[48].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[48].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[48].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[48].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[48].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[48].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[48].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[48].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[48].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[48].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[48].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[48].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[48].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[48].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[48].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[48].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[0] ),
+    .Y(\B_0_1.WORD[48].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[48].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[48].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[48].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[48].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[48].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[48].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[48].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[48].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[48].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[48].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[48].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[48].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[48].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[48].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[48].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[48].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[48].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[48].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[48].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[48].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[48].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[48].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[48].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[48].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[48].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[48].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[48].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[48].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[48].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[48].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[0] ),
+    .Y(\B_0_1.WORD[48].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[48].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[48].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[48].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[48].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[48].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[48].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[48].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[48].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[48].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[48].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[48].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[48].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[48].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[48].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[48].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[48].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[48].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[48].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[48].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[48].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[48].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[48].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[48].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[48].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[48].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[48].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[48].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[48].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[48].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[48].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[48].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[48].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[0] ),
+    .Y(\B_0_1.WORD[48].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[49].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[49].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[49].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[49].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[49].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[49].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[49].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[49].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[49].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[49].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[49].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[49].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[49].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[49].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[49].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[49].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[49].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[49].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[49].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[49].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[49].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[49].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[49].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[49].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[49].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[49].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[49].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[49].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[49].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[49].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[1] ),
+    .Y(\B_0_1.WORD[49].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[49].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[49].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[49].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[49].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[49].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[49].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[49].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[49].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[49].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[49].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[49].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[49].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[49].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[49].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[49].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[49].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[49].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[49].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[49].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[49].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[49].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[49].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[49].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[49].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[49].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[49].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[49].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[49].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[49].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[49].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[1] ),
+    .Y(\B_0_1.WORD[49].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[49].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[49].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[49].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[49].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[49].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[49].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[49].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[49].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[49].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[49].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[49].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[49].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[49].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[49].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[49].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[49].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[49].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[49].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[49].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[49].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[49].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[49].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[49].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[49].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[49].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[49].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[49].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[49].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[49].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[49].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[1] ),
+    .Y(\B_0_1.WORD[49].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[49].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[49].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[49].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[49].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[49].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[49].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[49].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[49].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[49].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[49].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[49].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[49].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[49].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[49].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[49].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[49].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[49].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[49].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[49].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[49].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[49].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[49].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[49].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[49].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[49].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[49].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[49].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[49].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[49].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[49].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[49].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[49].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[1] ),
+    .Y(\B_0_1.WORD[49].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[4].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[4].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[4].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[4].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[4].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[4].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[4].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[4].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[4].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[4].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[4].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[4].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[4].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[4].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[4].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[4].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[4].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[4].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[4].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[4].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[4].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[4].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[4].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[4].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[4].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[4].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[4].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[4].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[4].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[4].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[4] ),
+    .Y(\B_0_1.WORD[4].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[4].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[4].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[4].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[4].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[4].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[4].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[4].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[4].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[4].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[4].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[4].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[4].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[4].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[4].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[4].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[4].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[4].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[4].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[4].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[4].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[4].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[4].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[4].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[4].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[4].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[4].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[4].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[4].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[4].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[4].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[4] ),
+    .Y(\B_0_1.WORD[4].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[4].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[4].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[4].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[4].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[4].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[4].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[4].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[4].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[4].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[4].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[4].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[4].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[4].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[4].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[4].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[4].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[4].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[4].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[4].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[4].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[4].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[4].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[4].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[4].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[4].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[4].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[4].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[4].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[4].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[4].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[4] ),
+    .Y(\B_0_1.WORD[4].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[4].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[4].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[4].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[4].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[4].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[4].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[4].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[4].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[4].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[4].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[4].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[4].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[4].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[4].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[4].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[4].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[4].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[4].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[4].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[4].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[4].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[4].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[4].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[4].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[4].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[4].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[4].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[4].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[4].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[4].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[4].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[4].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[4] ),
+    .Y(\B_0_1.WORD[4].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[50].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[50].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[50].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[50].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[50].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[50].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[50].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[50].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[50].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[50].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[50].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[50].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[50].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[50].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[50].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[50].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[50].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[50].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[50].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[50].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[50].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[50].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[50].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[50].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[50].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[50].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[50].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[50].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[50].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[50].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[2] ),
+    .Y(\B_0_1.WORD[50].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[50].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[50].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[50].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[50].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[50].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[50].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[50].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[50].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[50].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[50].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[50].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[50].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[50].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[50].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[50].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[50].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[50].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[50].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[50].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[50].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[50].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[50].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[50].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[50].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[50].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[50].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[50].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[50].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[50].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[50].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[2] ),
+    .Y(\B_0_1.WORD[50].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[50].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[50].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[50].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[50].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[50].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[50].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[50].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[50].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[50].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[50].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[50].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[50].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[50].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[50].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[50].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[50].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[50].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[50].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[50].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[50].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[50].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[50].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[50].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[50].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[50].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[50].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[50].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[50].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[50].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[50].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[2] ),
+    .Y(\B_0_1.WORD[50].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[50].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[50].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[50].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[50].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[50].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[50].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[50].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[50].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[50].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[50].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[50].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[50].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[50].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[50].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[50].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[50].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[50].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[50].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[50].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[50].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[50].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[50].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[50].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[50].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[50].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[50].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[50].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[50].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[50].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[50].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[50].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[50].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[2] ),
+    .Y(\B_0_1.WORD[50].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[51].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[51].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[51].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[51].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[51].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[51].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[51].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[51].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[51].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[51].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[51].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[51].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[51].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[51].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[51].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[51].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[51].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[51].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[51].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[51].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[51].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[51].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[51].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[51].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[51].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[51].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[51].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[51].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[51].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[51].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[3] ),
+    .Y(\B_0_1.WORD[51].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[51].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[51].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[51].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[51].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[51].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[51].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[51].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[51].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[51].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[51].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[51].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[51].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[51].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[51].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[51].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[51].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[51].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[51].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[51].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[51].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[51].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[51].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[51].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[51].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[51].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[51].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[51].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[51].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[51].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[51].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[3] ),
+    .Y(\B_0_1.WORD[51].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[51].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[51].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[51].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[51].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[51].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[51].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[51].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[51].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[51].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[51].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[51].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[51].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[51].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[51].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[51].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[51].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[51].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[51].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[51].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[51].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[51].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[51].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[51].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[51].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[51].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[51].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[51].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[51].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[51].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[51].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[3] ),
+    .Y(\B_0_1.WORD[51].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[51].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[51].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[51].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[51].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[51].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[51].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[51].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[51].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[51].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[51].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[51].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[51].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[51].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[51].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[51].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[51].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[51].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[51].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[51].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[51].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[51].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[51].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[51].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[51].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[51].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[51].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[51].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[51].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[51].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[51].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[51].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[51].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[3] ),
+    .Y(\B_0_1.WORD[51].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[52].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[52].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[52].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[52].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[52].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[52].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[52].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[52].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[52].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[52].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[52].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[52].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[52].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[52].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[52].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[52].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[52].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[52].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[52].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[52].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[52].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[52].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[52].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[52].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[52].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[52].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[52].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[52].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[52].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[52].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[4] ),
+    .Y(\B_0_1.WORD[52].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[52].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[52].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[52].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[52].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[52].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[52].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[52].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[52].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[52].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[52].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[52].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[52].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[52].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[52].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[52].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[52].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[52].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[52].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[52].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[52].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[52].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[52].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[52].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[52].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[52].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[52].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[52].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[52].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[52].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[52].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[4] ),
+    .Y(\B_0_1.WORD[52].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[52].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[52].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[52].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[52].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[52].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[52].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[52].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[52].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[52].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[52].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[52].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[52].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[52].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[52].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[52].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[52].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[52].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[52].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[52].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[52].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[52].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[52].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[52].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[52].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[52].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[52].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[52].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[52].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[52].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[52].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[4] ),
+    .Y(\B_0_1.WORD[52].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[52].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[52].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[52].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[52].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[52].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[52].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[52].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[52].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[52].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[52].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[52].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[52].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[52].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[52].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[52].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[52].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[52].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[52].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[52].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[52].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[52].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[52].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[52].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[52].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[52].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[52].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[52].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[52].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[52].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[52].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[52].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[52].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[4] ),
+    .Y(\B_0_1.WORD[52].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[53].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[53].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[53].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[53].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[53].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[53].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[53].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[53].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[53].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[53].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[53].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[53].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[53].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[53].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[53].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[53].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[53].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[53].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[53].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[53].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[53].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[53].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[53].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[53].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[53].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[53].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[53].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[53].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[53].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[53].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[5] ),
+    .Y(\B_0_1.WORD[53].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[53].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[53].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[53].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[53].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[53].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[53].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[53].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[53].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[53].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[53].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[53].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[53].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[53].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[53].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[53].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[53].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[53].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[53].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[53].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[53].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[53].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[53].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[53].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[53].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[53].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[53].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[53].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[53].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[53].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[53].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[5] ),
+    .Y(\B_0_1.WORD[53].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[53].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[53].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[53].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[53].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[53].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[53].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[53].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[53].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[53].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[53].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[53].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[53].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[53].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[53].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[53].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[53].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[53].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[53].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[53].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[53].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[53].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[53].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[53].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[53].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[53].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[53].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[53].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[53].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[53].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[53].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[5] ),
+    .Y(\B_0_1.WORD[53].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[53].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[53].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[53].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[53].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[53].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[53].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[53].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[53].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[53].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[53].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[53].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[53].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[53].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[53].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[53].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[53].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[53].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[53].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[53].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[53].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[53].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[53].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[53].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[53].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[53].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[53].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[53].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[53].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[53].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[53].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[53].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[53].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[5] ),
+    .Y(\B_0_1.WORD[53].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[54].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[54].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[54].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[54].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[54].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[54].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[54].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[54].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[54].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[54].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[54].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[54].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[54].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[54].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[54].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[54].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[54].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[54].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[54].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[54].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[54].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[54].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[54].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[54].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[54].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[54].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[54].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[54].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[54].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[54].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[6] ),
+    .Y(\B_0_1.WORD[54].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[54].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[54].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[54].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[54].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[54].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[54].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[54].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[54].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[54].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[54].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[54].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[54].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[54].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[54].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[54].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[54].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[54].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[54].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[54].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[54].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[54].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[54].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[54].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[54].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[54].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[54].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[54].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[54].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[54].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[54].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[6] ),
+    .Y(\B_0_1.WORD[54].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[54].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[54].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[54].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[54].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[54].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[54].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[54].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[54].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[54].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[54].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[54].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[54].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[54].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[54].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[54].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[54].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[54].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[54].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[54].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[54].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[54].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[54].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[54].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[54].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[54].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[54].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[54].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[54].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[54].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[54].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[6] ),
+    .Y(\B_0_1.WORD[54].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[54].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[54].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[54].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[54].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[54].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[54].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[54].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[54].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[54].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[54].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[54].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[54].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[54].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[54].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[54].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[54].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[54].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[54].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[54].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[54].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[54].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[54].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[54].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[54].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[54].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[54].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[54].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[54].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[54].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[54].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[54].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[54].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[6] ),
+    .Y(\B_0_1.WORD[54].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[55].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[55].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[55].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[55].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[55].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[55].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[55].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[55].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[55].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[55].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[55].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[55].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[55].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[55].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[55].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[55].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[55].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[55].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[55].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[55].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[55].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[55].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[55].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[55].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[55].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[55].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[55].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[55].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[55].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[55].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[7] ),
+    .Y(\B_0_1.WORD[55].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[55].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[55].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[55].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[55].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[55].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[55].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[55].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[55].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[55].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[55].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[55].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[55].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[55].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[55].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[55].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[55].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[55].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[55].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[55].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[55].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[55].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[55].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[55].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[55].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[55].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[55].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[55].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[55].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[55].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[55].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[7] ),
+    .Y(\B_0_1.WORD[55].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[55].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[55].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[55].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[55].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[55].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[55].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[55].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[55].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[55].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[55].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[55].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[55].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[55].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[55].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[55].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[55].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[55].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[55].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[55].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[55].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[55].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[55].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[55].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[55].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[55].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[55].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[55].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[55].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[55].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[55].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[7] ),
+    .Y(\B_0_1.WORD[55].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[55].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[55].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[55].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[55].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[55].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[55].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[55].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[55].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[55].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[55].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[55].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[55].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[55].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[55].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[55].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[55].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[55].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[55].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[55].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[55].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[55].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[55].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[55].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[55].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[55].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[55].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[55].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[55].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[55].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[55].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[55].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[55].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[6].U.SEL[7] ),
+    .Y(\B_0_1.WORD[55].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[56].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[56].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[56].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[56].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[56].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[56].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[56].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[56].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[56].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[56].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[56].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[56].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[56].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[56].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[56].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[56].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[56].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[56].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[56].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[56].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[56].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[56].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[56].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[56].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[56].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[56].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[56].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[56].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[56].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[56].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[0] ),
+    .Y(\B_0_1.WORD[56].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[56].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[56].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[56].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[56].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[56].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[56].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[56].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[56].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[56].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[56].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[56].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[56].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[56].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[56].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[56].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[56].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[56].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[56].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[56].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[56].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[56].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[56].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[56].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[56].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[56].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[56].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[56].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[56].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[56].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[56].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[0] ),
+    .Y(\B_0_1.WORD[56].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[56].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[56].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[56].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[56].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[56].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[56].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[56].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[56].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[56].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[56].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[56].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[56].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[56].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[56].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[56].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[56].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[56].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[56].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[56].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[56].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[56].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[56].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[56].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[56].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[56].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[56].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[56].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[56].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[56].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[56].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[0] ),
+    .Y(\B_0_1.WORD[56].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[56].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[56].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[56].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[56].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[56].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[56].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[56].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[56].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[56].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[56].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[56].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[56].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[56].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[56].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[56].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[56].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[56].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[56].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[56].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[56].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[56].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[56].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[56].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[56].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[56].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[56].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[56].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[56].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[56].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[56].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[56].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[56].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[0] ),
+    .Y(\B_0_1.WORD[56].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[57].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[57].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[57].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[57].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[57].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[57].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[57].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[57].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[57].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[57].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[57].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[57].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[57].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[57].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[57].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[57].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[57].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[57].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[57].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[57].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[57].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[57].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[57].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[57].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[57].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[57].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[57].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[57].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[57].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[57].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[1] ),
+    .Y(\B_0_1.WORD[57].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[57].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[57].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[57].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[57].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[57].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[57].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[57].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[57].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[57].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[57].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[57].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[57].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[57].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[57].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[57].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[57].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[57].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[57].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[57].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[57].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[57].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[57].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[57].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[57].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[57].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[57].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[57].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[57].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[57].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[57].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[1] ),
+    .Y(\B_0_1.WORD[57].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[57].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[57].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[57].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[57].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[57].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[57].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[57].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[57].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[57].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[57].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[57].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[57].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[57].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[57].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[57].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[57].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[57].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[57].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[57].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[57].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[57].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[57].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[57].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[57].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[57].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[57].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[57].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[57].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[57].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[57].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[1] ),
+    .Y(\B_0_1.WORD[57].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[57].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[57].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[57].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[57].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[57].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[57].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[57].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[57].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[57].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[57].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[57].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[57].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[57].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[57].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[57].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[57].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[57].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[57].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[57].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[57].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[57].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[57].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[57].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[57].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[57].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[57].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[57].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[57].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[57].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[57].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[57].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[57].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[1] ),
+    .Y(\B_0_1.WORD[57].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[58].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[58].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[58].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[58].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[58].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[58].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[58].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[58].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[58].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[58].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[58].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[58].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[58].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[58].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[58].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[58].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[58].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[58].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[58].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[58].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[58].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[58].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[58].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[58].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[58].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[58].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[58].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[58].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[58].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[58].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[2] ),
+    .Y(\B_0_1.WORD[58].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[58].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[58].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[58].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[58].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[58].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[58].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[58].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[58].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[58].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[58].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[58].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[58].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[58].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[58].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[58].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[58].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[58].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[58].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[58].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[58].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[58].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[58].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[58].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[58].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[58].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[58].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[58].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[58].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[58].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[58].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[2] ),
+    .Y(\B_0_1.WORD[58].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[58].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[58].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[58].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[58].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[58].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[58].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[58].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[58].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[58].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[58].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[58].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[58].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[58].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[58].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[58].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[58].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[58].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[58].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[58].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[58].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[58].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[58].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[58].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[58].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[58].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[58].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[58].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[58].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[58].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[58].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[2] ),
+    .Y(\B_0_1.WORD[58].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[58].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[58].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[58].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[58].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[58].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[58].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[58].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[58].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[58].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[58].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[58].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[58].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[58].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[58].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[58].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[58].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[58].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[58].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[58].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[58].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[58].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[58].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[58].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[58].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[58].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[58].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[58].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[58].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[58].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[58].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[2] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[58].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[58].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[2] ),
+    .Y(\B_0_1.WORD[58].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[59].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[59].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[59].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[59].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[59].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[59].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[59].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[59].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[59].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[59].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[59].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[59].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[59].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[59].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[59].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[59].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[59].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[59].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[59].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[59].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[59].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[59].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[59].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[59].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[59].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[59].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[59].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[59].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[59].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[59].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[3] ),
+    .Y(\B_0_1.WORD[59].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[59].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[59].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[59].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[59].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[59].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[59].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[59].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[59].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[59].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[59].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[59].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[59].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[59].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[59].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[59].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[59].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[59].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[59].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[59].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[59].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[59].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[59].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[59].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[59].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[59].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[59].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[59].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[59].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[59].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[59].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[3] ),
+    .Y(\B_0_1.WORD[59].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[59].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[59].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[59].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[59].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[59].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[59].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[59].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[59].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[59].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[59].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[59].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[59].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[59].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[59].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[59].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[59].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[59].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[59].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[59].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[59].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[59].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[59].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[59].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[59].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[59].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[59].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[59].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[59].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[59].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[59].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[3] ),
+    .Y(\B_0_1.WORD[59].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[59].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[59].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[59].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[59].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[59].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[59].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[59].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[59].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[59].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[59].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[59].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[59].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[59].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[59].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[59].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[59].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[59].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[59].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[59].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[59].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[59].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[59].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[59].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[59].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[59].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[59].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[59].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[59].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[59].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[59].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[3] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[59].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[59].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[3] ),
+    .Y(\B_0_1.WORD[59].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[5].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[5].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[5].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[5].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[5].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[5].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[5].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[5].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[5].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[5].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[5].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[5].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[5].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[5].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[5].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[5].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[5].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[5].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[5].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[5].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[5].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[5].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[5].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[5].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[5].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[5].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[5].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[5].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[5].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[5].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[5] ),
+    .Y(\B_0_1.WORD[5].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[5].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[5].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[5].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[5].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[5].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[5].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[5].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[5].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[5].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[5].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[5].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[5].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[5].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[5].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[5].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[5].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[5].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[5].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[5].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[5].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[5].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[5].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[5].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[5].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[5].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[5].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[5].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[5].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[5].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[5].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[5] ),
+    .Y(\B_0_1.WORD[5].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[5].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[5].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[5].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[5].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[5].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[5].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[5].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[5].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[5].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[5].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[5].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[5].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[5].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[5].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[5].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[5].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[5].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[5].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[5].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[5].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[5].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[5].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[5].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[5].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[5].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[5].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[5].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[5].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[5].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[5].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[5] ),
+    .Y(\B_0_1.WORD[5].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[5].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[5].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[5].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[5].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[5].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[5].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[5].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[5].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[5].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[5].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[5].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[5].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[5].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[5].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[5].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[5].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[5].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[5].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[5].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[5].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[5].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[5].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[5].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[5].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[5].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[5].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[5].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[5].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[5].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[5].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[5].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[5].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[5] ),
+    .Y(\B_0_1.WORD[5].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[60].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[60].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[60].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[60].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[60].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[60].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[60].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[60].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[60].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[60].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[60].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[60].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[60].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[60].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[60].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[60].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[60].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[60].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[60].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[60].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[60].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[60].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[60].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[60].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[60].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[60].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[60].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[60].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[60].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[60].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[4] ),
+    .Y(\B_0_1.WORD[60].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[60].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[60].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[60].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[60].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[60].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[60].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[60].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[60].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[60].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[60].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[60].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[60].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[60].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[60].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[60].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[60].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[60].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[60].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[60].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[60].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[60].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[60].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[60].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[60].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[60].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[60].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[60].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[60].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[60].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[60].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[4] ),
+    .Y(\B_0_1.WORD[60].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[60].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[60].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[60].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[60].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[60].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[60].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[60].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[60].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[60].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[60].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[60].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[60].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[60].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[60].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[60].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[60].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[60].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[60].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[60].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[60].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[60].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[60].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[60].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[60].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[60].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[60].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[60].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[60].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[60].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[60].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[4] ),
+    .Y(\B_0_1.WORD[60].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[60].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[60].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[60].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[60].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[60].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[60].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[60].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[60].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[60].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[60].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[60].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[60].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[60].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[60].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[60].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[60].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[60].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[60].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[60].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[60].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[60].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[60].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[60].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[60].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[60].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[60].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[60].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[60].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[60].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[60].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[4] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[60].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[60].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[4] ),
+    .Y(\B_0_1.WORD[60].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[61].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[61].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[61].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[61].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[61].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[61].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[61].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[61].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[61].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[61].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[61].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[61].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[61].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[61].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[61].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[61].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[61].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[61].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[61].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[61].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[61].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[61].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[61].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[61].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[61].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[61].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[61].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[61].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[61].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[61].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[5] ),
+    .Y(\B_0_1.WORD[61].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[61].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[61].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[61].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[61].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[61].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[61].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[61].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[61].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[61].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[61].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[61].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[61].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[61].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[61].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[61].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[61].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[61].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[61].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[61].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[61].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[61].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[61].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[61].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[61].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[61].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[61].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[61].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[61].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[61].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[61].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[5] ),
+    .Y(\B_0_1.WORD[61].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[61].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[61].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[61].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[61].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[61].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[61].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[61].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[61].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[61].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[61].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[61].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[61].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[61].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[61].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[61].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[61].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[61].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[61].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[61].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[61].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[61].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[61].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[61].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[61].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[61].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[61].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[61].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[61].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[61].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[61].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[5] ),
+    .Y(\B_0_1.WORD[61].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[61].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[61].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[61].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[61].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[61].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[61].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[61].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[61].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[61].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[61].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[61].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[61].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[61].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[61].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[61].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[61].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[61].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[61].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[61].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[61].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[61].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[61].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[61].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[61].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[61].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[61].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[61].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[61].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[61].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[61].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[5] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[61].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[61].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[5] ),
+    .Y(\B_0_1.WORD[61].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[62].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[62].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[62].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[62].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[62].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[62].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[62].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[62].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[62].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[62].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[62].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[62].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[62].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[62].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[62].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[62].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[62].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[62].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[62].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[62].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[62].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[62].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[62].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[62].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[62].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[62].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[62].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[62].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[62].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[62].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[6] ),
+    .Y(\B_0_1.WORD[62].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[62].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[62].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[62].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[62].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[62].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[62].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[62].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[62].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[62].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[62].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[62].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[62].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[62].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[62].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[62].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[62].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[62].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[62].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[62].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[62].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[62].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[62].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[62].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[62].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[62].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[62].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[62].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[62].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[62].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[62].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[6] ),
+    .Y(\B_0_1.WORD[62].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[62].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[62].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[62].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[62].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[62].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[62].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[62].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[62].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[62].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[62].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[62].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[62].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[62].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[62].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[62].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[62].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[62].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[62].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[62].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[62].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[62].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[62].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[62].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[62].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[62].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[62].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[62].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[62].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[62].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[62].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[6] ),
+    .Y(\B_0_1.WORD[62].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[62].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[62].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[62].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[62].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[62].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[62].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[62].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[62].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[62].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[62].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[62].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[62].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[62].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[62].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[62].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[62].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[62].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[62].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[62].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[62].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[62].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[62].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[62].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[62].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[62].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[62].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[62].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[62].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[62].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[62].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[62].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[62].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[6] ),
+    .Y(\B_0_1.WORD[62].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[63].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[63].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[63].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[63].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[63].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[63].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[63].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[63].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[63].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[63].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[63].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[63].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[63].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[63].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[63].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[63].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[63].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[63].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[63].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[63].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[63].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[63].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[63].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[63].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[63].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[63].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[63].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[63].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[63].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[63].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[7] ),
+    .Y(\B_0_1.WORD[63].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[63].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[63].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[63].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[63].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[63].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[63].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[63].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[63].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[63].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[63].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[63].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[63].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[63].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[63].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[63].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[63].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[63].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[63].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[63].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[63].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[63].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[63].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[63].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[63].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[63].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[63].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[63].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[63].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[63].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[63].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[7] ),
+    .Y(\B_0_1.WORD[63].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[63].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[63].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[63].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[63].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[63].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[63].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[63].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[63].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[63].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[63].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[63].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[63].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[63].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[63].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[63].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[63].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[63].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[63].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[63].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[63].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[63].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[63].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[63].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[63].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[63].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[63].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[63].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[63].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[63].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[63].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[7] ),
+    .Y(\B_0_1.WORD[63].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[63].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[63].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[63].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[63].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[63].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[63].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[63].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[63].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[63].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[63].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[63].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[63].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[63].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[63].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[63].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[63].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[63].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[63].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[63].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[63].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[63].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[63].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[63].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[63].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[63].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[63].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[63].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[63].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[63].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[63].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[63].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[63].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[7].U.SEL[7] ),
+    .Y(\B_0_1.WORD[63].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[6].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[6].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[6].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[6].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[6].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[6].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[6].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[6].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[6].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[6].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[6].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[6].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[6].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[6].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[6].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[6].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[6].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[6].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[6].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[6].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[6].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[6].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[6].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[6].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[6].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[6].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[6].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[6].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[6].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[6].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[6] ),
+    .Y(\B_0_1.WORD[6].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[6].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[6].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[6].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[6].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[6].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[6].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[6].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[6].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[6].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[6].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[6].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[6].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[6].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[6].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[6].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[6].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[6].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[6].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[6].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[6].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[6].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[6].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[6].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[6].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[6].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[6].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[6].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[6].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[6].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[6].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[6] ),
+    .Y(\B_0_1.WORD[6].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[6].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[6].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[6].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[6].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[6].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[6].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[6].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[6].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[6].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[6].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[6].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[6].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[6].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[6].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[6].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[6].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[6].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[6].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[6].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[6].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[6].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[6].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[6].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[6].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[6].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[6].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[6].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[6].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[6].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[6].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[6] ),
+    .Y(\B_0_1.WORD[6].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[6].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[6].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[6].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[6].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[6].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[6].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[6].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[6].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[6].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[6].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[6].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[6].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[6].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[6].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[6].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[6].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[6].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[6].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[6].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[6].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[6].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[6].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[6].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[6].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[6].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[6].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[6].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[6].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[6].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[6].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[6] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[6].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[6].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[6] ),
+    .Y(\B_0_1.WORD[6].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[7].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[7].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[7].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[7].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[7].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[7].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[7].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[7].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[7].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[7].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[7].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[7].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[7].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[7].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[7].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[7].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[7].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[7].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[7].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[7].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[7].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[7].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[7].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[7].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[7].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[7].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[7].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[7].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[7].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[7].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[7] ),
+    .Y(\B_0_1.WORD[7].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[7].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[7].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[7].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[7].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[7].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[7].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[7].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[7].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[7].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[7].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[7].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[7].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[7].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[7].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[7].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[7].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[7].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[7].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[7].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[7].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[7].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[7].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[7].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[7].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[7].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[7].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[7].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[7].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[7].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[7].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[7] ),
+    .Y(\B_0_1.WORD[7].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[7].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[7].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[7].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[7].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[7].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[7].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[7].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[7].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[7].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[7].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[7].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[7].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[7].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[7].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[7].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[7].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[7].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[7].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[7].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[7].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[7].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[7].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[7].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[7].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[7].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[7].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[7].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[7].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[7].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[7].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[7] ),
+    .Y(\B_0_1.WORD[7].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[7].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[7].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[7].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[7].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[7].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[7].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[7].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[7].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[7].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[7].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[7].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[7].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[7].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[7].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[7].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[7].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[7].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[7].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[7].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[7].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[7].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[7].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[7].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[7].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[7].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[7].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[7].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[7].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[7].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[7].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[7] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[7].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[7].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[0].U.SEL[7] ),
+    .Y(\B_0_1.WORD[7].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[8].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[8].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[8].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[8].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[8].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[8].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[8].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[8].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[8].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[8].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[8].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[8].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[8].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[8].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[8].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[8].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[8].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[8].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[8].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[8].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[8].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[8].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[8].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[8].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[8].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[8].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[8].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[8].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[8].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[8].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[0] ),
+    .Y(\B_0_1.WORD[8].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[8].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[8].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[8].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[8].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[8].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[8].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[8].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[8].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[8].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[8].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[8].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[8].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[8].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[8].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[8].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[8].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[8].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[8].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[8].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[8].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[8].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[8].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[8].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[8].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[8].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[8].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[8].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[8].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[8].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[8].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[0] ),
+    .Y(\B_0_1.WORD[8].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[8].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[8].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[8].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[8].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[8].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[8].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[8].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[8].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[8].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[8].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[8].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[8].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[8].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[8].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[8].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[8].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[8].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[8].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[8].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[8].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[8].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[8].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[8].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[8].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[8].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[8].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[8].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[8].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[8].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[8].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[0] ),
+    .Y(\B_0_1.WORD[8].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[8].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[8].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[8].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[8].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[8].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[8].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[8].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[8].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[8].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[8].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[8].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[8].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[8].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[8].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[8].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[8].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[8].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[8].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[8].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[8].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[8].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[8].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[8].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[8].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[8].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[8].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[8].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[8].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[8].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[8].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[0] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[8].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[8].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[0] ),
+    .Y(\B_0_1.WORD[8].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B0.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[9].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[0] ),
+    .Q(\B_0_1.WORD[9].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B0.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[9].W.B0.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B0.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[9].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[1] ),
+    .Q(\B_0_1.WORD[9].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B0.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[9].W.B0.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B0.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[9].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[2] ),
+    .Q(\B_0_1.WORD[9].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B0.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[9].W.B0.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B0.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[9].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[3] ),
+    .Q(\B_0_1.WORD[9].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B0.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[9].W.B0.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B0.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[9].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[4] ),
+    .Q(\B_0_1.WORD[9].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B0.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[9].W.B0.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B0.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[9].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[5] ),
+    .Q(\B_0_1.WORD[9].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B0.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[9].W.B0.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B0.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[9].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[6] ),
+    .Q(\B_0_1.WORD[9].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B0.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[9].W.B0.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B0.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[9].W.B0.GCLK ),
+    .D(\B_0_1.Di_buf[7] ),
+    .Q(\B_0_1.WORD[9].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B0.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[9].W.B0.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_1.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[9].W.B0.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[9].W.B0.we_wire ),
+    .GCLK(\B_0_1.WORD[9].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[9].W.B0.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[0] ),
+    .X(\B_0_1.WORD[9].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[9].W.B0.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[1] ),
+    .Y(\B_0_1.WORD[9].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B1.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[9].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[8] ),
+    .Q(\B_0_1.WORD[9].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B1.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[9].W.B1.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B1.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[9].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[9] ),
+    .Q(\B_0_1.WORD[9].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B1.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[9].W.B1.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B1.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[9].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[10] ),
+    .Q(\B_0_1.WORD[9].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B1.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[9].W.B1.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B1.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[9].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[11] ),
+    .Q(\B_0_1.WORD[9].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B1.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[9].W.B1.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B1.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[9].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[12] ),
+    .Q(\B_0_1.WORD[9].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B1.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[9].W.B1.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B1.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[9].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[13] ),
+    .Q(\B_0_1.WORD[9].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B1.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[9].W.B1.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B1.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[9].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[14] ),
+    .Q(\B_0_1.WORD[9].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B1.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[9].W.B1.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B1.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[9].W.B1.GCLK ),
+    .D(\B_0_1.Di_buf[15] ),
+    .Q(\B_0_1.WORD[9].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B1.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[9].W.B1.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_1.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[9].W.B1.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[9].W.B1.we_wire ),
+    .GCLK(\B_0_1.WORD[9].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[9].W.B1.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[1] ),
+    .X(\B_0_1.WORD[9].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[9].W.B1.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[1] ),
+    .Y(\B_0_1.WORD[9].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B2.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[9].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[16] ),
+    .Q(\B_0_1.WORD[9].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B2.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[9].W.B2.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B2.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[9].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[17] ),
+    .Q(\B_0_1.WORD[9].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B2.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[9].W.B2.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B2.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[9].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[18] ),
+    .Q(\B_0_1.WORD[9].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B2.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[9].W.B2.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B2.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[9].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[19] ),
+    .Q(\B_0_1.WORD[9].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B2.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[9].W.B2.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B2.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[9].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[20] ),
+    .Q(\B_0_1.WORD[9].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B2.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[9].W.B2.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B2.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[9].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[21] ),
+    .Q(\B_0_1.WORD[9].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B2.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[9].W.B2.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B2.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[9].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[22] ),
+    .Q(\B_0_1.WORD[9].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B2.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[9].W.B2.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B2.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[9].W.B2.GCLK ),
+    .D(\B_0_1.Di_buf[23] ),
+    .Q(\B_0_1.WORD[9].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B2.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[9].W.B2.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_1.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[9].W.B2.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[9].W.B2.we_wire ),
+    .GCLK(\B_0_1.WORD[9].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[9].W.B2.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[2] ),
+    .X(\B_0_1.WORD[9].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[9].W.B2.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[1] ),
+    .Y(\B_0_1.WORD[9].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B3.BIT[0].FF  (
+    .CLK(\B_0_1.WORD[9].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[24] ),
+    .Q(\B_0_1.WORD[9].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B3.BIT[0].OBUF  (
+    .A(\B_0_1.WORD[9].W.B3.q_wire[0] ),
+    .TE_B(\B_0_1.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B3.BIT[1].FF  (
+    .CLK(\B_0_1.WORD[9].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[25] ),
+    .Q(\B_0_1.WORD[9].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B3.BIT[1].OBUF  (
+    .A(\B_0_1.WORD[9].W.B3.q_wire[1] ),
+    .TE_B(\B_0_1.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B3.BIT[2].FF  (
+    .CLK(\B_0_1.WORD[9].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[26] ),
+    .Q(\B_0_1.WORD[9].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B3.BIT[2].OBUF  (
+    .A(\B_0_1.WORD[9].W.B3.q_wire[2] ),
+    .TE_B(\B_0_1.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B3.BIT[3].FF  (
+    .CLK(\B_0_1.WORD[9].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[27] ),
+    .Q(\B_0_1.WORD[9].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B3.BIT[3].OBUF  (
+    .A(\B_0_1.WORD[9].W.B3.q_wire[3] ),
+    .TE_B(\B_0_1.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B3.BIT[4].FF  (
+    .CLK(\B_0_1.WORD[9].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[28] ),
+    .Q(\B_0_1.WORD[9].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B3.BIT[4].OBUF  (
+    .A(\B_0_1.WORD[9].W.B3.q_wire[4] ),
+    .TE_B(\B_0_1.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B3.BIT[5].FF  (
+    .CLK(\B_0_1.WORD[9].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[29] ),
+    .Q(\B_0_1.WORD[9].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B3.BIT[5].OBUF  (
+    .A(\B_0_1.WORD[9].W.B3.q_wire[5] ),
+    .TE_B(\B_0_1.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B3.BIT[6].FF  (
+    .CLK(\B_0_1.WORD[9].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[30] ),
+    .Q(\B_0_1.WORD[9].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B3.BIT[6].OBUF  (
+    .A(\B_0_1.WORD[9].W.B3.q_wire[6] ),
+    .TE_B(\B_0_1.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_1.WORD[9].W.B3.BIT[7].FF  (
+    .CLK(\B_0_1.WORD[9].W.B3.GCLK ),
+    .D(\B_0_1.Di_buf[31] ),
+    .Q(\B_0_1.WORD[9].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_1.WORD[9].W.B3.BIT[7].OBUF  (
+    .A(\B_0_1.WORD[9].W.B3.q_wire[7] ),
+    .TE_B(\B_0_1.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_1.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_1.WORD[9].W.B3.CG  (
+    .CLK(\B_0_1.CLK_buf ),
+    .GATE(\B_0_1.WORD[9].W.B3.we_wire ),
+    .GCLK(\B_0_1.WORD[9].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_1.WORD[9].W.B3.CGAND  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[1] ),
+    .B(\B_0_1.WE_buf[3] ),
+    .X(\B_0_1.WORD[9].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_1.WORD[9].W.B3.INV  (
+    .A(\B_0_1.DEC.DEC_L1[1].U.SEL[1] ),
+    .Y(\B_0_1.WORD[9].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.CLKBUF  (
+    .A(\B_0_0.CLK ),
+    .X(\B_0_2.CLK_buf )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_2.DEC.DEC_L0.AND0  (
+    .A(A[3]),
+    .B(A[4]),
+    .C(A[5]),
+    .D_N(\B_0_2.DEC.DEC_L0.EN ),
+    .Y(\B_0_2.DEC.DEC_L0.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L0.AND1  (
+    .A_N(A[5]),
+    .B_N(A[4]),
+    .C(A[3]),
+    .D(\B_0_2.DEC.DEC_L0.EN ),
+    .X(\B_0_2.DEC.DEC_L0.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L0.AND2  (
+    .A_N(A[5]),
+    .B_N(A[3]),
+    .C(A[4]),
+    .D(\B_0_2.DEC.DEC_L0.EN ),
+    .X(\B_0_2.DEC.DEC_L0.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L0.AND3  (
+    .A_N(A[5]),
+    .B(A[4]),
+    .C(A[3]),
+    .D(\B_0_2.DEC.DEC_L0.EN ),
+    .X(\B_0_2.DEC.DEC_L0.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L0.AND4  (
+    .A_N(A[3]),
+    .B_N(A[4]),
+    .C(A[5]),
+    .D(\B_0_2.DEC.DEC_L0.EN ),
+    .X(\B_0_2.DEC.DEC_L0.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L0.AND5  (
+    .A_N(A[4]),
+    .B(A[3]),
+    .C(A[5]),
+    .D(\B_0_2.DEC.DEC_L0.EN ),
+    .X(\B_0_2.DEC.DEC_L0.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L0.AND6  (
+    .A_N(A[3]),
+    .B(A[4]),
+    .C(A[5]),
+    .D(\B_0_2.DEC.DEC_L0.EN ),
+    .X(\B_0_2.DEC.DEC_L0.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_2.DEC.DEC_L0.AND7  (
+    .A(A[3]),
+    .B(A[4]),
+    .C(A[5]),
+    .D(\B_0_2.DEC.DEC_L0.EN ),
+    .X(\B_0_2.DEC.DEC_L0.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_2.DEC.DEC_L1[0].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_2.DEC.DEC_L0.SEL[0] ),
+    .Y(\B_0_2.DEC.DEC_L1[0].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[0].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_2.DEC.DEC_L1[0].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[0].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_2.DEC.DEC_L1[0].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[0].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_2.DEC.DEC_L1[0].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[0].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_2.DEC.DEC_L1[0].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[0].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_2.DEC.DEC_L1[0].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[0].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_2.DEC.DEC_L1[0].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_2.DEC.DEC_L1[0].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_2.DEC.DEC_L1[0].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_2.DEC.DEC_L1[1].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_2.DEC.DEC_L0.SEL[1] ),
+    .Y(\B_0_2.DEC.DEC_L1[1].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[1].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_2.DEC.DEC_L1[1].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[1].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_2.DEC.DEC_L1[1].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[1].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_2.DEC.DEC_L1[1].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[1].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_2.DEC.DEC_L1[1].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[1].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_2.DEC.DEC_L1[1].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[1].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_2.DEC.DEC_L1[1].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_2.DEC.DEC_L1[1].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_2.DEC.DEC_L1[1].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_2.DEC.DEC_L1[2].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_2.DEC.DEC_L0.SEL[2] ),
+    .Y(\B_0_2.DEC.DEC_L1[2].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[2].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_2.DEC.DEC_L1[2].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[2].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_2.DEC.DEC_L1[2].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[2].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_2.DEC.DEC_L1[2].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[2].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_2.DEC.DEC_L1[2].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[2].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_2.DEC.DEC_L1[2].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[2].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_2.DEC.DEC_L1[2].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_2.DEC.DEC_L1[2].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_2.DEC.DEC_L1[2].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_2.DEC.DEC_L1[3].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_2.DEC.DEC_L0.SEL[3] ),
+    .Y(\B_0_2.DEC.DEC_L1[3].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[3].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_2.DEC.DEC_L1[3].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[3].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_2.DEC.DEC_L1[3].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[3].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_2.DEC.DEC_L1[3].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[3].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_2.DEC.DEC_L1[3].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[3].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_2.DEC.DEC_L1[3].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[3].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_2.DEC.DEC_L1[3].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_2.DEC.DEC_L1[3].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_2.DEC.DEC_L1[3].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_2.DEC.DEC_L1[4].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_2.DEC.DEC_L0.SEL[4] ),
+    .Y(\B_0_2.DEC.DEC_L1[4].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[4].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_2.DEC.DEC_L1[4].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[4].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_2.DEC.DEC_L1[4].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[4].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_2.DEC.DEC_L1[4].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[4].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_2.DEC.DEC_L1[4].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[4].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_2.DEC.DEC_L1[4].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[4].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_2.DEC.DEC_L1[4].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_2.DEC.DEC_L1[4].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_2.DEC.DEC_L1[4].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_2.DEC.DEC_L1[5].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_2.DEC.DEC_L0.SEL[5] ),
+    .Y(\B_0_2.DEC.DEC_L1[5].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[5].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_2.DEC.DEC_L1[5].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[5].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_2.DEC.DEC_L1[5].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[5].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_2.DEC.DEC_L1[5].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[5].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_2.DEC.DEC_L1[5].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[5].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_2.DEC.DEC_L1[5].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[5].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_2.DEC.DEC_L1[5].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_2.DEC.DEC_L1[5].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_2.DEC.DEC_L1[5].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_2.DEC.DEC_L1[6].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_2.DEC.DEC_L0.SEL[6] ),
+    .Y(\B_0_2.DEC.DEC_L1[6].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[6].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_2.DEC.DEC_L1[6].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[6].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_2.DEC.DEC_L1[6].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[6].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_2.DEC.DEC_L1[6].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[6].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_2.DEC.DEC_L1[6].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[6].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_2.DEC.DEC_L1[6].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[6].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_2.DEC.DEC_L1[6].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_2.DEC.DEC_L1[6].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_2.DEC.DEC_L1[6].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_2.DEC.DEC_L1[7].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_2.DEC.DEC_L0.SEL[7] ),
+    .Y(\B_0_2.DEC.DEC_L1[7].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[7].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_2.DEC.DEC_L1[7].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[7].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_2.DEC.DEC_L1[7].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[7].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_2.DEC.DEC_L1[7].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_2.DEC.DEC_L1[7].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_2.DEC.DEC_L1[7].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[7].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_2.DEC.DEC_L1[7].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_2.DEC.DEC_L1[7].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_2.DEC.DEC_L1[7].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_2.DEC.DEC_L1[7].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_2.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_2.DEC.DEC_L1[7].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[0]  (
+    .A(\B_0_0.Di[0] ),
+    .X(\B_0_2.Di_buf[0] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[10]  (
+    .A(\B_0_0.Di[10] ),
+    .X(\B_0_2.Di_buf[10] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[11]  (
+    .A(\B_0_0.Di[11] ),
+    .X(\B_0_2.Di_buf[11] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[12]  (
+    .A(\B_0_0.Di[12] ),
+    .X(\B_0_2.Di_buf[12] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[13]  (
+    .A(\B_0_0.Di[13] ),
+    .X(\B_0_2.Di_buf[13] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[14]  (
+    .A(\B_0_0.Di[14] ),
+    .X(\B_0_2.Di_buf[14] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[15]  (
+    .A(\B_0_0.Di[15] ),
+    .X(\B_0_2.Di_buf[15] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[16]  (
+    .A(\B_0_0.Di[16] ),
+    .X(\B_0_2.Di_buf[16] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[17]  (
+    .A(\B_0_0.Di[17] ),
+    .X(\B_0_2.Di_buf[17] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[18]  (
+    .A(\B_0_0.Di[18] ),
+    .X(\B_0_2.Di_buf[18] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[19]  (
+    .A(\B_0_0.Di[19] ),
+    .X(\B_0_2.Di_buf[19] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[1]  (
+    .A(\B_0_0.Di[1] ),
+    .X(\B_0_2.Di_buf[1] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[20]  (
+    .A(\B_0_0.Di[20] ),
+    .X(\B_0_2.Di_buf[20] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[21]  (
+    .A(\B_0_0.Di[21] ),
+    .X(\B_0_2.Di_buf[21] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[22]  (
+    .A(\B_0_0.Di[22] ),
+    .X(\B_0_2.Di_buf[22] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[23]  (
+    .A(\B_0_0.Di[23] ),
+    .X(\B_0_2.Di_buf[23] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[24]  (
+    .A(\B_0_0.Di[24] ),
+    .X(\B_0_2.Di_buf[24] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[25]  (
+    .A(\B_0_0.Di[25] ),
+    .X(\B_0_2.Di_buf[25] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[26]  (
+    .A(\B_0_0.Di[26] ),
+    .X(\B_0_2.Di_buf[26] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[27]  (
+    .A(\B_0_0.Di[27] ),
+    .X(\B_0_2.Di_buf[27] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[28]  (
+    .A(\B_0_0.Di[28] ),
+    .X(\B_0_2.Di_buf[28] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[29]  (
+    .A(\B_0_0.Di[29] ),
+    .X(\B_0_2.Di_buf[29] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[2]  (
+    .A(\B_0_0.Di[2] ),
+    .X(\B_0_2.Di_buf[2] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[30]  (
+    .A(\B_0_0.Di[30] ),
+    .X(\B_0_2.Di_buf[30] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[31]  (
+    .A(\B_0_0.Di[31] ),
+    .X(\B_0_2.Di_buf[31] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[3]  (
+    .A(\B_0_0.Di[3] ),
+    .X(\B_0_2.Di_buf[3] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[4]  (
+    .A(\B_0_0.Di[4] ),
+    .X(\B_0_2.Di_buf[4] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[5]  (
+    .A(\B_0_0.Di[5] ),
+    .X(\B_0_2.Di_buf[5] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[6]  (
+    .A(\B_0_0.Di[6] ),
+    .X(\B_0_2.Di_buf[6] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[7]  (
+    .A(\B_0_0.Di[7] ),
+    .X(\B_0_2.Di_buf[7] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[8]  (
+    .A(\B_0_0.Di[8] ),
+    .X(\B_0_2.Di_buf[8] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.DIBUF[9]  (
+    .A(\B_0_0.Di[9] ),
+    .X(\B_0_2.Di_buf[9] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[0]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[10]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[11]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[12]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[13]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[14]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[15]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[16]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[17]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[18]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[19]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[1]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[20]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[21]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[22]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[23]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[24]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[25]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[26]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[27]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[28]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[29]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[2]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[30]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[31]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[3]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[4]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[5]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[6]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[7]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[8]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_2.FLOATBUF[9]  (
+    .A(\B_0_2.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_2.DEC.DEC_L0.EN ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[0].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[0] ),
+    .Q(\B_0_2.Do[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[10].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[10] ),
+    .Q(\B_0_2.Do[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[11].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[11] ),
+    .Q(\B_0_2.Do[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[12].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[12] ),
+    .Q(\B_0_2.Do[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[13].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[13] ),
+    .Q(\B_0_2.Do[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[14].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[14] ),
+    .Q(\B_0_2.Do[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[15].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[15] ),
+    .Q(\B_0_2.Do[15] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[16].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[16] ),
+    .Q(\B_0_2.Do[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[17].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[17] ),
+    .Q(\B_0_2.Do[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[18].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[18] ),
+    .Q(\B_0_2.Do[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[19].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[19] ),
+    .Q(\B_0_2.Do[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[1].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[1] ),
+    .Q(\B_0_2.Do[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[20].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[20] ),
+    .Q(\B_0_2.Do[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[21].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[21] ),
+    .Q(\B_0_2.Do[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[22].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[22] ),
+    .Q(\B_0_2.Do[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[23].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[23] ),
+    .Q(\B_0_2.Do[23] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[24].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[24] ),
+    .Q(\B_0_2.Do[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[25].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[25] ),
+    .Q(\B_0_2.Do[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[26].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[26] ),
+    .Q(\B_0_2.Do[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[27].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[27] ),
+    .Q(\B_0_2.Do[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[28].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[28] ),
+    .Q(\B_0_2.Do[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[29].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[29] ),
+    .Q(\B_0_2.Do[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[2].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[2] ),
+    .Q(\B_0_2.Do[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[30].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[30] ),
+    .Q(\B_0_2.Do[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[31].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[31] ),
+    .Q(\B_0_2.Do[31] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[3].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[3] ),
+    .Q(\B_0_2.Do[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[4].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[4] ),
+    .Q(\B_0_2.Do[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[5].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[5] ),
+    .Q(\B_0_2.Do[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[6].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[6] ),
+    .Q(\B_0_2.Do[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[7].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[7] ),
+    .Q(\B_0_2.Do[7] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[8].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[8] ),
+    .Q(\B_0_2.Do[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.OUT[9].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_2.Do_pre[9] ),
+    .Q(\B_0_2.Do[9] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.WEBUF[0]  (
+    .A(\B_0_0.WE[0] ),
+    .X(\B_0_2.WE_buf[0] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.WEBUF[1]  (
+    .A(\B_0_0.WE[1] ),
+    .X(\B_0_2.WE_buf[1] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.WEBUF[2]  (
+    .A(\B_0_0.WE[2] ),
+    .X(\B_0_2.WE_buf[2] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_2.WEBUF[3]  (
+    .A(\B_0_0.WE[3] ),
+    .X(\B_0_2.WE_buf[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[0].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[0].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[0].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[0].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[0].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[0].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[0].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[0].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[0].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[0].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[0].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[0].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[0].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[0].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[0].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[0].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[0].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[0].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[0].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[0].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[0].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[0].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[0].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[0].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[0].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[0].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[0].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[0].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[0].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[0].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[0] ),
+    .Y(\B_0_2.WORD[0].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[0].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[0].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[0].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[0].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[0].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[0].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[0].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[0].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[0].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[0].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[0].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[0].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[0].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[0].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[0].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[0].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[0].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[0].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[0].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[0].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[0].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[0].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[0].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[0].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[0].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[0].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[0].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[0].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[0].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[0].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[0] ),
+    .Y(\B_0_2.WORD[0].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[0].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[0].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[0].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[0].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[0].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[0].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[0].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[0].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[0].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[0].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[0].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[0].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[0].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[0].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[0].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[0].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[0].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[0].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[0].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[0].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[0].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[0].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[0].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[0].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[0].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[0].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[0].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[0].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[0].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[0].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[0] ),
+    .Y(\B_0_2.WORD[0].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[0].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[0].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[0].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[0].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[0].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[0].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[0].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[0].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[0].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[0].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[0].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[0].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[0].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[0].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[0].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[0].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[0].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[0].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[0].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[0].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[0].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[0].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[0].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[0].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[0].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[0].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[0].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[0].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[0].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[0].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[0].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[0].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[0] ),
+    .Y(\B_0_2.WORD[0].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[10].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[10].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[10].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[10].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[10].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[10].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[10].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[10].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[10].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[10].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[10].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[10].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[10].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[10].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[10].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[10].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[10].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[10].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[10].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[10].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[10].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[10].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[10].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[10].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[10].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[10].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[10].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[10].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[10].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[10].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[2] ),
+    .Y(\B_0_2.WORD[10].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[10].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[10].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[10].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[10].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[10].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[10].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[10].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[10].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[10].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[10].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[10].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[10].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[10].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[10].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[10].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[10].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[10].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[10].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[10].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[10].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[10].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[10].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[10].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[10].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[10].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[10].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[10].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[10].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[10].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[10].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[2] ),
+    .Y(\B_0_2.WORD[10].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[10].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[10].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[10].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[10].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[10].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[10].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[10].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[10].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[10].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[10].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[10].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[10].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[10].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[10].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[10].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[10].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[10].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[10].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[10].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[10].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[10].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[10].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[10].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[10].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[10].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[10].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[10].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[10].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[10].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[10].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[2] ),
+    .Y(\B_0_2.WORD[10].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[10].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[10].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[10].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[10].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[10].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[10].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[10].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[10].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[10].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[10].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[10].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[10].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[10].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[10].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[10].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[10].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[10].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[10].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[10].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[10].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[10].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[10].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[10].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[10].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[10].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[10].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[10].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[10].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[10].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[10].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[10].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[10].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[2] ),
+    .Y(\B_0_2.WORD[10].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[11].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[11].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[11].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[11].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[11].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[11].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[11].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[11].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[11].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[11].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[11].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[11].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[11].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[11].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[11].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[11].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[11].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[11].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[11].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[11].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[11].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[11].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[11].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[11].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[11].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[11].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[11].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[11].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[11].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[11].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[3] ),
+    .Y(\B_0_2.WORD[11].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[11].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[11].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[11].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[11].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[11].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[11].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[11].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[11].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[11].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[11].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[11].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[11].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[11].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[11].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[11].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[11].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[11].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[11].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[11].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[11].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[11].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[11].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[11].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[11].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[11].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[11].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[11].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[11].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[11].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[11].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[3] ),
+    .Y(\B_0_2.WORD[11].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[11].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[11].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[11].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[11].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[11].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[11].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[11].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[11].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[11].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[11].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[11].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[11].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[11].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[11].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[11].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[11].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[11].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[11].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[11].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[11].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[11].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[11].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[11].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[11].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[11].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[11].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[11].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[11].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[11].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[11].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[3] ),
+    .Y(\B_0_2.WORD[11].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[11].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[11].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[11].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[11].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[11].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[11].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[11].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[11].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[11].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[11].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[11].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[11].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[11].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[11].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[11].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[11].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[11].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[11].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[11].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[11].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[11].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[11].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[11].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[11].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[11].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[11].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[11].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[11].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[11].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[11].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[11].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[11].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[3] ),
+    .Y(\B_0_2.WORD[11].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[12].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[12].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[12].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[12].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[12].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[12].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[12].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[12].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[12].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[12].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[12].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[12].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[12].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[12].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[12].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[12].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[12].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[12].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[12].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[12].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[12].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[12].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[12].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[12].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[12].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[12].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[12].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[12].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[12].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[12].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[4] ),
+    .Y(\B_0_2.WORD[12].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[12].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[12].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[12].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[12].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[12].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[12].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[12].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[12].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[12].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[12].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[12].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[12].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[12].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[12].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[12].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[12].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[12].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[12].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[12].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[12].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[12].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[12].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[12].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[12].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[12].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[12].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[12].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[12].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[12].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[12].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[4] ),
+    .Y(\B_0_2.WORD[12].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[12].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[12].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[12].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[12].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[12].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[12].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[12].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[12].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[12].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[12].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[12].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[12].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[12].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[12].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[12].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[12].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[12].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[12].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[12].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[12].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[12].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[12].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[12].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[12].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[12].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[12].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[12].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[12].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[12].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[12].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[4] ),
+    .Y(\B_0_2.WORD[12].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[12].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[12].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[12].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[12].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[12].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[12].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[12].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[12].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[12].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[12].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[12].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[12].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[12].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[12].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[12].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[12].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[12].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[12].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[12].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[12].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[12].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[12].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[12].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[12].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[12].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[12].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[12].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[12].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[12].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[12].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[12].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[12].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[4] ),
+    .Y(\B_0_2.WORD[12].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[13].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[13].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[13].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[13].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[13].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[13].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[13].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[13].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[13].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[13].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[13].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[13].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[13].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[13].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[13].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[13].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[13].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[13].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[13].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[13].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[13].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[13].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[13].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[13].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[13].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[13].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[13].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[13].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[13].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[13].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[5] ),
+    .Y(\B_0_2.WORD[13].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[13].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[13].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[13].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[13].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[13].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[13].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[13].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[13].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[13].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[13].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[13].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[13].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[13].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[13].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[13].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[13].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[13].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[13].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[13].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[13].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[13].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[13].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[13].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[13].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[13].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[13].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[13].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[13].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[13].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[13].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[5] ),
+    .Y(\B_0_2.WORD[13].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[13].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[13].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[13].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[13].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[13].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[13].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[13].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[13].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[13].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[13].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[13].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[13].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[13].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[13].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[13].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[13].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[13].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[13].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[13].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[13].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[13].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[13].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[13].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[13].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[13].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[13].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[13].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[13].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[13].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[13].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[5] ),
+    .Y(\B_0_2.WORD[13].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[13].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[13].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[13].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[13].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[13].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[13].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[13].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[13].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[13].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[13].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[13].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[13].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[13].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[13].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[13].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[13].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[13].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[13].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[13].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[13].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[13].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[13].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[13].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[13].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[13].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[13].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[13].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[13].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[13].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[13].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[13].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[13].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[5] ),
+    .Y(\B_0_2.WORD[13].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[14].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[14].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[14].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[14].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[14].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[14].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[14].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[14].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[14].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[14].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[14].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[14].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[14].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[14].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[14].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[14].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[14].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[14].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[14].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[14].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[14].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[14].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[14].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[14].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[14].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[14].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[14].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[14].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[14].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[14].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[6] ),
+    .Y(\B_0_2.WORD[14].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[14].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[14].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[14].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[14].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[14].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[14].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[14].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[14].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[14].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[14].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[14].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[14].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[14].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[14].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[14].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[14].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[14].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[14].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[14].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[14].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[14].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[14].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[14].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[14].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[14].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[14].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[14].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[14].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[14].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[14].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[6] ),
+    .Y(\B_0_2.WORD[14].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[14].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[14].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[14].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[14].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[14].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[14].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[14].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[14].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[14].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[14].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[14].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[14].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[14].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[14].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[14].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[14].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[14].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[14].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[14].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[14].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[14].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[14].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[14].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[14].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[14].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[14].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[14].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[14].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[14].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[14].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[6] ),
+    .Y(\B_0_2.WORD[14].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[14].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[14].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[14].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[14].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[14].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[14].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[14].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[14].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[14].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[14].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[14].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[14].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[14].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[14].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[14].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[14].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[14].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[14].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[14].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[14].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[14].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[14].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[14].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[14].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[14].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[14].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[14].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[14].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[14].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[14].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[14].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[14].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[6] ),
+    .Y(\B_0_2.WORD[14].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[15].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[15].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[15].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[15].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[15].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[15].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[15].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[15].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[15].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[15].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[15].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[15].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[15].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[15].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[15].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[15].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[15].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[15].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[15].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[15].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[15].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[15].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[15].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[15].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[15].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[15].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[15].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[15].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[15].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[15].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[7] ),
+    .Y(\B_0_2.WORD[15].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[15].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[15].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[15].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[15].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[15].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[15].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[15].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[15].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[15].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[15].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[15].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[15].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[15].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[15].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[15].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[15].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[15].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[15].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[15].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[15].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[15].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[15].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[15].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[15].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[15].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[15].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[15].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[15].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[15].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[15].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[7] ),
+    .Y(\B_0_2.WORD[15].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[15].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[15].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[15].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[15].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[15].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[15].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[15].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[15].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[15].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[15].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[15].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[15].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[15].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[15].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[15].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[15].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[15].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[15].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[15].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[15].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[15].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[15].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[15].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[15].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[15].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[15].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[15].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[15].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[15].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[15].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[7] ),
+    .Y(\B_0_2.WORD[15].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[15].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[15].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[15].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[15].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[15].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[15].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[15].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[15].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[15].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[15].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[15].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[15].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[15].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[15].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[15].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[15].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[15].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[15].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[15].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[15].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[15].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[15].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[15].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[15].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[15].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[15].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[15].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[15].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[15].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[15].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[15].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[15].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[7] ),
+    .Y(\B_0_2.WORD[15].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[16].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[16].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[16].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[16].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[16].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[16].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[16].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[16].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[16].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[16].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[16].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[16].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[16].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[16].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[16].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[16].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[16].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[16].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[16].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[16].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[16].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[16].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[16].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[16].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[16].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[16].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[16].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[16].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[16].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[16].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[0] ),
+    .Y(\B_0_2.WORD[16].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[16].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[16].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[16].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[16].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[16].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[16].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[16].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[16].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[16].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[16].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[16].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[16].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[16].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[16].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[16].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[16].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[16].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[16].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[16].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[16].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[16].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[16].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[16].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[16].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[16].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[16].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[16].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[16].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[16].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[16].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[0] ),
+    .Y(\B_0_2.WORD[16].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[16].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[16].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[16].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[16].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[16].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[16].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[16].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[16].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[16].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[16].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[16].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[16].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[16].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[16].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[16].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[16].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[16].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[16].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[16].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[16].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[16].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[16].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[16].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[16].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[16].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[16].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[16].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[16].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[16].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[16].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[0] ),
+    .Y(\B_0_2.WORD[16].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[16].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[16].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[16].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[16].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[16].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[16].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[16].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[16].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[16].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[16].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[16].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[16].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[16].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[16].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[16].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[16].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[16].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[16].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[16].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[16].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[16].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[16].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[16].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[16].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[16].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[16].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[16].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[16].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[16].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[16].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[16].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[16].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[0] ),
+    .Y(\B_0_2.WORD[16].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[17].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[17].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[17].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[17].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[17].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[17].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[17].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[17].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[17].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[17].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[17].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[17].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[17].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[17].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[17].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[17].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[17].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[17].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[17].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[17].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[17].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[17].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[17].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[17].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[17].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[17].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[17].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[17].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[17].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[17].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[1] ),
+    .Y(\B_0_2.WORD[17].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[17].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[17].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[17].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[17].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[17].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[17].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[17].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[17].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[17].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[17].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[17].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[17].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[17].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[17].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[17].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[17].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[17].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[17].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[17].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[17].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[17].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[17].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[17].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[17].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[17].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[17].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[17].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[17].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[17].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[17].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[1] ),
+    .Y(\B_0_2.WORD[17].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[17].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[17].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[17].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[17].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[17].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[17].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[17].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[17].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[17].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[17].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[17].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[17].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[17].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[17].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[17].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[17].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[17].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[17].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[17].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[17].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[17].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[17].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[17].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[17].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[17].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[17].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[17].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[17].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[17].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[17].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[1] ),
+    .Y(\B_0_2.WORD[17].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[17].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[17].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[17].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[17].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[17].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[17].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[17].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[17].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[17].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[17].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[17].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[17].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[17].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[17].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[17].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[17].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[17].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[17].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[17].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[17].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[17].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[17].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[17].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[17].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[17].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[17].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[17].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[17].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[17].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[17].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[17].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[17].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[1] ),
+    .Y(\B_0_2.WORD[17].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[18].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[18].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[18].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[18].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[18].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[18].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[18].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[18].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[18].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[18].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[18].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[18].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[18].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[18].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[18].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[18].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[18].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[18].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[18].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[18].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[18].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[18].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[18].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[18].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[18].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[18].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[18].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[18].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[18].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[18].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[2] ),
+    .Y(\B_0_2.WORD[18].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[18].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[18].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[18].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[18].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[18].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[18].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[18].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[18].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[18].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[18].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[18].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[18].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[18].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[18].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[18].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[18].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[18].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[18].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[18].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[18].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[18].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[18].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[18].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[18].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[18].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[18].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[18].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[18].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[18].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[18].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[2] ),
+    .Y(\B_0_2.WORD[18].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[18].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[18].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[18].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[18].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[18].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[18].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[18].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[18].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[18].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[18].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[18].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[18].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[18].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[18].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[18].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[18].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[18].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[18].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[18].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[18].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[18].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[18].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[18].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[18].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[18].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[18].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[18].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[18].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[18].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[18].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[2] ),
+    .Y(\B_0_2.WORD[18].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[18].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[18].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[18].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[18].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[18].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[18].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[18].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[18].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[18].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[18].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[18].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[18].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[18].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[18].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[18].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[18].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[18].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[18].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[18].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[18].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[18].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[18].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[18].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[18].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[18].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[18].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[18].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[18].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[18].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[18].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[18].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[18].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[2] ),
+    .Y(\B_0_2.WORD[18].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[19].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[19].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[19].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[19].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[19].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[19].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[19].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[19].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[19].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[19].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[19].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[19].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[19].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[19].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[19].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[19].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[19].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[19].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[19].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[19].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[19].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[19].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[19].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[19].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[19].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[19].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[19].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[19].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[19].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[19].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[3] ),
+    .Y(\B_0_2.WORD[19].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[19].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[19].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[19].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[19].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[19].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[19].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[19].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[19].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[19].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[19].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[19].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[19].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[19].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[19].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[19].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[19].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[19].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[19].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[19].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[19].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[19].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[19].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[19].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[19].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[19].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[19].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[19].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[19].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[19].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[19].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[3] ),
+    .Y(\B_0_2.WORD[19].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[19].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[19].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[19].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[19].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[19].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[19].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[19].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[19].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[19].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[19].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[19].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[19].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[19].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[19].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[19].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[19].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[19].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[19].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[19].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[19].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[19].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[19].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[19].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[19].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[19].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[19].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[19].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[19].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[19].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[19].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[3] ),
+    .Y(\B_0_2.WORD[19].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[19].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[19].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[19].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[19].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[19].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[19].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[19].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[19].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[19].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[19].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[19].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[19].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[19].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[19].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[19].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[19].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[19].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[19].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[19].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[19].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[19].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[19].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[19].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[19].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[19].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[19].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[19].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[19].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[19].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[19].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[19].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[19].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[3] ),
+    .Y(\B_0_2.WORD[19].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[1].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[1].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[1].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[1].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[1].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[1].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[1].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[1].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[1].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[1].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[1].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[1].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[1].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[1].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[1].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[1].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[1].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[1].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[1].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[1].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[1].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[1].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[1].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[1].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[1].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[1].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[1].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[1].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[1].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[1].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[1] ),
+    .Y(\B_0_2.WORD[1].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[1].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[1].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[1].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[1].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[1].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[1].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[1].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[1].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[1].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[1].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[1].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[1].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[1].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[1].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[1].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[1].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[1].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[1].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[1].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[1].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[1].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[1].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[1].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[1].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[1].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[1].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[1].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[1].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[1].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[1].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[1] ),
+    .Y(\B_0_2.WORD[1].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[1].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[1].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[1].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[1].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[1].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[1].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[1].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[1].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[1].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[1].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[1].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[1].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[1].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[1].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[1].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[1].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[1].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[1].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[1].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[1].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[1].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[1].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[1].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[1].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[1].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[1].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[1].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[1].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[1].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[1].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[1] ),
+    .Y(\B_0_2.WORD[1].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[1].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[1].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[1].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[1].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[1].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[1].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[1].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[1].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[1].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[1].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[1].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[1].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[1].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[1].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[1].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[1].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[1].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[1].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[1].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[1].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[1].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[1].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[1].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[1].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[1].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[1].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[1].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[1].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[1].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[1].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[1].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[1].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[1] ),
+    .Y(\B_0_2.WORD[1].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[20].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[20].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[20].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[20].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[20].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[20].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[20].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[20].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[20].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[20].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[20].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[20].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[20].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[20].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[20].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[20].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[20].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[20].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[20].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[20].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[20].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[20].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[20].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[20].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[20].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[20].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[20].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[20].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[20].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[20].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[4] ),
+    .Y(\B_0_2.WORD[20].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[20].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[20].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[20].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[20].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[20].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[20].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[20].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[20].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[20].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[20].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[20].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[20].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[20].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[20].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[20].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[20].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[20].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[20].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[20].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[20].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[20].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[20].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[20].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[20].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[20].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[20].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[20].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[20].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[20].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[20].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[4] ),
+    .Y(\B_0_2.WORD[20].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[20].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[20].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[20].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[20].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[20].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[20].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[20].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[20].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[20].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[20].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[20].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[20].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[20].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[20].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[20].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[20].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[20].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[20].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[20].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[20].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[20].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[20].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[20].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[20].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[20].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[20].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[20].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[20].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[20].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[20].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[4] ),
+    .Y(\B_0_2.WORD[20].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[20].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[20].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[20].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[20].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[20].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[20].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[20].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[20].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[20].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[20].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[20].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[20].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[20].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[20].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[20].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[20].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[20].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[20].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[20].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[20].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[20].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[20].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[20].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[20].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[20].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[20].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[20].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[20].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[20].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[20].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[20].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[20].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[4] ),
+    .Y(\B_0_2.WORD[20].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[21].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[21].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[21].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[21].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[21].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[21].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[21].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[21].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[21].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[21].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[21].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[21].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[21].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[21].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[21].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[21].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[21].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[21].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[21].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[21].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[21].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[21].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[21].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[21].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[21].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[21].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[21].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[21].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[21].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[21].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[5] ),
+    .Y(\B_0_2.WORD[21].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[21].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[21].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[21].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[21].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[21].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[21].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[21].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[21].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[21].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[21].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[21].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[21].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[21].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[21].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[21].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[21].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[21].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[21].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[21].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[21].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[21].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[21].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[21].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[21].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[21].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[21].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[21].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[21].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[21].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[21].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[5] ),
+    .Y(\B_0_2.WORD[21].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[21].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[21].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[21].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[21].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[21].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[21].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[21].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[21].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[21].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[21].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[21].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[21].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[21].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[21].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[21].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[21].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[21].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[21].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[21].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[21].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[21].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[21].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[21].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[21].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[21].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[21].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[21].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[21].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[21].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[21].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[5] ),
+    .Y(\B_0_2.WORD[21].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[21].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[21].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[21].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[21].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[21].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[21].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[21].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[21].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[21].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[21].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[21].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[21].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[21].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[21].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[21].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[21].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[21].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[21].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[21].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[21].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[21].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[21].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[21].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[21].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[21].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[21].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[21].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[21].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[21].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[21].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[21].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[21].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[5] ),
+    .Y(\B_0_2.WORD[21].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[22].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[22].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[22].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[22].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[22].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[22].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[22].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[22].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[22].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[22].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[22].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[22].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[22].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[22].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[22].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[22].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[22].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[22].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[22].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[22].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[22].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[22].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[22].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[22].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[22].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[22].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[22].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[22].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[22].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[22].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[6] ),
+    .Y(\B_0_2.WORD[22].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[22].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[22].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[22].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[22].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[22].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[22].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[22].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[22].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[22].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[22].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[22].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[22].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[22].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[22].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[22].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[22].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[22].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[22].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[22].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[22].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[22].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[22].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[22].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[22].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[22].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[22].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[22].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[22].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[22].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[22].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[6] ),
+    .Y(\B_0_2.WORD[22].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[22].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[22].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[22].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[22].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[22].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[22].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[22].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[22].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[22].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[22].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[22].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[22].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[22].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[22].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[22].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[22].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[22].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[22].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[22].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[22].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[22].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[22].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[22].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[22].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[22].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[22].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[22].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[22].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[22].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[22].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[6] ),
+    .Y(\B_0_2.WORD[22].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[22].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[22].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[22].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[22].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[22].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[22].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[22].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[22].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[22].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[22].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[22].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[22].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[22].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[22].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[22].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[22].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[22].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[22].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[22].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[22].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[22].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[22].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[22].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[22].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[22].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[22].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[22].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[22].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[22].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[22].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[22].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[22].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[6] ),
+    .Y(\B_0_2.WORD[22].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[23].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[23].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[23].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[23].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[23].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[23].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[23].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[23].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[23].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[23].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[23].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[23].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[23].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[23].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[23].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[23].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[23].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[23].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[23].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[23].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[23].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[23].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[23].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[23].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[23].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[23].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[23].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[23].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[23].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[23].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[7] ),
+    .Y(\B_0_2.WORD[23].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[23].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[23].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[23].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[23].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[23].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[23].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[23].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[23].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[23].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[23].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[23].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[23].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[23].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[23].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[23].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[23].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[23].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[23].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[23].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[23].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[23].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[23].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[23].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[23].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[23].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[23].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[23].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[23].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[23].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[23].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[7] ),
+    .Y(\B_0_2.WORD[23].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[23].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[23].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[23].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[23].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[23].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[23].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[23].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[23].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[23].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[23].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[23].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[23].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[23].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[23].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[23].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[23].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[23].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[23].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[23].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[23].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[23].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[23].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[23].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[23].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[23].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[23].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[23].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[23].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[23].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[23].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[7] ),
+    .Y(\B_0_2.WORD[23].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[23].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[23].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[23].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[23].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[23].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[23].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[23].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[23].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[23].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[23].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[23].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[23].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[23].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[23].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[23].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[23].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[23].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[23].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[23].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[23].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[23].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[23].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[23].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[23].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[23].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[23].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[23].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[23].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[23].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[23].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[23].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[23].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[2].U.SEL[7] ),
+    .Y(\B_0_2.WORD[23].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[24].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[24].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[24].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[24].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[24].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[24].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[24].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[24].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[24].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[24].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[24].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[24].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[24].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[24].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[24].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[24].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[24].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[24].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[24].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[24].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[24].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[24].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[24].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[24].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[24].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[24].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[24].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[24].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[24].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[24].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[0] ),
+    .Y(\B_0_2.WORD[24].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[24].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[24].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[24].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[24].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[24].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[24].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[24].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[24].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[24].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[24].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[24].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[24].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[24].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[24].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[24].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[24].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[24].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[24].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[24].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[24].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[24].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[24].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[24].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[24].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[24].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[24].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[24].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[24].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[24].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[24].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[0] ),
+    .Y(\B_0_2.WORD[24].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[24].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[24].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[24].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[24].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[24].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[24].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[24].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[24].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[24].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[24].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[24].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[24].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[24].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[24].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[24].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[24].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[24].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[24].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[24].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[24].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[24].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[24].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[24].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[24].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[24].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[24].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[24].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[24].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[24].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[24].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[0] ),
+    .Y(\B_0_2.WORD[24].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[24].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[24].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[24].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[24].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[24].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[24].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[24].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[24].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[24].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[24].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[24].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[24].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[24].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[24].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[24].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[24].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[24].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[24].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[24].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[24].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[24].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[24].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[24].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[24].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[24].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[24].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[24].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[24].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[24].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[24].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[24].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[24].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[0] ),
+    .Y(\B_0_2.WORD[24].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[25].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[25].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[25].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[25].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[25].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[25].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[25].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[25].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[25].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[25].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[25].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[25].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[25].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[25].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[25].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[25].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[25].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[25].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[25].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[25].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[25].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[25].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[25].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[25].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[25].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[25].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[25].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[25].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[25].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[25].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[1] ),
+    .Y(\B_0_2.WORD[25].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[25].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[25].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[25].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[25].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[25].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[25].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[25].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[25].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[25].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[25].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[25].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[25].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[25].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[25].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[25].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[25].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[25].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[25].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[25].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[25].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[25].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[25].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[25].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[25].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[25].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[25].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[25].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[25].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[25].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[25].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[1] ),
+    .Y(\B_0_2.WORD[25].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[25].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[25].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[25].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[25].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[25].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[25].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[25].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[25].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[25].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[25].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[25].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[25].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[25].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[25].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[25].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[25].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[25].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[25].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[25].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[25].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[25].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[25].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[25].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[25].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[25].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[25].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[25].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[25].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[25].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[25].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[1] ),
+    .Y(\B_0_2.WORD[25].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[25].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[25].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[25].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[25].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[25].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[25].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[25].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[25].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[25].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[25].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[25].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[25].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[25].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[25].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[25].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[25].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[25].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[25].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[25].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[25].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[25].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[25].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[25].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[25].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[25].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[25].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[25].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[25].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[25].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[25].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[25].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[25].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[1] ),
+    .Y(\B_0_2.WORD[25].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[26].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[26].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[26].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[26].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[26].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[26].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[26].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[26].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[26].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[26].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[26].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[26].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[26].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[26].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[26].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[26].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[26].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[26].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[26].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[26].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[26].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[26].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[26].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[26].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[26].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[26].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[26].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[26].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[26].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[26].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[2] ),
+    .Y(\B_0_2.WORD[26].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[26].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[26].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[26].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[26].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[26].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[26].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[26].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[26].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[26].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[26].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[26].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[26].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[26].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[26].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[26].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[26].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[26].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[26].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[26].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[26].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[26].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[26].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[26].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[26].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[26].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[26].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[26].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[26].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[26].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[26].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[2] ),
+    .Y(\B_0_2.WORD[26].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[26].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[26].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[26].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[26].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[26].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[26].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[26].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[26].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[26].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[26].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[26].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[26].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[26].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[26].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[26].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[26].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[26].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[26].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[26].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[26].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[26].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[26].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[26].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[26].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[26].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[26].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[26].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[26].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[26].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[26].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[2] ),
+    .Y(\B_0_2.WORD[26].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[26].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[26].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[26].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[26].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[26].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[26].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[26].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[26].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[26].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[26].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[26].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[26].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[26].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[26].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[26].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[26].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[26].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[26].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[26].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[26].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[26].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[26].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[26].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[26].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[26].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[26].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[26].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[26].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[26].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[26].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[26].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[26].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[2] ),
+    .Y(\B_0_2.WORD[26].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[27].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[27].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[27].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[27].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[27].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[27].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[27].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[27].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[27].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[27].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[27].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[27].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[27].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[27].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[27].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[27].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[27].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[27].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[27].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[27].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[27].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[27].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[27].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[27].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[27].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[27].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[27].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[27].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[27].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[27].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[3] ),
+    .Y(\B_0_2.WORD[27].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[27].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[27].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[27].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[27].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[27].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[27].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[27].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[27].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[27].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[27].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[27].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[27].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[27].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[27].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[27].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[27].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[27].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[27].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[27].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[27].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[27].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[27].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[27].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[27].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[27].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[27].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[27].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[27].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[27].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[27].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[3] ),
+    .Y(\B_0_2.WORD[27].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[27].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[27].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[27].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[27].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[27].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[27].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[27].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[27].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[27].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[27].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[27].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[27].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[27].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[27].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[27].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[27].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[27].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[27].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[27].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[27].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[27].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[27].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[27].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[27].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[27].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[27].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[27].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[27].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[27].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[27].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[3] ),
+    .Y(\B_0_2.WORD[27].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[27].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[27].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[27].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[27].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[27].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[27].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[27].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[27].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[27].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[27].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[27].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[27].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[27].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[27].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[27].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[27].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[27].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[27].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[27].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[27].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[27].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[27].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[27].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[27].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[27].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[27].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[27].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[27].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[27].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[27].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[27].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[27].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[3] ),
+    .Y(\B_0_2.WORD[27].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[28].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[28].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[28].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[28].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[28].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[28].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[28].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[28].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[28].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[28].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[28].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[28].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[28].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[28].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[28].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[28].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[28].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[28].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[28].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[28].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[28].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[28].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[28].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[28].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[28].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[28].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[28].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[28].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[28].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[28].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[4] ),
+    .Y(\B_0_2.WORD[28].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[28].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[28].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[28].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[28].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[28].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[28].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[28].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[28].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[28].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[28].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[28].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[28].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[28].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[28].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[28].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[28].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[28].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[28].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[28].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[28].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[28].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[28].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[28].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[28].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[28].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[28].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[28].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[28].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[28].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[28].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[4] ),
+    .Y(\B_0_2.WORD[28].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[28].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[28].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[28].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[28].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[28].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[28].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[28].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[28].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[28].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[28].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[28].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[28].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[28].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[28].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[28].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[28].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[28].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[28].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[28].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[28].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[28].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[28].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[28].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[28].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[28].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[28].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[28].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[28].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[28].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[28].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[4] ),
+    .Y(\B_0_2.WORD[28].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[28].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[28].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[28].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[28].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[28].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[28].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[28].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[28].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[28].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[28].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[28].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[28].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[28].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[28].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[28].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[28].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[28].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[28].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[28].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[28].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[28].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[28].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[28].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[28].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[28].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[28].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[28].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[28].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[28].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[28].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[28].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[28].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[4] ),
+    .Y(\B_0_2.WORD[28].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[29].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[29].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[29].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[29].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[29].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[29].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[29].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[29].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[29].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[29].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[29].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[29].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[29].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[29].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[29].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[29].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[29].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[29].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[29].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[29].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[29].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[29].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[29].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[29].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[29].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[29].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[29].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[29].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[29].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[29].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[5] ),
+    .Y(\B_0_2.WORD[29].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[29].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[29].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[29].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[29].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[29].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[29].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[29].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[29].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[29].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[29].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[29].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[29].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[29].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[29].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[29].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[29].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[29].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[29].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[29].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[29].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[29].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[29].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[29].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[29].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[29].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[29].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[29].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[29].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[29].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[29].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[5] ),
+    .Y(\B_0_2.WORD[29].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[29].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[29].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[29].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[29].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[29].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[29].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[29].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[29].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[29].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[29].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[29].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[29].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[29].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[29].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[29].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[29].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[29].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[29].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[29].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[29].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[29].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[29].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[29].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[29].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[29].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[29].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[29].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[29].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[29].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[29].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[5] ),
+    .Y(\B_0_2.WORD[29].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[29].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[29].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[29].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[29].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[29].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[29].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[29].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[29].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[29].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[29].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[29].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[29].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[29].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[29].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[29].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[29].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[29].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[29].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[29].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[29].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[29].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[29].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[29].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[29].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[29].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[29].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[29].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[29].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[29].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[29].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[29].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[29].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[5] ),
+    .Y(\B_0_2.WORD[29].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[2].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[2].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[2].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[2].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[2].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[2].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[2].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[2].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[2].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[2].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[2].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[2].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[2].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[2].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[2].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[2].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[2].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[2].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[2].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[2].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[2].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[2].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[2].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[2].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[2].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[2].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[2].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[2].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[2].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[2].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[2] ),
+    .Y(\B_0_2.WORD[2].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[2].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[2].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[2].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[2].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[2].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[2].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[2].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[2].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[2].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[2].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[2].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[2].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[2].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[2].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[2].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[2].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[2].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[2].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[2].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[2].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[2].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[2].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[2].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[2].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[2].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[2].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[2].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[2].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[2].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[2].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[2] ),
+    .Y(\B_0_2.WORD[2].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[2].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[2].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[2].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[2].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[2].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[2].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[2].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[2].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[2].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[2].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[2].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[2].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[2].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[2].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[2].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[2].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[2].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[2].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[2].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[2].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[2].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[2].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[2].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[2].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[2].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[2].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[2].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[2].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[2].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[2].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[2] ),
+    .Y(\B_0_2.WORD[2].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[2].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[2].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[2].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[2].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[2].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[2].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[2].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[2].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[2].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[2].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[2].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[2].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[2].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[2].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[2].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[2].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[2].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[2].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[2].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[2].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[2].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[2].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[2].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[2].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[2].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[2].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[2].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[2].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[2].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[2].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[2].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[2].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[2] ),
+    .Y(\B_0_2.WORD[2].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[30].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[30].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[30].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[30].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[30].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[30].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[30].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[30].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[30].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[30].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[30].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[30].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[30].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[30].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[30].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[30].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[30].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[30].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[30].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[30].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[30].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[30].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[30].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[30].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[30].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[30].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[30].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[30].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[30].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[30].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[6] ),
+    .Y(\B_0_2.WORD[30].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[30].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[30].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[30].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[30].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[30].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[30].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[30].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[30].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[30].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[30].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[30].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[30].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[30].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[30].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[30].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[30].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[30].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[30].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[30].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[30].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[30].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[30].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[30].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[30].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[30].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[30].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[30].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[30].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[30].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[30].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[6] ),
+    .Y(\B_0_2.WORD[30].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[30].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[30].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[30].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[30].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[30].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[30].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[30].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[30].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[30].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[30].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[30].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[30].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[30].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[30].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[30].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[30].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[30].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[30].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[30].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[30].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[30].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[30].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[30].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[30].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[30].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[30].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[30].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[30].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[30].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[30].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[6] ),
+    .Y(\B_0_2.WORD[30].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[30].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[30].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[30].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[30].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[30].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[30].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[30].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[30].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[30].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[30].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[30].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[30].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[30].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[30].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[30].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[30].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[30].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[30].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[30].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[30].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[30].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[30].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[30].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[30].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[30].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[30].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[30].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[30].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[30].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[30].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[30].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[30].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[6] ),
+    .Y(\B_0_2.WORD[30].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[31].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[31].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[31].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[31].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[31].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[31].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[31].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[31].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[31].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[31].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[31].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[31].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[31].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[31].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[31].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[31].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[31].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[31].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[31].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[31].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[31].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[31].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[31].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[31].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[31].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[31].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[31].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[31].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[31].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[31].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[7] ),
+    .Y(\B_0_2.WORD[31].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[31].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[31].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[31].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[31].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[31].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[31].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[31].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[31].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[31].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[31].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[31].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[31].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[31].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[31].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[31].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[31].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[31].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[31].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[31].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[31].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[31].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[31].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[31].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[31].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[31].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[31].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[31].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[31].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[31].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[31].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[7] ),
+    .Y(\B_0_2.WORD[31].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[31].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[31].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[31].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[31].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[31].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[31].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[31].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[31].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[31].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[31].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[31].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[31].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[31].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[31].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[31].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[31].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[31].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[31].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[31].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[31].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[31].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[31].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[31].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[31].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[31].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[31].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[31].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[31].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[31].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[31].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[7] ),
+    .Y(\B_0_2.WORD[31].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[31].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[31].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[31].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[31].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[31].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[31].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[31].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[31].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[31].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[31].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[31].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[31].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[31].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[31].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[31].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[31].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[31].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[31].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[31].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[31].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[31].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[31].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[31].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[31].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[31].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[31].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[31].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[31].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[31].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[31].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[31].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[31].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[3].U.SEL[7] ),
+    .Y(\B_0_2.WORD[31].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[32].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[32].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[32].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[32].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[32].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[32].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[32].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[32].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[32].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[32].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[32].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[32].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[32].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[32].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[32].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[32].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[32].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[32].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[32].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[32].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[32].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[32].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[32].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[32].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[32].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[32].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[32].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[32].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[32].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[32].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[0] ),
+    .Y(\B_0_2.WORD[32].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[32].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[32].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[32].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[32].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[32].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[32].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[32].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[32].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[32].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[32].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[32].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[32].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[32].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[32].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[32].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[32].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[32].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[32].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[32].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[32].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[32].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[32].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[32].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[32].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[32].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[32].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[32].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[32].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[32].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[32].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[0] ),
+    .Y(\B_0_2.WORD[32].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[32].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[32].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[32].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[32].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[32].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[32].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[32].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[32].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[32].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[32].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[32].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[32].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[32].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[32].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[32].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[32].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[32].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[32].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[32].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[32].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[32].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[32].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[32].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[32].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[32].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[32].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[32].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[32].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[32].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[32].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[0] ),
+    .Y(\B_0_2.WORD[32].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[32].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[32].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[32].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[32].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[32].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[32].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[32].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[32].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[32].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[32].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[32].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[32].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[32].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[32].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[32].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[32].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[32].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[32].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[32].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[32].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[32].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[32].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[32].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[32].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[32].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[32].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[32].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[32].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[32].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[32].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[32].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[32].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[0] ),
+    .Y(\B_0_2.WORD[32].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[33].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[33].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[33].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[33].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[33].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[33].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[33].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[33].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[33].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[33].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[33].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[33].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[33].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[33].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[33].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[33].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[33].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[33].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[33].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[33].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[33].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[33].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[33].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[33].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[33].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[33].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[33].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[33].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[33].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[33].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[1] ),
+    .Y(\B_0_2.WORD[33].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[33].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[33].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[33].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[33].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[33].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[33].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[33].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[33].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[33].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[33].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[33].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[33].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[33].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[33].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[33].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[33].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[33].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[33].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[33].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[33].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[33].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[33].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[33].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[33].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[33].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[33].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[33].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[33].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[33].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[33].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[1] ),
+    .Y(\B_0_2.WORD[33].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[33].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[33].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[33].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[33].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[33].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[33].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[33].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[33].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[33].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[33].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[33].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[33].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[33].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[33].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[33].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[33].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[33].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[33].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[33].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[33].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[33].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[33].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[33].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[33].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[33].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[33].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[33].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[33].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[33].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[33].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[1] ),
+    .Y(\B_0_2.WORD[33].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[33].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[33].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[33].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[33].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[33].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[33].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[33].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[33].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[33].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[33].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[33].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[33].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[33].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[33].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[33].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[33].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[33].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[33].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[33].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[33].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[33].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[33].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[33].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[33].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[33].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[33].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[33].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[33].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[33].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[33].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[33].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[33].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[1] ),
+    .Y(\B_0_2.WORD[33].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[34].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[34].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[34].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[34].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[34].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[34].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[34].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[34].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[34].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[34].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[34].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[34].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[34].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[34].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[34].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[34].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[34].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[34].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[34].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[34].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[34].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[34].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[34].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[34].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[34].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[34].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[34].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[34].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[34].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[34].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[2] ),
+    .Y(\B_0_2.WORD[34].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[34].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[34].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[34].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[34].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[34].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[34].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[34].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[34].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[34].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[34].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[34].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[34].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[34].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[34].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[34].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[34].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[34].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[34].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[34].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[34].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[34].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[34].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[34].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[34].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[34].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[34].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[34].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[34].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[34].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[34].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[2] ),
+    .Y(\B_0_2.WORD[34].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[34].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[34].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[34].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[34].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[34].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[34].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[34].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[34].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[34].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[34].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[34].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[34].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[34].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[34].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[34].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[34].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[34].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[34].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[34].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[34].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[34].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[34].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[34].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[34].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[34].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[34].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[34].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[34].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[34].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[34].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[2] ),
+    .Y(\B_0_2.WORD[34].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[34].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[34].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[34].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[34].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[34].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[34].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[34].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[34].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[34].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[34].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[34].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[34].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[34].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[34].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[34].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[34].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[34].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[34].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[34].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[34].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[34].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[34].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[34].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[34].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[34].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[34].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[34].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[34].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[34].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[34].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[34].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[34].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[2] ),
+    .Y(\B_0_2.WORD[34].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[35].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[35].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[35].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[35].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[35].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[35].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[35].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[35].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[35].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[35].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[35].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[35].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[35].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[35].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[35].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[35].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[35].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[35].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[35].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[35].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[35].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[35].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[35].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[35].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[35].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[35].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[35].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[35].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[35].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[35].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[3] ),
+    .Y(\B_0_2.WORD[35].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[35].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[35].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[35].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[35].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[35].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[35].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[35].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[35].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[35].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[35].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[35].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[35].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[35].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[35].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[35].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[35].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[35].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[35].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[35].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[35].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[35].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[35].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[35].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[35].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[35].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[35].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[35].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[35].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[35].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[35].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[3] ),
+    .Y(\B_0_2.WORD[35].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[35].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[35].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[35].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[35].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[35].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[35].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[35].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[35].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[35].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[35].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[35].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[35].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[35].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[35].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[35].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[35].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[35].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[35].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[35].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[35].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[35].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[35].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[35].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[35].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[35].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[35].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[35].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[35].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[35].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[35].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[3] ),
+    .Y(\B_0_2.WORD[35].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[35].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[35].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[35].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[35].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[35].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[35].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[35].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[35].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[35].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[35].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[35].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[35].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[35].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[35].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[35].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[35].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[35].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[35].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[35].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[35].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[35].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[35].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[35].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[35].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[35].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[35].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[35].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[35].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[35].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[35].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[35].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[35].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[3] ),
+    .Y(\B_0_2.WORD[35].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[36].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[36].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[36].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[36].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[36].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[36].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[36].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[36].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[36].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[36].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[36].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[36].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[36].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[36].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[36].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[36].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[36].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[36].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[36].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[36].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[36].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[36].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[36].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[36].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[36].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[36].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[36].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[36].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[36].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[36].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[4] ),
+    .Y(\B_0_2.WORD[36].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[36].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[36].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[36].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[36].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[36].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[36].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[36].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[36].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[36].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[36].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[36].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[36].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[36].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[36].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[36].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[36].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[36].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[36].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[36].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[36].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[36].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[36].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[36].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[36].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[36].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[36].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[36].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[36].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[36].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[36].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[4] ),
+    .Y(\B_0_2.WORD[36].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[36].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[36].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[36].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[36].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[36].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[36].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[36].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[36].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[36].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[36].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[36].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[36].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[36].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[36].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[36].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[36].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[36].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[36].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[36].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[36].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[36].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[36].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[36].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[36].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[36].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[36].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[36].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[36].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[36].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[36].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[4] ),
+    .Y(\B_0_2.WORD[36].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[36].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[36].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[36].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[36].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[36].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[36].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[36].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[36].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[36].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[36].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[36].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[36].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[36].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[36].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[36].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[36].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[36].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[36].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[36].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[36].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[36].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[36].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[36].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[36].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[36].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[36].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[36].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[36].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[36].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[36].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[36].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[36].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[4] ),
+    .Y(\B_0_2.WORD[36].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[37].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[37].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[37].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[37].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[37].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[37].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[37].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[37].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[37].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[37].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[37].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[37].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[37].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[37].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[37].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[37].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[37].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[37].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[37].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[37].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[37].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[37].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[37].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[37].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[37].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[37].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[37].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[37].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[37].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[37].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[5] ),
+    .Y(\B_0_2.WORD[37].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[37].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[37].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[37].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[37].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[37].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[37].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[37].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[37].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[37].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[37].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[37].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[37].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[37].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[37].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[37].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[37].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[37].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[37].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[37].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[37].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[37].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[37].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[37].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[37].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[37].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[37].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[37].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[37].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[37].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[37].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[5] ),
+    .Y(\B_0_2.WORD[37].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[37].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[37].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[37].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[37].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[37].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[37].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[37].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[37].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[37].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[37].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[37].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[37].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[37].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[37].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[37].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[37].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[37].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[37].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[37].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[37].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[37].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[37].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[37].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[37].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[37].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[37].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[37].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[37].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[37].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[37].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[5] ),
+    .Y(\B_0_2.WORD[37].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[37].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[37].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[37].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[37].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[37].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[37].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[37].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[37].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[37].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[37].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[37].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[37].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[37].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[37].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[37].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[37].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[37].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[37].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[37].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[37].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[37].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[37].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[37].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[37].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[37].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[37].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[37].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[37].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[37].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[37].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[37].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[37].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[5] ),
+    .Y(\B_0_2.WORD[37].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[38].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[38].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[38].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[38].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[38].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[38].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[38].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[38].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[38].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[38].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[38].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[38].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[38].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[38].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[38].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[38].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[38].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[38].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[38].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[38].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[38].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[38].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[38].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[38].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[38].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[38].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[38].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[38].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[38].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[38].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[6] ),
+    .Y(\B_0_2.WORD[38].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[38].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[38].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[38].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[38].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[38].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[38].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[38].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[38].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[38].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[38].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[38].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[38].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[38].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[38].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[38].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[38].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[38].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[38].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[38].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[38].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[38].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[38].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[38].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[38].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[38].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[38].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[38].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[38].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[38].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[38].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[6] ),
+    .Y(\B_0_2.WORD[38].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[38].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[38].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[38].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[38].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[38].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[38].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[38].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[38].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[38].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[38].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[38].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[38].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[38].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[38].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[38].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[38].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[38].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[38].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[38].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[38].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[38].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[38].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[38].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[38].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[38].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[38].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[38].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[38].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[38].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[38].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[6] ),
+    .Y(\B_0_2.WORD[38].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[38].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[38].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[38].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[38].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[38].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[38].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[38].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[38].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[38].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[38].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[38].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[38].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[38].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[38].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[38].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[38].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[38].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[38].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[38].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[38].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[38].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[38].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[38].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[38].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[38].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[38].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[38].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[38].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[38].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[38].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[38].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[38].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[6] ),
+    .Y(\B_0_2.WORD[38].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[39].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[39].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[39].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[39].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[39].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[39].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[39].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[39].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[39].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[39].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[39].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[39].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[39].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[39].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[39].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[39].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[39].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[39].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[39].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[39].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[39].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[39].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[39].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[39].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[39].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[39].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[39].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[39].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[39].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[39].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[7] ),
+    .Y(\B_0_2.WORD[39].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[39].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[39].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[39].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[39].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[39].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[39].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[39].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[39].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[39].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[39].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[39].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[39].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[39].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[39].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[39].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[39].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[39].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[39].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[39].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[39].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[39].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[39].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[39].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[39].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[39].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[39].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[39].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[39].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[39].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[39].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[7] ),
+    .Y(\B_0_2.WORD[39].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[39].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[39].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[39].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[39].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[39].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[39].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[39].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[39].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[39].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[39].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[39].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[39].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[39].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[39].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[39].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[39].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[39].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[39].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[39].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[39].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[39].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[39].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[39].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[39].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[39].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[39].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[39].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[39].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[39].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[39].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[7] ),
+    .Y(\B_0_2.WORD[39].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[39].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[39].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[39].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[39].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[39].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[39].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[39].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[39].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[39].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[39].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[39].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[39].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[39].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[39].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[39].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[39].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[39].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[39].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[39].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[39].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[39].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[39].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[39].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[39].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[39].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[39].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[39].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[39].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[39].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[39].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[39].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[39].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[4].U.SEL[7] ),
+    .Y(\B_0_2.WORD[39].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[3].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[3].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[3].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[3].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[3].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[3].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[3].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[3].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[3].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[3].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[3].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[3].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[3].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[3].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[3].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[3].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[3].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[3].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[3].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[3].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[3].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[3].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[3].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[3].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[3].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[3].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[3].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[3].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[3].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[3].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[3] ),
+    .Y(\B_0_2.WORD[3].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[3].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[3].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[3].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[3].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[3].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[3].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[3].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[3].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[3].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[3].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[3].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[3].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[3].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[3].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[3].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[3].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[3].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[3].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[3].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[3].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[3].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[3].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[3].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[3].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[3].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[3].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[3].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[3].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[3].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[3].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[3] ),
+    .Y(\B_0_2.WORD[3].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[3].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[3].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[3].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[3].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[3].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[3].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[3].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[3].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[3].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[3].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[3].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[3].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[3].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[3].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[3].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[3].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[3].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[3].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[3].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[3].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[3].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[3].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[3].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[3].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[3].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[3].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[3].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[3].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[3].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[3].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[3] ),
+    .Y(\B_0_2.WORD[3].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[3].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[3].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[3].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[3].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[3].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[3].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[3].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[3].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[3].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[3].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[3].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[3].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[3].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[3].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[3].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[3].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[3].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[3].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[3].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[3].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[3].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[3].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[3].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[3].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[3].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[3].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[3].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[3].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[3].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[3].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[3].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[3].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[3] ),
+    .Y(\B_0_2.WORD[3].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[40].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[40].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[40].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[40].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[40].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[40].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[40].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[40].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[40].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[40].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[40].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[40].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[40].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[40].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[40].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[40].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[40].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[40].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[40].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[40].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[40].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[40].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[40].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[40].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[40].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[40].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[40].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[40].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[40].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[40].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[0] ),
+    .Y(\B_0_2.WORD[40].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[40].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[40].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[40].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[40].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[40].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[40].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[40].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[40].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[40].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[40].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[40].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[40].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[40].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[40].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[40].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[40].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[40].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[40].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[40].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[40].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[40].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[40].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[40].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[40].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[40].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[40].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[40].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[40].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[40].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[40].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[0] ),
+    .Y(\B_0_2.WORD[40].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[40].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[40].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[40].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[40].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[40].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[40].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[40].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[40].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[40].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[40].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[40].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[40].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[40].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[40].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[40].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[40].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[40].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[40].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[40].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[40].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[40].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[40].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[40].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[40].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[40].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[40].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[40].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[40].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[40].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[40].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[0] ),
+    .Y(\B_0_2.WORD[40].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[40].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[40].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[40].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[40].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[40].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[40].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[40].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[40].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[40].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[40].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[40].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[40].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[40].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[40].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[40].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[40].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[40].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[40].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[40].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[40].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[40].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[40].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[40].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[40].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[40].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[40].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[40].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[40].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[40].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[40].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[40].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[40].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[0] ),
+    .Y(\B_0_2.WORD[40].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[41].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[41].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[41].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[41].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[41].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[41].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[41].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[41].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[41].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[41].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[41].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[41].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[41].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[41].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[41].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[41].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[41].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[41].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[41].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[41].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[41].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[41].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[41].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[41].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[41].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[41].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[41].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[41].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[41].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[41].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[1] ),
+    .Y(\B_0_2.WORD[41].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[41].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[41].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[41].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[41].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[41].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[41].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[41].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[41].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[41].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[41].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[41].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[41].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[41].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[41].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[41].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[41].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[41].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[41].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[41].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[41].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[41].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[41].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[41].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[41].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[41].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[41].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[41].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[41].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[41].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[41].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[1] ),
+    .Y(\B_0_2.WORD[41].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[41].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[41].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[41].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[41].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[41].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[41].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[41].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[41].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[41].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[41].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[41].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[41].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[41].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[41].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[41].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[41].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[41].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[41].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[41].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[41].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[41].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[41].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[41].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[41].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[41].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[41].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[41].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[41].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[41].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[41].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[1] ),
+    .Y(\B_0_2.WORD[41].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[41].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[41].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[41].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[41].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[41].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[41].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[41].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[41].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[41].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[41].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[41].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[41].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[41].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[41].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[41].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[41].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[41].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[41].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[41].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[41].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[41].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[41].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[41].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[41].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[41].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[41].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[41].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[41].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[41].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[41].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[41].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[41].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[1] ),
+    .Y(\B_0_2.WORD[41].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[42].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[42].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[42].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[42].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[42].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[42].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[42].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[42].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[42].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[42].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[42].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[42].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[42].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[42].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[42].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[42].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[42].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[42].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[42].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[42].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[42].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[42].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[42].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[42].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[42].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[42].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[42].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[42].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[42].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[42].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[2] ),
+    .Y(\B_0_2.WORD[42].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[42].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[42].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[42].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[42].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[42].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[42].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[42].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[42].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[42].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[42].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[42].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[42].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[42].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[42].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[42].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[42].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[42].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[42].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[42].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[42].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[42].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[42].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[42].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[42].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[42].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[42].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[42].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[42].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[42].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[42].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[2] ),
+    .Y(\B_0_2.WORD[42].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[42].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[42].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[42].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[42].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[42].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[42].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[42].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[42].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[42].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[42].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[42].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[42].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[42].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[42].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[42].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[42].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[42].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[42].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[42].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[42].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[42].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[42].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[42].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[42].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[42].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[42].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[42].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[42].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[42].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[42].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[2] ),
+    .Y(\B_0_2.WORD[42].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[42].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[42].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[42].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[42].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[42].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[42].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[42].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[42].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[42].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[42].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[42].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[42].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[42].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[42].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[42].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[42].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[42].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[42].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[42].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[42].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[42].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[42].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[42].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[42].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[42].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[42].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[42].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[42].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[42].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[42].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[42].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[42].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[2] ),
+    .Y(\B_0_2.WORD[42].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[43].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[43].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[43].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[43].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[43].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[43].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[43].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[43].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[43].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[43].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[43].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[43].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[43].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[43].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[43].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[43].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[43].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[43].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[43].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[43].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[43].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[43].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[43].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[43].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[43].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[43].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[43].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[43].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[43].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[43].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[3] ),
+    .Y(\B_0_2.WORD[43].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[43].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[43].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[43].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[43].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[43].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[43].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[43].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[43].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[43].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[43].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[43].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[43].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[43].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[43].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[43].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[43].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[43].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[43].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[43].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[43].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[43].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[43].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[43].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[43].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[43].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[43].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[43].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[43].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[43].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[43].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[3] ),
+    .Y(\B_0_2.WORD[43].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[43].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[43].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[43].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[43].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[43].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[43].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[43].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[43].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[43].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[43].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[43].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[43].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[43].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[43].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[43].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[43].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[43].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[43].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[43].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[43].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[43].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[43].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[43].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[43].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[43].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[43].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[43].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[43].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[43].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[43].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[3] ),
+    .Y(\B_0_2.WORD[43].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[43].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[43].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[43].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[43].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[43].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[43].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[43].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[43].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[43].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[43].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[43].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[43].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[43].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[43].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[43].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[43].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[43].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[43].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[43].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[43].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[43].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[43].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[43].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[43].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[43].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[43].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[43].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[43].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[43].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[43].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[43].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[43].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[3] ),
+    .Y(\B_0_2.WORD[43].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[44].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[44].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[44].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[44].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[44].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[44].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[44].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[44].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[44].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[44].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[44].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[44].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[44].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[44].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[44].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[44].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[44].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[44].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[44].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[44].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[44].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[44].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[44].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[44].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[44].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[44].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[44].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[44].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[44].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[44].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[4] ),
+    .Y(\B_0_2.WORD[44].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[44].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[44].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[44].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[44].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[44].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[44].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[44].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[44].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[44].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[44].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[44].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[44].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[44].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[44].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[44].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[44].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[44].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[44].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[44].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[44].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[44].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[44].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[44].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[44].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[44].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[44].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[44].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[44].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[44].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[44].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[4] ),
+    .Y(\B_0_2.WORD[44].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[44].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[44].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[44].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[44].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[44].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[44].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[44].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[44].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[44].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[44].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[44].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[44].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[44].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[44].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[44].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[44].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[44].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[44].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[44].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[44].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[44].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[44].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[44].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[44].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[44].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[44].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[44].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[44].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[44].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[44].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[4] ),
+    .Y(\B_0_2.WORD[44].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[44].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[44].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[44].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[44].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[44].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[44].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[44].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[44].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[44].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[44].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[44].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[44].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[44].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[44].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[44].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[44].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[44].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[44].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[44].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[44].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[44].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[44].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[44].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[44].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[44].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[44].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[44].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[44].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[44].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[44].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[44].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[44].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[4] ),
+    .Y(\B_0_2.WORD[44].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[45].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[45].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[45].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[45].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[45].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[45].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[45].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[45].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[45].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[45].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[45].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[45].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[45].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[45].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[45].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[45].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[45].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[45].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[45].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[45].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[45].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[45].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[45].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[45].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[45].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[45].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[45].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[45].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[45].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[45].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[5] ),
+    .Y(\B_0_2.WORD[45].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[45].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[45].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[45].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[45].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[45].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[45].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[45].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[45].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[45].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[45].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[45].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[45].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[45].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[45].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[45].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[45].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[45].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[45].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[45].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[45].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[45].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[45].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[45].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[45].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[45].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[45].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[45].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[45].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[45].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[45].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[5] ),
+    .Y(\B_0_2.WORD[45].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[45].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[45].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[45].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[45].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[45].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[45].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[45].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[45].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[45].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[45].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[45].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[45].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[45].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[45].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[45].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[45].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[45].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[45].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[45].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[45].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[45].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[45].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[45].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[45].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[45].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[45].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[45].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[45].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[45].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[45].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[5] ),
+    .Y(\B_0_2.WORD[45].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[45].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[45].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[45].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[45].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[45].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[45].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[45].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[45].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[45].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[45].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[45].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[45].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[45].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[45].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[45].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[45].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[45].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[45].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[45].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[45].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[45].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[45].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[45].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[45].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[45].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[45].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[45].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[45].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[45].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[45].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[45].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[45].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[5] ),
+    .Y(\B_0_2.WORD[45].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[46].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[46].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[46].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[46].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[46].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[46].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[46].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[46].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[46].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[46].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[46].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[46].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[46].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[46].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[46].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[46].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[46].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[46].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[46].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[46].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[46].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[46].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[46].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[46].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[46].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[46].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[46].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[46].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[46].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[46].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[6] ),
+    .Y(\B_0_2.WORD[46].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[46].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[46].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[46].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[46].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[46].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[46].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[46].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[46].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[46].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[46].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[46].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[46].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[46].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[46].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[46].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[46].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[46].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[46].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[46].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[46].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[46].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[46].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[46].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[46].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[46].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[46].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[46].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[46].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[46].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[46].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[6] ),
+    .Y(\B_0_2.WORD[46].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[46].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[46].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[46].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[46].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[46].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[46].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[46].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[46].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[46].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[46].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[46].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[46].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[46].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[46].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[46].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[46].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[46].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[46].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[46].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[46].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[46].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[46].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[46].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[46].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[46].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[46].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[46].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[46].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[46].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[46].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[6] ),
+    .Y(\B_0_2.WORD[46].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[46].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[46].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[46].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[46].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[46].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[46].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[46].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[46].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[46].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[46].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[46].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[46].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[46].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[46].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[46].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[46].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[46].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[46].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[46].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[46].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[46].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[46].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[46].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[46].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[46].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[46].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[46].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[46].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[46].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[46].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[46].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[46].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[6] ),
+    .Y(\B_0_2.WORD[46].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[47].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[47].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[47].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[47].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[47].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[47].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[47].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[47].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[47].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[47].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[47].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[47].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[47].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[47].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[47].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[47].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[47].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[47].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[47].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[47].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[47].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[47].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[47].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[47].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[47].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[47].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[47].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[47].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[47].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[47].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[7] ),
+    .Y(\B_0_2.WORD[47].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[47].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[47].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[47].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[47].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[47].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[47].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[47].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[47].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[47].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[47].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[47].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[47].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[47].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[47].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[47].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[47].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[47].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[47].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[47].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[47].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[47].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[47].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[47].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[47].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[47].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[47].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[47].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[47].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[47].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[47].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[7] ),
+    .Y(\B_0_2.WORD[47].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[47].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[47].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[47].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[47].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[47].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[47].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[47].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[47].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[47].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[47].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[47].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[47].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[47].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[47].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[47].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[47].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[47].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[47].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[47].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[47].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[47].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[47].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[47].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[47].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[47].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[47].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[47].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[47].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[47].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[47].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[7] ),
+    .Y(\B_0_2.WORD[47].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[47].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[47].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[47].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[47].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[47].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[47].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[47].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[47].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[47].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[47].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[47].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[47].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[47].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[47].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[47].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[47].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[47].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[47].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[47].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[47].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[47].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[47].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[47].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[47].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[47].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[47].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[47].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[47].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[47].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[47].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[47].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[47].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[5].U.SEL[7] ),
+    .Y(\B_0_2.WORD[47].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[48].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[48].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[48].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[48].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[48].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[48].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[48].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[48].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[48].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[48].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[48].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[48].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[48].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[48].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[48].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[48].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[48].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[48].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[48].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[48].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[48].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[48].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[48].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[48].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[48].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[48].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[48].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[48].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[48].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[48].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[0] ),
+    .Y(\B_0_2.WORD[48].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[48].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[48].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[48].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[48].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[48].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[48].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[48].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[48].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[48].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[48].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[48].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[48].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[48].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[48].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[48].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[48].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[48].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[48].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[48].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[48].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[48].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[48].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[48].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[48].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[48].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[48].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[48].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[48].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[48].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[48].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[0] ),
+    .Y(\B_0_2.WORD[48].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[48].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[48].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[48].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[48].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[48].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[48].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[48].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[48].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[48].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[48].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[48].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[48].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[48].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[48].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[48].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[48].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[48].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[48].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[48].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[48].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[48].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[48].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[48].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[48].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[48].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[48].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[48].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[48].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[48].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[48].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[0] ),
+    .Y(\B_0_2.WORD[48].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[48].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[48].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[48].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[48].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[48].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[48].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[48].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[48].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[48].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[48].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[48].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[48].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[48].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[48].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[48].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[48].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[48].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[48].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[48].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[48].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[48].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[48].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[48].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[48].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[48].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[48].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[48].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[48].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[48].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[48].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[48].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[48].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[0] ),
+    .Y(\B_0_2.WORD[48].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[49].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[49].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[49].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[49].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[49].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[49].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[49].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[49].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[49].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[49].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[49].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[49].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[49].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[49].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[49].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[49].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[49].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[49].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[49].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[49].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[49].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[49].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[49].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[49].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[49].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[49].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[49].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[49].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[49].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[49].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[1] ),
+    .Y(\B_0_2.WORD[49].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[49].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[49].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[49].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[49].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[49].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[49].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[49].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[49].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[49].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[49].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[49].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[49].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[49].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[49].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[49].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[49].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[49].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[49].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[49].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[49].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[49].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[49].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[49].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[49].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[49].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[49].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[49].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[49].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[49].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[49].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[1] ),
+    .Y(\B_0_2.WORD[49].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[49].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[49].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[49].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[49].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[49].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[49].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[49].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[49].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[49].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[49].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[49].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[49].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[49].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[49].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[49].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[49].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[49].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[49].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[49].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[49].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[49].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[49].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[49].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[49].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[49].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[49].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[49].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[49].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[49].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[49].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[1] ),
+    .Y(\B_0_2.WORD[49].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[49].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[49].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[49].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[49].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[49].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[49].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[49].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[49].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[49].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[49].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[49].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[49].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[49].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[49].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[49].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[49].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[49].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[49].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[49].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[49].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[49].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[49].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[49].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[49].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[49].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[49].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[49].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[49].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[49].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[49].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[49].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[49].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[1] ),
+    .Y(\B_0_2.WORD[49].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[4].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[4].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[4].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[4].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[4].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[4].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[4].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[4].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[4].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[4].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[4].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[4].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[4].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[4].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[4].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[4].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[4].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[4].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[4].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[4].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[4].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[4].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[4].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[4].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[4].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[4].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[4].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[4].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[4].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[4].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[4] ),
+    .Y(\B_0_2.WORD[4].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[4].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[4].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[4].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[4].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[4].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[4].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[4].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[4].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[4].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[4].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[4].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[4].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[4].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[4].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[4].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[4].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[4].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[4].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[4].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[4].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[4].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[4].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[4].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[4].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[4].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[4].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[4].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[4].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[4].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[4].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[4] ),
+    .Y(\B_0_2.WORD[4].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[4].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[4].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[4].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[4].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[4].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[4].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[4].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[4].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[4].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[4].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[4].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[4].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[4].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[4].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[4].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[4].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[4].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[4].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[4].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[4].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[4].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[4].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[4].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[4].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[4].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[4].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[4].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[4].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[4].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[4].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[4] ),
+    .Y(\B_0_2.WORD[4].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[4].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[4].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[4].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[4].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[4].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[4].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[4].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[4].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[4].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[4].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[4].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[4].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[4].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[4].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[4].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[4].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[4].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[4].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[4].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[4].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[4].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[4].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[4].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[4].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[4].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[4].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[4].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[4].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[4].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[4].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[4].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[4].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[4] ),
+    .Y(\B_0_2.WORD[4].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[50].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[50].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[50].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[50].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[50].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[50].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[50].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[50].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[50].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[50].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[50].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[50].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[50].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[50].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[50].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[50].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[50].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[50].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[50].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[50].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[50].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[50].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[50].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[50].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[50].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[50].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[50].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[50].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[50].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[50].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[2] ),
+    .Y(\B_0_2.WORD[50].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[50].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[50].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[50].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[50].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[50].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[50].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[50].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[50].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[50].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[50].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[50].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[50].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[50].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[50].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[50].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[50].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[50].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[50].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[50].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[50].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[50].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[50].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[50].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[50].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[50].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[50].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[50].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[50].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[50].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[50].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[2] ),
+    .Y(\B_0_2.WORD[50].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[50].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[50].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[50].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[50].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[50].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[50].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[50].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[50].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[50].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[50].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[50].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[50].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[50].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[50].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[50].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[50].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[50].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[50].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[50].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[50].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[50].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[50].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[50].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[50].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[50].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[50].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[50].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[50].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[50].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[50].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[2] ),
+    .Y(\B_0_2.WORD[50].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[50].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[50].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[50].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[50].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[50].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[50].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[50].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[50].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[50].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[50].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[50].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[50].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[50].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[50].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[50].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[50].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[50].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[50].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[50].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[50].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[50].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[50].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[50].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[50].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[50].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[50].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[50].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[50].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[50].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[50].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[50].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[50].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[2] ),
+    .Y(\B_0_2.WORD[50].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[51].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[51].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[51].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[51].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[51].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[51].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[51].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[51].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[51].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[51].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[51].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[51].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[51].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[51].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[51].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[51].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[51].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[51].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[51].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[51].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[51].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[51].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[51].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[51].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[51].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[51].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[51].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[51].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[51].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[51].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[3] ),
+    .Y(\B_0_2.WORD[51].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[51].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[51].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[51].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[51].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[51].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[51].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[51].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[51].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[51].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[51].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[51].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[51].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[51].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[51].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[51].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[51].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[51].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[51].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[51].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[51].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[51].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[51].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[51].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[51].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[51].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[51].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[51].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[51].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[51].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[51].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[3] ),
+    .Y(\B_0_2.WORD[51].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[51].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[51].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[51].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[51].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[51].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[51].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[51].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[51].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[51].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[51].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[51].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[51].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[51].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[51].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[51].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[51].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[51].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[51].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[51].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[51].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[51].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[51].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[51].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[51].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[51].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[51].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[51].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[51].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[51].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[51].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[3] ),
+    .Y(\B_0_2.WORD[51].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[51].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[51].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[51].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[51].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[51].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[51].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[51].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[51].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[51].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[51].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[51].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[51].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[51].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[51].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[51].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[51].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[51].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[51].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[51].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[51].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[51].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[51].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[51].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[51].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[51].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[51].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[51].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[51].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[51].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[51].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[51].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[51].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[3] ),
+    .Y(\B_0_2.WORD[51].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[52].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[52].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[52].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[52].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[52].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[52].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[52].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[52].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[52].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[52].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[52].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[52].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[52].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[52].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[52].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[52].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[52].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[52].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[52].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[52].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[52].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[52].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[52].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[52].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[52].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[52].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[52].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[52].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[52].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[52].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[4] ),
+    .Y(\B_0_2.WORD[52].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[52].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[52].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[52].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[52].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[52].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[52].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[52].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[52].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[52].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[52].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[52].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[52].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[52].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[52].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[52].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[52].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[52].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[52].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[52].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[52].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[52].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[52].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[52].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[52].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[52].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[52].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[52].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[52].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[52].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[52].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[4] ),
+    .Y(\B_0_2.WORD[52].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[52].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[52].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[52].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[52].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[52].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[52].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[52].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[52].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[52].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[52].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[52].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[52].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[52].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[52].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[52].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[52].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[52].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[52].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[52].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[52].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[52].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[52].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[52].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[52].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[52].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[52].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[52].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[52].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[52].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[52].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[4] ),
+    .Y(\B_0_2.WORD[52].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[52].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[52].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[52].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[52].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[52].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[52].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[52].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[52].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[52].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[52].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[52].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[52].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[52].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[52].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[52].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[52].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[52].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[52].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[52].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[52].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[52].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[52].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[52].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[52].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[52].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[52].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[52].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[52].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[52].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[52].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[52].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[52].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[4] ),
+    .Y(\B_0_2.WORD[52].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[53].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[53].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[53].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[53].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[53].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[53].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[53].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[53].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[53].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[53].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[53].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[53].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[53].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[53].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[53].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[53].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[53].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[53].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[53].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[53].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[53].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[53].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[53].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[53].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[53].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[53].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[53].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[53].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[53].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[53].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[5] ),
+    .Y(\B_0_2.WORD[53].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[53].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[53].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[53].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[53].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[53].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[53].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[53].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[53].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[53].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[53].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[53].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[53].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[53].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[53].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[53].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[53].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[53].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[53].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[53].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[53].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[53].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[53].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[53].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[53].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[53].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[53].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[53].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[53].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[53].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[53].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[5] ),
+    .Y(\B_0_2.WORD[53].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[53].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[53].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[53].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[53].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[53].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[53].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[53].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[53].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[53].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[53].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[53].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[53].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[53].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[53].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[53].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[53].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[53].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[53].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[53].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[53].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[53].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[53].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[53].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[53].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[53].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[53].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[53].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[53].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[53].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[53].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[5] ),
+    .Y(\B_0_2.WORD[53].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[53].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[53].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[53].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[53].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[53].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[53].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[53].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[53].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[53].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[53].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[53].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[53].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[53].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[53].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[53].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[53].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[53].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[53].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[53].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[53].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[53].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[53].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[53].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[53].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[53].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[53].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[53].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[53].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[53].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[53].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[53].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[53].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[5] ),
+    .Y(\B_0_2.WORD[53].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[54].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[54].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[54].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[54].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[54].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[54].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[54].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[54].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[54].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[54].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[54].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[54].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[54].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[54].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[54].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[54].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[54].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[54].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[54].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[54].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[54].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[54].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[54].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[54].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[54].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[54].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[54].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[54].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[54].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[54].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[6] ),
+    .Y(\B_0_2.WORD[54].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[54].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[54].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[54].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[54].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[54].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[54].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[54].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[54].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[54].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[54].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[54].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[54].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[54].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[54].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[54].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[54].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[54].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[54].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[54].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[54].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[54].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[54].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[54].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[54].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[54].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[54].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[54].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[54].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[54].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[54].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[6] ),
+    .Y(\B_0_2.WORD[54].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[54].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[54].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[54].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[54].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[54].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[54].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[54].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[54].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[54].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[54].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[54].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[54].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[54].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[54].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[54].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[54].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[54].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[54].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[54].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[54].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[54].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[54].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[54].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[54].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[54].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[54].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[54].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[54].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[54].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[54].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[6] ),
+    .Y(\B_0_2.WORD[54].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[54].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[54].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[54].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[54].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[54].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[54].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[54].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[54].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[54].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[54].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[54].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[54].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[54].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[54].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[54].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[54].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[54].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[54].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[54].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[54].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[54].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[54].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[54].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[54].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[54].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[54].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[54].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[54].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[54].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[54].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[54].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[54].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[6] ),
+    .Y(\B_0_2.WORD[54].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[55].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[55].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[55].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[55].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[55].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[55].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[55].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[55].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[55].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[55].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[55].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[55].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[55].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[55].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[55].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[55].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[55].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[55].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[55].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[55].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[55].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[55].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[55].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[55].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[55].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[55].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[55].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[55].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[55].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[55].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[7] ),
+    .Y(\B_0_2.WORD[55].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[55].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[55].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[55].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[55].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[55].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[55].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[55].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[55].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[55].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[55].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[55].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[55].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[55].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[55].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[55].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[55].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[55].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[55].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[55].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[55].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[55].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[55].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[55].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[55].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[55].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[55].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[55].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[55].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[55].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[55].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[7] ),
+    .Y(\B_0_2.WORD[55].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[55].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[55].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[55].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[55].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[55].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[55].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[55].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[55].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[55].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[55].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[55].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[55].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[55].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[55].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[55].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[55].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[55].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[55].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[55].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[55].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[55].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[55].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[55].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[55].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[55].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[55].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[55].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[55].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[55].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[55].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[7] ),
+    .Y(\B_0_2.WORD[55].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[55].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[55].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[55].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[55].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[55].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[55].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[55].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[55].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[55].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[55].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[55].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[55].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[55].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[55].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[55].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[55].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[55].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[55].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[55].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[55].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[55].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[55].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[55].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[55].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[55].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[55].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[55].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[55].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[55].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[55].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[55].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[55].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[6].U.SEL[7] ),
+    .Y(\B_0_2.WORD[55].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[56].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[56].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[56].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[56].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[56].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[56].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[56].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[56].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[56].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[56].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[56].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[56].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[56].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[56].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[56].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[56].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[56].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[56].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[56].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[56].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[56].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[56].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[56].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[56].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[56].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[56].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[56].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[56].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[56].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[56].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[0] ),
+    .Y(\B_0_2.WORD[56].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[56].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[56].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[56].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[56].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[56].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[56].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[56].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[56].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[56].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[56].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[56].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[56].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[56].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[56].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[56].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[56].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[56].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[56].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[56].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[56].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[56].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[56].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[56].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[56].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[56].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[56].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[56].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[56].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[56].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[56].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[0] ),
+    .Y(\B_0_2.WORD[56].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[56].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[56].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[56].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[56].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[56].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[56].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[56].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[56].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[56].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[56].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[56].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[56].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[56].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[56].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[56].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[56].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[56].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[56].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[56].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[56].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[56].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[56].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[56].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[56].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[56].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[56].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[56].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[56].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[56].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[56].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[0] ),
+    .Y(\B_0_2.WORD[56].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[56].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[56].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[56].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[56].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[56].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[56].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[56].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[56].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[56].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[56].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[56].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[56].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[56].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[56].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[56].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[56].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[56].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[56].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[56].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[56].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[56].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[56].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[56].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[56].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[56].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[56].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[56].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[56].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[56].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[56].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[56].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[56].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[0] ),
+    .Y(\B_0_2.WORD[56].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[57].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[57].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[57].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[57].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[57].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[57].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[57].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[57].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[57].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[57].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[57].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[57].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[57].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[57].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[57].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[57].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[57].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[57].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[57].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[57].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[57].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[57].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[57].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[57].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[57].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[57].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[57].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[57].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[57].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[57].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[1] ),
+    .Y(\B_0_2.WORD[57].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[57].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[57].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[57].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[57].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[57].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[57].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[57].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[57].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[57].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[57].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[57].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[57].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[57].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[57].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[57].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[57].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[57].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[57].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[57].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[57].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[57].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[57].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[57].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[57].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[57].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[57].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[57].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[57].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[57].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[57].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[1] ),
+    .Y(\B_0_2.WORD[57].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[57].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[57].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[57].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[57].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[57].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[57].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[57].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[57].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[57].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[57].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[57].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[57].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[57].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[57].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[57].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[57].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[57].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[57].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[57].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[57].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[57].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[57].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[57].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[57].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[57].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[57].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[57].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[57].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[57].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[57].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[1] ),
+    .Y(\B_0_2.WORD[57].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[57].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[57].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[57].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[57].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[57].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[57].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[57].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[57].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[57].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[57].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[57].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[57].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[57].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[57].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[57].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[57].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[57].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[57].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[57].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[57].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[57].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[57].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[57].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[57].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[57].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[57].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[57].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[57].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[57].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[57].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[57].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[57].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[1] ),
+    .Y(\B_0_2.WORD[57].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[58].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[58].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[58].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[58].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[58].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[58].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[58].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[58].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[58].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[58].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[58].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[58].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[58].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[58].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[58].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[58].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[58].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[58].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[58].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[58].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[58].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[58].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[58].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[58].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[58].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[58].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[58].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[58].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[58].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[58].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[2] ),
+    .Y(\B_0_2.WORD[58].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[58].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[58].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[58].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[58].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[58].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[58].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[58].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[58].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[58].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[58].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[58].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[58].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[58].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[58].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[58].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[58].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[58].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[58].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[58].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[58].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[58].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[58].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[58].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[58].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[58].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[58].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[58].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[58].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[58].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[58].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[2] ),
+    .Y(\B_0_2.WORD[58].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[58].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[58].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[58].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[58].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[58].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[58].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[58].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[58].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[58].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[58].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[58].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[58].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[58].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[58].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[58].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[58].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[58].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[58].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[58].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[58].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[58].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[58].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[58].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[58].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[58].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[58].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[58].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[58].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[58].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[58].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[2] ),
+    .Y(\B_0_2.WORD[58].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[58].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[58].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[58].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[58].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[58].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[58].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[58].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[58].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[58].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[58].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[58].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[58].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[58].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[58].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[58].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[58].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[58].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[58].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[58].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[58].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[58].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[58].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[58].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[58].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[58].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[58].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[58].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[58].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[58].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[58].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[2] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[58].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[58].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[2] ),
+    .Y(\B_0_2.WORD[58].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[59].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[59].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[59].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[59].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[59].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[59].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[59].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[59].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[59].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[59].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[59].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[59].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[59].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[59].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[59].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[59].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[59].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[59].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[59].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[59].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[59].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[59].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[59].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[59].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[59].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[59].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[59].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[59].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[59].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[59].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[3] ),
+    .Y(\B_0_2.WORD[59].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[59].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[59].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[59].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[59].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[59].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[59].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[59].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[59].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[59].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[59].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[59].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[59].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[59].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[59].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[59].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[59].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[59].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[59].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[59].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[59].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[59].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[59].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[59].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[59].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[59].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[59].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[59].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[59].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[59].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[59].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[3] ),
+    .Y(\B_0_2.WORD[59].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[59].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[59].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[59].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[59].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[59].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[59].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[59].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[59].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[59].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[59].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[59].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[59].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[59].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[59].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[59].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[59].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[59].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[59].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[59].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[59].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[59].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[59].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[59].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[59].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[59].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[59].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[59].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[59].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[59].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[59].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[3] ),
+    .Y(\B_0_2.WORD[59].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[59].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[59].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[59].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[59].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[59].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[59].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[59].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[59].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[59].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[59].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[59].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[59].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[59].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[59].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[59].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[59].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[59].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[59].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[59].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[59].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[59].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[59].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[59].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[59].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[59].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[59].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[59].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[59].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[59].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[59].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[3] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[59].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[59].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[3] ),
+    .Y(\B_0_2.WORD[59].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[5].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[5].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[5].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[5].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[5].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[5].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[5].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[5].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[5].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[5].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[5].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[5].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[5].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[5].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[5].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[5].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[5].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[5].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[5].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[5].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[5].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[5].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[5].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[5].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[5].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[5].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[5].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[5].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[5].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[5].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[5] ),
+    .Y(\B_0_2.WORD[5].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[5].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[5].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[5].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[5].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[5].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[5].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[5].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[5].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[5].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[5].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[5].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[5].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[5].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[5].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[5].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[5].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[5].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[5].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[5].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[5].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[5].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[5].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[5].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[5].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[5].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[5].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[5].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[5].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[5].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[5].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[5] ),
+    .Y(\B_0_2.WORD[5].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[5].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[5].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[5].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[5].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[5].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[5].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[5].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[5].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[5].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[5].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[5].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[5].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[5].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[5].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[5].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[5].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[5].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[5].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[5].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[5].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[5].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[5].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[5].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[5].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[5].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[5].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[5].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[5].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[5].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[5].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[5] ),
+    .Y(\B_0_2.WORD[5].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[5].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[5].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[5].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[5].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[5].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[5].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[5].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[5].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[5].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[5].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[5].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[5].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[5].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[5].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[5].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[5].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[5].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[5].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[5].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[5].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[5].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[5].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[5].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[5].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[5].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[5].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[5].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[5].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[5].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[5].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[5].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[5].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[5] ),
+    .Y(\B_0_2.WORD[5].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[60].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[60].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[60].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[60].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[60].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[60].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[60].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[60].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[60].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[60].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[60].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[60].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[60].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[60].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[60].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[60].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[60].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[60].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[60].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[60].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[60].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[60].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[60].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[60].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[60].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[60].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[60].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[60].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[60].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[60].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[4] ),
+    .Y(\B_0_2.WORD[60].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[60].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[60].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[60].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[60].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[60].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[60].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[60].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[60].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[60].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[60].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[60].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[60].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[60].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[60].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[60].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[60].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[60].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[60].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[60].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[60].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[60].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[60].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[60].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[60].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[60].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[60].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[60].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[60].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[60].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[60].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[4] ),
+    .Y(\B_0_2.WORD[60].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[60].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[60].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[60].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[60].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[60].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[60].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[60].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[60].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[60].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[60].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[60].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[60].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[60].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[60].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[60].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[60].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[60].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[60].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[60].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[60].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[60].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[60].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[60].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[60].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[60].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[60].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[60].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[60].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[60].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[60].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[4] ),
+    .Y(\B_0_2.WORD[60].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[60].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[60].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[60].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[60].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[60].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[60].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[60].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[60].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[60].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[60].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[60].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[60].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[60].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[60].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[60].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[60].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[60].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[60].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[60].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[60].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[60].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[60].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[60].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[60].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[60].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[60].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[60].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[60].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[60].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[60].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[4] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[60].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[60].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[4] ),
+    .Y(\B_0_2.WORD[60].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[61].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[61].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[61].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[61].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[61].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[61].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[61].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[61].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[61].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[61].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[61].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[61].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[61].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[61].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[61].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[61].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[61].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[61].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[61].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[61].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[61].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[61].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[61].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[61].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[61].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[61].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[61].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[61].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[61].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[61].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[5] ),
+    .Y(\B_0_2.WORD[61].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[61].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[61].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[61].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[61].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[61].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[61].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[61].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[61].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[61].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[61].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[61].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[61].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[61].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[61].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[61].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[61].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[61].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[61].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[61].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[61].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[61].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[61].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[61].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[61].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[61].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[61].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[61].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[61].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[61].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[61].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[5] ),
+    .Y(\B_0_2.WORD[61].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[61].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[61].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[61].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[61].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[61].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[61].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[61].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[61].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[61].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[61].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[61].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[61].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[61].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[61].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[61].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[61].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[61].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[61].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[61].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[61].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[61].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[61].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[61].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[61].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[61].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[61].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[61].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[61].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[61].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[61].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[5] ),
+    .Y(\B_0_2.WORD[61].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[61].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[61].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[61].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[61].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[61].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[61].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[61].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[61].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[61].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[61].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[61].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[61].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[61].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[61].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[61].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[61].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[61].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[61].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[61].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[61].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[61].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[61].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[61].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[61].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[61].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[61].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[61].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[61].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[61].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[61].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[5] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[61].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[61].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[5] ),
+    .Y(\B_0_2.WORD[61].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[62].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[62].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[62].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[62].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[62].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[62].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[62].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[62].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[62].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[62].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[62].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[62].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[62].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[62].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[62].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[62].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[62].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[62].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[62].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[62].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[62].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[62].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[62].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[62].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[62].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[62].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[62].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[62].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[62].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[62].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[6] ),
+    .Y(\B_0_2.WORD[62].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[62].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[62].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[62].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[62].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[62].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[62].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[62].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[62].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[62].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[62].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[62].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[62].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[62].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[62].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[62].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[62].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[62].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[62].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[62].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[62].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[62].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[62].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[62].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[62].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[62].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[62].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[62].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[62].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[62].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[62].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[6] ),
+    .Y(\B_0_2.WORD[62].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[62].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[62].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[62].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[62].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[62].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[62].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[62].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[62].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[62].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[62].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[62].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[62].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[62].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[62].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[62].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[62].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[62].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[62].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[62].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[62].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[62].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[62].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[62].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[62].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[62].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[62].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[62].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[62].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[62].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[62].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[6] ),
+    .Y(\B_0_2.WORD[62].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[62].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[62].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[62].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[62].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[62].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[62].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[62].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[62].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[62].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[62].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[62].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[62].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[62].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[62].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[62].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[62].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[62].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[62].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[62].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[62].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[62].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[62].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[62].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[62].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[62].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[62].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[62].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[62].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[62].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[62].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[62].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[62].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[6] ),
+    .Y(\B_0_2.WORD[62].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[63].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[63].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[63].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[63].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[63].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[63].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[63].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[63].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[63].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[63].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[63].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[63].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[63].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[63].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[63].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[63].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[63].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[63].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[63].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[63].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[63].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[63].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[63].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[63].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[63].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[63].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[63].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[63].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[63].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[63].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[7] ),
+    .Y(\B_0_2.WORD[63].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[63].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[63].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[63].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[63].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[63].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[63].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[63].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[63].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[63].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[63].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[63].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[63].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[63].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[63].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[63].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[63].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[63].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[63].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[63].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[63].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[63].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[63].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[63].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[63].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[63].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[63].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[63].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[63].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[63].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[63].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[7] ),
+    .Y(\B_0_2.WORD[63].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[63].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[63].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[63].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[63].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[63].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[63].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[63].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[63].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[63].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[63].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[63].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[63].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[63].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[63].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[63].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[63].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[63].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[63].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[63].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[63].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[63].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[63].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[63].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[63].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[63].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[63].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[63].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[63].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[63].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[63].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[7] ),
+    .Y(\B_0_2.WORD[63].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[63].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[63].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[63].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[63].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[63].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[63].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[63].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[63].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[63].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[63].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[63].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[63].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[63].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[63].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[63].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[63].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[63].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[63].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[63].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[63].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[63].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[63].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[63].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[63].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[63].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[63].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[63].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[63].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[63].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[63].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[63].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[63].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[7].U.SEL[7] ),
+    .Y(\B_0_2.WORD[63].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[6].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[6].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[6].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[6].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[6].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[6].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[6].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[6].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[6].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[6].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[6].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[6].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[6].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[6].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[6].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[6].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[6].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[6].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[6].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[6].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[6].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[6].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[6].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[6].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[6].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[6].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[6].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[6].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[6].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[6].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[6] ),
+    .Y(\B_0_2.WORD[6].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[6].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[6].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[6].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[6].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[6].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[6].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[6].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[6].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[6].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[6].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[6].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[6].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[6].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[6].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[6].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[6].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[6].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[6].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[6].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[6].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[6].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[6].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[6].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[6].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[6].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[6].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[6].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[6].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[6].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[6].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[6] ),
+    .Y(\B_0_2.WORD[6].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[6].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[6].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[6].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[6].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[6].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[6].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[6].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[6].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[6].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[6].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[6].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[6].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[6].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[6].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[6].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[6].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[6].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[6].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[6].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[6].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[6].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[6].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[6].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[6].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[6].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[6].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[6].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[6].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[6].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[6].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[6] ),
+    .Y(\B_0_2.WORD[6].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[6].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[6].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[6].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[6].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[6].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[6].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[6].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[6].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[6].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[6].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[6].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[6].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[6].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[6].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[6].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[6].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[6].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[6].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[6].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[6].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[6].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[6].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[6].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[6].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[6].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[6].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[6].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[6].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[6].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[6].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[6] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[6].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[6].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[6] ),
+    .Y(\B_0_2.WORD[6].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[7].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[7].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[7].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[7].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[7].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[7].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[7].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[7].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[7].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[7].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[7].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[7].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[7].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[7].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[7].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[7].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[7].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[7].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[7].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[7].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[7].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[7].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[7].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[7].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[7].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[7].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[7].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[7].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[7].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[7].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[7] ),
+    .Y(\B_0_2.WORD[7].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[7].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[7].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[7].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[7].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[7].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[7].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[7].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[7].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[7].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[7].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[7].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[7].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[7].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[7].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[7].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[7].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[7].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[7].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[7].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[7].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[7].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[7].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[7].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[7].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[7].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[7].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[7].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[7].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[7].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[7].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[7] ),
+    .Y(\B_0_2.WORD[7].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[7].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[7].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[7].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[7].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[7].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[7].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[7].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[7].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[7].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[7].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[7].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[7].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[7].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[7].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[7].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[7].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[7].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[7].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[7].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[7].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[7].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[7].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[7].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[7].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[7].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[7].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[7].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[7].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[7].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[7].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[7] ),
+    .Y(\B_0_2.WORD[7].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[7].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[7].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[7].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[7].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[7].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[7].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[7].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[7].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[7].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[7].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[7].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[7].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[7].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[7].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[7].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[7].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[7].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[7].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[7].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[7].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[7].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[7].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[7].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[7].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[7].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[7].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[7].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[7].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[7].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[7].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[7] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[7].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[7].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[0].U.SEL[7] ),
+    .Y(\B_0_2.WORD[7].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[8].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[8].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[8].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[8].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[8].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[8].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[8].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[8].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[8].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[8].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[8].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[8].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[8].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[8].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[8].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[8].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[8].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[8].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[8].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[8].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[8].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[8].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[8].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[8].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[8].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[8].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[8].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[8].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[8].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[8].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[0] ),
+    .Y(\B_0_2.WORD[8].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[8].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[8].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[8].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[8].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[8].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[8].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[8].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[8].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[8].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[8].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[8].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[8].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[8].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[8].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[8].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[8].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[8].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[8].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[8].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[8].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[8].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[8].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[8].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[8].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[8].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[8].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[8].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[8].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[8].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[8].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[0] ),
+    .Y(\B_0_2.WORD[8].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[8].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[8].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[8].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[8].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[8].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[8].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[8].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[8].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[8].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[8].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[8].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[8].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[8].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[8].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[8].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[8].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[8].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[8].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[8].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[8].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[8].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[8].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[8].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[8].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[8].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[8].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[8].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[8].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[8].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[8].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[0] ),
+    .Y(\B_0_2.WORD[8].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[8].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[8].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[8].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[8].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[8].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[8].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[8].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[8].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[8].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[8].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[8].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[8].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[8].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[8].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[8].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[8].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[8].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[8].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[8].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[8].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[8].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[8].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[8].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[8].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[8].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[8].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[8].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[8].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[8].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[8].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[0] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[8].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[8].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[0] ),
+    .Y(\B_0_2.WORD[8].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B0.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[9].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[0] ),
+    .Q(\B_0_2.WORD[9].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B0.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[9].W.B0.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B0.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[9].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[1] ),
+    .Q(\B_0_2.WORD[9].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B0.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[9].W.B0.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B0.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[9].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[2] ),
+    .Q(\B_0_2.WORD[9].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B0.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[9].W.B0.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B0.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[9].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[3] ),
+    .Q(\B_0_2.WORD[9].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B0.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[9].W.B0.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B0.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[9].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[4] ),
+    .Q(\B_0_2.WORD[9].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B0.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[9].W.B0.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B0.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[9].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[5] ),
+    .Q(\B_0_2.WORD[9].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B0.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[9].W.B0.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B0.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[9].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[6] ),
+    .Q(\B_0_2.WORD[9].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B0.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[9].W.B0.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B0.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[9].W.B0.GCLK ),
+    .D(\B_0_2.Di_buf[7] ),
+    .Q(\B_0_2.WORD[9].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B0.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[9].W.B0.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_2.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[9].W.B0.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[9].W.B0.we_wire ),
+    .GCLK(\B_0_2.WORD[9].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[9].W.B0.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[0] ),
+    .X(\B_0_2.WORD[9].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[9].W.B0.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[1] ),
+    .Y(\B_0_2.WORD[9].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B1.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[9].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[8] ),
+    .Q(\B_0_2.WORD[9].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B1.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[9].W.B1.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B1.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[9].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[9] ),
+    .Q(\B_0_2.WORD[9].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B1.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[9].W.B1.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B1.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[9].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[10] ),
+    .Q(\B_0_2.WORD[9].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B1.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[9].W.B1.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B1.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[9].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[11] ),
+    .Q(\B_0_2.WORD[9].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B1.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[9].W.B1.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B1.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[9].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[12] ),
+    .Q(\B_0_2.WORD[9].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B1.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[9].W.B1.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B1.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[9].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[13] ),
+    .Q(\B_0_2.WORD[9].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B1.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[9].W.B1.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B1.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[9].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[14] ),
+    .Q(\B_0_2.WORD[9].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B1.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[9].W.B1.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B1.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[9].W.B1.GCLK ),
+    .D(\B_0_2.Di_buf[15] ),
+    .Q(\B_0_2.WORD[9].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B1.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[9].W.B1.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_2.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[9].W.B1.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[9].W.B1.we_wire ),
+    .GCLK(\B_0_2.WORD[9].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[9].W.B1.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[1] ),
+    .X(\B_0_2.WORD[9].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[9].W.B1.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[1] ),
+    .Y(\B_0_2.WORD[9].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B2.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[9].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[16] ),
+    .Q(\B_0_2.WORD[9].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B2.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[9].W.B2.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B2.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[9].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[17] ),
+    .Q(\B_0_2.WORD[9].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B2.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[9].W.B2.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B2.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[9].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[18] ),
+    .Q(\B_0_2.WORD[9].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B2.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[9].W.B2.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B2.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[9].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[19] ),
+    .Q(\B_0_2.WORD[9].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B2.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[9].W.B2.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B2.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[9].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[20] ),
+    .Q(\B_0_2.WORD[9].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B2.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[9].W.B2.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B2.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[9].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[21] ),
+    .Q(\B_0_2.WORD[9].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B2.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[9].W.B2.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B2.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[9].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[22] ),
+    .Q(\B_0_2.WORD[9].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B2.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[9].W.B2.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B2.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[9].W.B2.GCLK ),
+    .D(\B_0_2.Di_buf[23] ),
+    .Q(\B_0_2.WORD[9].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B2.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[9].W.B2.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_2.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[9].W.B2.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[9].W.B2.we_wire ),
+    .GCLK(\B_0_2.WORD[9].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[9].W.B2.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[2] ),
+    .X(\B_0_2.WORD[9].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[9].W.B2.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[1] ),
+    .Y(\B_0_2.WORD[9].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B3.BIT[0].FF  (
+    .CLK(\B_0_2.WORD[9].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[24] ),
+    .Q(\B_0_2.WORD[9].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B3.BIT[0].OBUF  (
+    .A(\B_0_2.WORD[9].W.B3.q_wire[0] ),
+    .TE_B(\B_0_2.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B3.BIT[1].FF  (
+    .CLK(\B_0_2.WORD[9].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[25] ),
+    .Q(\B_0_2.WORD[9].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B3.BIT[1].OBUF  (
+    .A(\B_0_2.WORD[9].W.B3.q_wire[1] ),
+    .TE_B(\B_0_2.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B3.BIT[2].FF  (
+    .CLK(\B_0_2.WORD[9].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[26] ),
+    .Q(\B_0_2.WORD[9].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B3.BIT[2].OBUF  (
+    .A(\B_0_2.WORD[9].W.B3.q_wire[2] ),
+    .TE_B(\B_0_2.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B3.BIT[3].FF  (
+    .CLK(\B_0_2.WORD[9].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[27] ),
+    .Q(\B_0_2.WORD[9].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B3.BIT[3].OBUF  (
+    .A(\B_0_2.WORD[9].W.B3.q_wire[3] ),
+    .TE_B(\B_0_2.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B3.BIT[4].FF  (
+    .CLK(\B_0_2.WORD[9].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[28] ),
+    .Q(\B_0_2.WORD[9].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B3.BIT[4].OBUF  (
+    .A(\B_0_2.WORD[9].W.B3.q_wire[4] ),
+    .TE_B(\B_0_2.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B3.BIT[5].FF  (
+    .CLK(\B_0_2.WORD[9].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[29] ),
+    .Q(\B_0_2.WORD[9].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B3.BIT[5].OBUF  (
+    .A(\B_0_2.WORD[9].W.B3.q_wire[5] ),
+    .TE_B(\B_0_2.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B3.BIT[6].FF  (
+    .CLK(\B_0_2.WORD[9].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[30] ),
+    .Q(\B_0_2.WORD[9].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B3.BIT[6].OBUF  (
+    .A(\B_0_2.WORD[9].W.B3.q_wire[6] ),
+    .TE_B(\B_0_2.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_2.WORD[9].W.B3.BIT[7].FF  (
+    .CLK(\B_0_2.WORD[9].W.B3.GCLK ),
+    .D(\B_0_2.Di_buf[31] ),
+    .Q(\B_0_2.WORD[9].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_2.WORD[9].W.B3.BIT[7].OBUF  (
+    .A(\B_0_2.WORD[9].W.B3.q_wire[7] ),
+    .TE_B(\B_0_2.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_2.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_2.WORD[9].W.B3.CG  (
+    .CLK(\B_0_2.CLK_buf ),
+    .GATE(\B_0_2.WORD[9].W.B3.we_wire ),
+    .GCLK(\B_0_2.WORD[9].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_2.WORD[9].W.B3.CGAND  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[1] ),
+    .B(\B_0_2.WE_buf[3] ),
+    .X(\B_0_2.WORD[9].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_2.WORD[9].W.B3.INV  (
+    .A(\B_0_2.DEC.DEC_L1[1].U.SEL[1] ),
+    .Y(\B_0_2.WORD[9].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.CLKBUF  (
+    .A(\B_0_0.CLK ),
+    .X(\B_0_3.CLK_buf )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_3.DEC.DEC_L0.AND0  (
+    .A(A[3]),
+    .B(A[4]),
+    .C(A[5]),
+    .D_N(\B_0_3.DEC.DEC_L0.EN ),
+    .Y(\B_0_3.DEC.DEC_L0.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L0.AND1  (
+    .A_N(A[5]),
+    .B_N(A[4]),
+    .C(A[3]),
+    .D(\B_0_3.DEC.DEC_L0.EN ),
+    .X(\B_0_3.DEC.DEC_L0.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L0.AND2  (
+    .A_N(A[5]),
+    .B_N(A[3]),
+    .C(A[4]),
+    .D(\B_0_3.DEC.DEC_L0.EN ),
+    .X(\B_0_3.DEC.DEC_L0.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L0.AND3  (
+    .A_N(A[5]),
+    .B(A[4]),
+    .C(A[3]),
+    .D(\B_0_3.DEC.DEC_L0.EN ),
+    .X(\B_0_3.DEC.DEC_L0.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L0.AND4  (
+    .A_N(A[3]),
+    .B_N(A[4]),
+    .C(A[5]),
+    .D(\B_0_3.DEC.DEC_L0.EN ),
+    .X(\B_0_3.DEC.DEC_L0.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L0.AND5  (
+    .A_N(A[4]),
+    .B(A[3]),
+    .C(A[5]),
+    .D(\B_0_3.DEC.DEC_L0.EN ),
+    .X(\B_0_3.DEC.DEC_L0.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L0.AND6  (
+    .A_N(A[3]),
+    .B(A[4]),
+    .C(A[5]),
+    .D(\B_0_3.DEC.DEC_L0.EN ),
+    .X(\B_0_3.DEC.DEC_L0.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_3.DEC.DEC_L0.AND7  (
+    .A(A[3]),
+    .B(A[4]),
+    .C(A[5]),
+    .D(\B_0_3.DEC.DEC_L0.EN ),
+    .X(\B_0_3.DEC.DEC_L0.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_3.DEC.DEC_L1[0].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_3.DEC.DEC_L0.SEL[0] ),
+    .Y(\B_0_3.DEC.DEC_L1[0].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[0].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_3.DEC.DEC_L1[0].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[0].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_3.DEC.DEC_L1[0].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[0].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_3.DEC.DEC_L1[0].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[0].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_3.DEC.DEC_L1[0].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[0].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_3.DEC.DEC_L1[0].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[0].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_3.DEC.DEC_L1[0].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_3.DEC.DEC_L1[0].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[0] ),
+    .X(\B_0_3.DEC.DEC_L1[0].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_3.DEC.DEC_L1[1].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_3.DEC.DEC_L0.SEL[1] ),
+    .Y(\B_0_3.DEC.DEC_L1[1].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[1].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_3.DEC.DEC_L1[1].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[1].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_3.DEC.DEC_L1[1].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[1].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_3.DEC.DEC_L1[1].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[1].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_3.DEC.DEC_L1[1].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[1].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_3.DEC.DEC_L1[1].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[1].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_3.DEC.DEC_L1[1].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_3.DEC.DEC_L1[1].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[1] ),
+    .X(\B_0_3.DEC.DEC_L1[1].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_3.DEC.DEC_L1[2].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_3.DEC.DEC_L0.SEL[2] ),
+    .Y(\B_0_3.DEC.DEC_L1[2].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[2].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_3.DEC.DEC_L1[2].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[2].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_3.DEC.DEC_L1[2].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[2].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_3.DEC.DEC_L1[2].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[2].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_3.DEC.DEC_L1[2].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[2].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_3.DEC.DEC_L1[2].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[2].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_3.DEC.DEC_L1[2].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_3.DEC.DEC_L1[2].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[2] ),
+    .X(\B_0_3.DEC.DEC_L1[2].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_3.DEC.DEC_L1[3].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_3.DEC.DEC_L0.SEL[3] ),
+    .Y(\B_0_3.DEC.DEC_L1[3].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[3].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_3.DEC.DEC_L1[3].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[3].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_3.DEC.DEC_L1[3].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[3].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_3.DEC.DEC_L1[3].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[3].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_3.DEC.DEC_L1[3].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[3].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_3.DEC.DEC_L1[3].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[3].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_3.DEC.DEC_L1[3].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_3.DEC.DEC_L1[3].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[3] ),
+    .X(\B_0_3.DEC.DEC_L1[3].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_3.DEC.DEC_L1[4].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_3.DEC.DEC_L0.SEL[4] ),
+    .Y(\B_0_3.DEC.DEC_L1[4].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[4].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_3.DEC.DEC_L1[4].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[4].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_3.DEC.DEC_L1[4].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[4].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_3.DEC.DEC_L1[4].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[4].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_3.DEC.DEC_L1[4].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[4].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_3.DEC.DEC_L1[4].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[4].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_3.DEC.DEC_L1[4].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_3.DEC.DEC_L1[4].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[4] ),
+    .X(\B_0_3.DEC.DEC_L1[4].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_3.DEC.DEC_L1[5].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_3.DEC.DEC_L0.SEL[5] ),
+    .Y(\B_0_3.DEC.DEC_L1[5].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[5].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_3.DEC.DEC_L1[5].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[5].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_3.DEC.DEC_L1[5].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[5].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_3.DEC.DEC_L1[5].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[5].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_3.DEC.DEC_L1[5].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[5].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_3.DEC.DEC_L1[5].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[5].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_3.DEC.DEC_L1[5].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_3.DEC.DEC_L1[5].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[5] ),
+    .X(\B_0_3.DEC.DEC_L1[5].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_3.DEC.DEC_L1[6].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_3.DEC.DEC_L0.SEL[6] ),
+    .Y(\B_0_3.DEC.DEC_L1[6].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[6].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_3.DEC.DEC_L1[6].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[6].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_3.DEC.DEC_L1[6].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[6].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_3.DEC.DEC_L1[6].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[6].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_3.DEC.DEC_L1[6].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[6].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_3.DEC.DEC_L1[6].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[6].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_3.DEC.DEC_L1[6].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_3.DEC.DEC_L1[6].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[6] ),
+    .X(\B_0_3.DEC.DEC_L1[6].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__nor4b_2 \B_0_3.DEC.DEC_L1[7].U.AND0  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D_N(\B_0_3.DEC.DEC_L0.SEL[7] ),
+    .Y(\B_0_3.DEC.DEC_L1[7].U.SEL[0] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[7].U.AND1  (
+    .A_N(A[2]),
+    .B_N(A[1]),
+    .C(A[0]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_3.DEC.DEC_L1[7].U.SEL[1] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[7].U.AND2  (
+    .A_N(A[2]),
+    .B_N(A[0]),
+    .C(A[1]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_3.DEC.DEC_L1[7].U.SEL[2] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[7].U.AND3  (
+    .A_N(A[2]),
+    .B(A[1]),
+    .C(A[0]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_3.DEC.DEC_L1[7].U.SEL[3] )
+  );
+  sky130_fd_sc_hd__and4bb_2 \B_0_3.DEC.DEC_L1[7].U.AND4  (
+    .A_N(A[0]),
+    .B_N(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_3.DEC.DEC_L1[7].U.SEL[4] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[7].U.AND5  (
+    .A_N(A[1]),
+    .B(A[0]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_3.DEC.DEC_L1[7].U.SEL[5] )
+  );
+  sky130_fd_sc_hd__and4b_2 \B_0_3.DEC.DEC_L1[7].U.AND6  (
+    .A_N(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_3.DEC.DEC_L1[7].U.SEL[6] )
+  );
+  sky130_fd_sc_hd__and4_2 \B_0_3.DEC.DEC_L1[7].U.AND7  (
+    .A(A[0]),
+    .B(A[1]),
+    .C(A[2]),
+    .D(\B_0_3.DEC.DEC_L0.SEL[7] ),
+    .X(\B_0_3.DEC.DEC_L1[7].U.SEL[7] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[0]  (
+    .A(\B_0_0.Di[0] ),
+    .X(\B_0_3.Di_buf[0] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[10]  (
+    .A(\B_0_0.Di[10] ),
+    .X(\B_0_3.Di_buf[10] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[11]  (
+    .A(\B_0_0.Di[11] ),
+    .X(\B_0_3.Di_buf[11] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[12]  (
+    .A(\B_0_0.Di[12] ),
+    .X(\B_0_3.Di_buf[12] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[13]  (
+    .A(\B_0_0.Di[13] ),
+    .X(\B_0_3.Di_buf[13] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[14]  (
+    .A(\B_0_0.Di[14] ),
+    .X(\B_0_3.Di_buf[14] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[15]  (
+    .A(\B_0_0.Di[15] ),
+    .X(\B_0_3.Di_buf[15] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[16]  (
+    .A(\B_0_0.Di[16] ),
+    .X(\B_0_3.Di_buf[16] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[17]  (
+    .A(\B_0_0.Di[17] ),
+    .X(\B_0_3.Di_buf[17] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[18]  (
+    .A(\B_0_0.Di[18] ),
+    .X(\B_0_3.Di_buf[18] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[19]  (
+    .A(\B_0_0.Di[19] ),
+    .X(\B_0_3.Di_buf[19] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[1]  (
+    .A(\B_0_0.Di[1] ),
+    .X(\B_0_3.Di_buf[1] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[20]  (
+    .A(\B_0_0.Di[20] ),
+    .X(\B_0_3.Di_buf[20] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[21]  (
+    .A(\B_0_0.Di[21] ),
+    .X(\B_0_3.Di_buf[21] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[22]  (
+    .A(\B_0_0.Di[22] ),
+    .X(\B_0_3.Di_buf[22] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[23]  (
+    .A(\B_0_0.Di[23] ),
+    .X(\B_0_3.Di_buf[23] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[24]  (
+    .A(\B_0_0.Di[24] ),
+    .X(\B_0_3.Di_buf[24] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[25]  (
+    .A(\B_0_0.Di[25] ),
+    .X(\B_0_3.Di_buf[25] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[26]  (
+    .A(\B_0_0.Di[26] ),
+    .X(\B_0_3.Di_buf[26] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[27]  (
+    .A(\B_0_0.Di[27] ),
+    .X(\B_0_3.Di_buf[27] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[28]  (
+    .A(\B_0_0.Di[28] ),
+    .X(\B_0_3.Di_buf[28] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[29]  (
+    .A(\B_0_0.Di[29] ),
+    .X(\B_0_3.Di_buf[29] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[2]  (
+    .A(\B_0_0.Di[2] ),
+    .X(\B_0_3.Di_buf[2] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[30]  (
+    .A(\B_0_0.Di[30] ),
+    .X(\B_0_3.Di_buf[30] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[31]  (
+    .A(\B_0_0.Di[31] ),
+    .X(\B_0_3.Di_buf[31] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[3]  (
+    .A(\B_0_0.Di[3] ),
+    .X(\B_0_3.Di_buf[3] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[4]  (
+    .A(\B_0_0.Di[4] ),
+    .X(\B_0_3.Di_buf[4] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[5]  (
+    .A(\B_0_0.Di[5] ),
+    .X(\B_0_3.Di_buf[5] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[6]  (
+    .A(\B_0_0.Di[6] ),
+    .X(\B_0_3.Di_buf[6] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[7]  (
+    .A(\B_0_0.Di[7] ),
+    .X(\B_0_3.Di_buf[7] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[8]  (
+    .A(\B_0_0.Di[8] ),
+    .X(\B_0_3.Di_buf[8] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.DIBUF[9]  (
+    .A(\B_0_0.Di[9] ),
+    .X(\B_0_3.Di_buf[9] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[0]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[10]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[11]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[12]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[13]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[14]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[15]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[16]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[17]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[18]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[19]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[1]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[20]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[21]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[22]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[23]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[24]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[25]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[26]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[27]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[28]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[29]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[2]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[30]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[31]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[3]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[4]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[5]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[6]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[7]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[8]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__ebufn_4 \B_0_3.FLOATBUF[9]  (
+    .A(\B_0_3.DEC.DEC_L0.EN ),
+    .TE_B(\B_0_3.DEC.DEC_L0.EN ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[0].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[0] ),
+    .Q(\B_0_3.Do[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[10].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[10] ),
+    .Q(\B_0_3.Do[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[11].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[11] ),
+    .Q(\B_0_3.Do[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[12].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[12] ),
+    .Q(\B_0_3.Do[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[13].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[13] ),
+    .Q(\B_0_3.Do[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[14].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[14] ),
+    .Q(\B_0_3.Do[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[15].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[15] ),
+    .Q(\B_0_3.Do[15] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[16].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[16] ),
+    .Q(\B_0_3.Do[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[17].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[17] ),
+    .Q(\B_0_3.Do[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[18].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[18] ),
+    .Q(\B_0_3.Do[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[19].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[19] ),
+    .Q(\B_0_3.Do[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[1].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[1] ),
+    .Q(\B_0_3.Do[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[20].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[20] ),
+    .Q(\B_0_3.Do[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[21].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[21] ),
+    .Q(\B_0_3.Do[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[22].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[22] ),
+    .Q(\B_0_3.Do[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[23].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[23] ),
+    .Q(\B_0_3.Do[23] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[24].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[24] ),
+    .Q(\B_0_3.Do[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[25].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[25] ),
+    .Q(\B_0_3.Do[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[26].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[26] ),
+    .Q(\B_0_3.Do[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[27].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[27] ),
+    .Q(\B_0_3.Do[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[28].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[28] ),
+    .Q(\B_0_3.Do[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[29].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[29] ),
+    .Q(\B_0_3.Do[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[2].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[2] ),
+    .Q(\B_0_3.Do[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[30].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[30] ),
+    .Q(\B_0_3.Do[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[31].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[31] ),
+    .Q(\B_0_3.Do[31] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[3].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[3] ),
+    .Q(\B_0_3.Do[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[4].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[4] ),
+    .Q(\B_0_3.Do[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[5].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[5] ),
+    .Q(\B_0_3.Do[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[6].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[6] ),
+    .Q(\B_0_3.Do[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[7].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[7] ),
+    .Q(\B_0_3.Do[7] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[8].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[8] ),
+    .Q(\B_0_3.Do[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.OUT[9].FF  (
+    .CLK(\B_0_0.CLK ),
+    .D(\B_0_3.Do_pre[9] ),
+    .Q(\B_0_3.Do[9] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.WEBUF[0]  (
+    .A(\B_0_0.WE[0] ),
+    .X(\B_0_3.WE_buf[0] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.WEBUF[1]  (
+    .A(\B_0_0.WE[1] ),
+    .X(\B_0_3.WE_buf[1] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.WEBUF[2]  (
+    .A(\B_0_0.WE[2] ),
+    .X(\B_0_3.WE_buf[2] )
+  );
+  sky130_fd_sc_hd__clkbuf_16 \B_0_3.WEBUF[3]  (
+    .A(\B_0_0.WE[3] ),
+    .X(\B_0_3.WE_buf[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[0].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[0].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[0].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[0].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[0].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[0].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[0].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[0].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[0].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[0].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[0].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[0].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[0].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[0].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[0].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[0].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[0].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[0].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[0].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[0].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[0].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[0].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[0].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[0].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[0].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[0].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[0].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[0].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[0].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[0].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[0].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[0] ),
+    .Y(\B_0_3.WORD[0].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[0].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[0].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[0].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[0].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[0].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[0].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[0].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[0].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[0].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[0].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[0].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[0].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[0].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[0].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[0].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[0].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[0].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[0].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[0].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[0].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[0].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[0].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[0].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[0].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[0].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[0].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[0].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[0].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[0].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[0].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[0].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[0] ),
+    .Y(\B_0_3.WORD[0].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[0].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[0].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[0].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[0].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[0].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[0].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[0].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[0].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[0].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[0].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[0].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[0].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[0].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[0].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[0].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[0].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[0].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[0].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[0].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[0].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[0].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[0].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[0].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[0].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[0].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[0].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[0].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[0].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[0].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[0].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[0].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[0] ),
+    .Y(\B_0_3.WORD[0].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[0].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[0].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[0].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[0].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[0].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[0].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[0].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[0].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[0].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[0].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[0].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[0].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[0].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[0].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[0].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[0].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[0].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[0].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[0].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[0].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[0].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[0].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[0].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[0].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[0].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[0].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[0].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[0].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[0].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[0].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[0].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[0].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[0].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[0] ),
+    .Y(\B_0_3.WORD[0].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[10].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[10].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[10].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[10].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[10].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[10].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[10].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[10].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[10].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[10].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[10].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[10].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[10].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[10].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[10].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[10].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[10].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[10].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[10].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[10].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[10].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[10].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[10].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[10].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[10].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[10].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[10].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[10].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[10].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[10].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[10].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[2] ),
+    .Y(\B_0_3.WORD[10].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[10].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[10].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[10].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[10].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[10].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[10].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[10].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[10].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[10].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[10].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[10].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[10].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[10].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[10].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[10].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[10].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[10].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[10].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[10].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[10].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[10].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[10].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[10].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[10].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[10].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[10].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[10].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[10].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[10].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[10].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[10].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[2] ),
+    .Y(\B_0_3.WORD[10].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[10].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[10].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[10].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[10].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[10].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[10].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[10].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[10].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[10].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[10].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[10].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[10].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[10].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[10].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[10].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[10].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[10].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[10].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[10].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[10].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[10].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[10].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[10].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[10].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[10].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[10].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[10].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[10].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[10].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[10].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[10].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[2] ),
+    .Y(\B_0_3.WORD[10].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[10].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[10].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[10].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[10].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[10].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[10].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[10].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[10].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[10].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[10].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[10].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[10].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[10].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[10].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[10].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[10].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[10].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[10].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[10].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[10].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[10].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[10].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[10].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[10].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[10].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[10].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[10].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[10].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[10].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[10].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[10].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[10].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[10].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[2] ),
+    .Y(\B_0_3.WORD[10].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[11].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[11].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[11].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[11].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[11].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[11].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[11].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[11].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[11].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[11].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[11].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[11].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[11].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[11].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[11].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[11].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[11].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[11].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[11].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[11].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[11].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[11].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[11].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[11].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[11].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[11].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[11].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[11].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[11].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[11].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[11].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[3] ),
+    .Y(\B_0_3.WORD[11].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[11].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[11].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[11].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[11].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[11].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[11].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[11].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[11].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[11].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[11].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[11].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[11].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[11].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[11].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[11].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[11].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[11].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[11].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[11].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[11].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[11].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[11].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[11].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[11].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[11].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[11].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[11].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[11].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[11].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[11].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[11].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[3] ),
+    .Y(\B_0_3.WORD[11].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[11].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[11].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[11].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[11].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[11].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[11].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[11].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[11].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[11].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[11].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[11].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[11].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[11].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[11].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[11].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[11].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[11].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[11].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[11].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[11].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[11].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[11].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[11].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[11].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[11].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[11].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[11].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[11].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[11].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[11].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[11].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[3] ),
+    .Y(\B_0_3.WORD[11].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[11].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[11].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[11].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[11].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[11].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[11].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[11].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[11].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[11].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[11].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[11].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[11].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[11].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[11].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[11].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[11].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[11].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[11].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[11].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[11].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[11].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[11].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[11].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[11].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[11].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[11].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[11].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[11].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[11].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[11].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[11].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[11].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[11].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[3] ),
+    .Y(\B_0_3.WORD[11].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[12].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[12].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[12].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[12].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[12].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[12].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[12].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[12].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[12].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[12].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[12].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[12].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[12].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[12].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[12].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[12].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[12].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[12].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[12].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[12].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[12].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[12].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[12].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[12].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[12].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[12].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[12].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[12].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[12].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[12].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[12].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[4] ),
+    .Y(\B_0_3.WORD[12].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[12].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[12].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[12].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[12].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[12].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[12].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[12].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[12].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[12].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[12].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[12].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[12].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[12].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[12].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[12].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[12].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[12].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[12].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[12].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[12].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[12].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[12].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[12].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[12].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[12].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[12].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[12].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[12].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[12].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[12].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[12].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[4] ),
+    .Y(\B_0_3.WORD[12].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[12].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[12].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[12].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[12].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[12].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[12].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[12].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[12].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[12].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[12].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[12].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[12].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[12].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[12].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[12].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[12].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[12].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[12].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[12].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[12].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[12].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[12].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[12].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[12].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[12].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[12].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[12].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[12].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[12].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[12].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[12].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[4] ),
+    .Y(\B_0_3.WORD[12].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[12].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[12].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[12].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[12].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[12].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[12].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[12].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[12].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[12].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[12].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[12].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[12].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[12].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[12].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[12].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[12].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[12].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[12].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[12].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[12].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[12].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[12].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[12].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[12].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[12].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[12].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[12].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[12].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[12].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[12].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[12].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[12].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[12].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[4] ),
+    .Y(\B_0_3.WORD[12].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[13].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[13].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[13].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[13].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[13].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[13].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[13].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[13].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[13].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[13].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[13].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[13].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[13].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[13].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[13].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[13].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[13].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[13].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[13].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[13].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[13].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[13].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[13].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[13].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[13].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[13].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[13].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[13].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[13].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[13].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[13].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[5] ),
+    .Y(\B_0_3.WORD[13].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[13].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[13].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[13].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[13].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[13].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[13].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[13].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[13].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[13].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[13].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[13].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[13].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[13].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[13].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[13].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[13].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[13].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[13].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[13].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[13].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[13].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[13].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[13].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[13].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[13].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[13].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[13].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[13].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[13].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[13].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[13].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[5] ),
+    .Y(\B_0_3.WORD[13].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[13].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[13].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[13].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[13].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[13].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[13].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[13].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[13].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[13].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[13].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[13].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[13].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[13].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[13].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[13].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[13].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[13].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[13].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[13].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[13].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[13].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[13].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[13].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[13].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[13].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[13].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[13].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[13].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[13].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[13].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[13].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[5] ),
+    .Y(\B_0_3.WORD[13].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[13].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[13].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[13].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[13].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[13].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[13].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[13].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[13].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[13].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[13].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[13].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[13].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[13].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[13].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[13].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[13].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[13].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[13].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[13].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[13].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[13].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[13].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[13].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[13].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[13].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[13].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[13].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[13].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[13].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[13].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[13].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[13].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[13].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[5] ),
+    .Y(\B_0_3.WORD[13].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[14].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[14].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[14].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[14].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[14].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[14].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[14].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[14].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[14].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[14].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[14].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[14].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[14].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[14].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[14].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[14].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[14].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[14].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[14].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[14].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[14].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[14].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[14].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[14].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[14].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[14].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[14].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[14].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[14].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[14].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[14].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[6] ),
+    .Y(\B_0_3.WORD[14].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[14].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[14].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[14].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[14].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[14].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[14].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[14].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[14].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[14].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[14].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[14].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[14].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[14].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[14].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[14].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[14].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[14].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[14].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[14].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[14].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[14].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[14].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[14].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[14].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[14].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[14].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[14].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[14].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[14].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[14].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[14].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[6] ),
+    .Y(\B_0_3.WORD[14].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[14].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[14].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[14].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[14].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[14].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[14].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[14].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[14].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[14].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[14].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[14].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[14].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[14].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[14].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[14].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[14].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[14].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[14].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[14].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[14].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[14].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[14].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[14].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[14].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[14].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[14].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[14].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[14].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[14].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[14].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[14].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[6] ),
+    .Y(\B_0_3.WORD[14].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[14].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[14].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[14].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[14].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[14].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[14].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[14].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[14].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[14].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[14].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[14].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[14].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[14].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[14].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[14].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[14].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[14].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[14].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[14].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[14].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[14].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[14].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[14].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[14].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[14].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[14].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[14].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[14].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[14].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[14].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[14].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[14].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[14].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[6] ),
+    .Y(\B_0_3.WORD[14].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[15].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[15].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[15].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[15].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[15].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[15].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[15].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[15].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[15].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[15].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[15].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[15].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[15].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[15].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[15].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[15].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[15].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[15].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[15].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[15].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[15].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[15].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[15].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[15].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[15].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[15].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[15].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[15].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[15].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[15].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[15].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[7] ),
+    .Y(\B_0_3.WORD[15].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[15].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[15].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[15].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[15].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[15].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[15].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[15].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[15].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[15].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[15].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[15].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[15].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[15].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[15].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[15].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[15].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[15].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[15].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[15].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[15].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[15].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[15].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[15].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[15].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[15].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[15].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[15].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[15].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[15].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[15].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[15].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[7] ),
+    .Y(\B_0_3.WORD[15].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[15].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[15].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[15].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[15].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[15].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[15].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[15].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[15].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[15].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[15].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[15].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[15].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[15].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[15].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[15].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[15].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[15].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[15].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[15].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[15].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[15].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[15].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[15].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[15].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[15].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[15].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[15].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[15].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[15].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[15].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[15].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[7] ),
+    .Y(\B_0_3.WORD[15].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[15].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[15].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[15].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[15].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[15].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[15].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[15].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[15].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[15].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[15].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[15].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[15].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[15].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[15].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[15].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[15].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[15].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[15].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[15].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[15].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[15].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[15].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[15].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[15].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[15].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[15].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[15].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[15].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[15].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[15].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[15].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[15].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[15].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[7] ),
+    .Y(\B_0_3.WORD[15].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[16].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[16].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[16].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[16].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[16].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[16].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[16].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[16].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[16].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[16].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[16].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[16].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[16].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[16].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[16].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[16].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[16].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[16].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[16].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[16].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[16].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[16].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[16].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[16].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[16].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[16].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[16].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[16].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[16].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[16].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[16].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[0] ),
+    .Y(\B_0_3.WORD[16].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[16].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[16].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[16].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[16].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[16].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[16].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[16].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[16].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[16].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[16].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[16].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[16].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[16].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[16].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[16].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[16].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[16].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[16].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[16].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[16].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[16].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[16].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[16].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[16].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[16].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[16].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[16].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[16].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[16].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[16].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[16].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[0] ),
+    .Y(\B_0_3.WORD[16].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[16].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[16].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[16].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[16].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[16].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[16].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[16].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[16].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[16].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[16].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[16].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[16].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[16].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[16].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[16].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[16].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[16].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[16].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[16].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[16].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[16].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[16].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[16].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[16].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[16].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[16].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[16].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[16].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[16].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[16].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[16].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[0] ),
+    .Y(\B_0_3.WORD[16].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[16].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[16].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[16].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[16].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[16].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[16].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[16].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[16].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[16].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[16].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[16].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[16].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[16].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[16].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[16].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[16].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[16].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[16].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[16].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[16].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[16].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[16].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[16].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[16].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[16].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[16].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[16].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[16].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[16].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[16].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[16].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[16].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[16].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[0] ),
+    .Y(\B_0_3.WORD[16].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[17].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[17].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[17].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[17].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[17].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[17].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[17].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[17].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[17].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[17].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[17].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[17].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[17].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[17].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[17].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[17].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[17].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[17].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[17].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[17].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[17].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[17].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[17].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[17].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[17].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[17].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[17].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[17].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[17].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[17].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[17].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[1] ),
+    .Y(\B_0_3.WORD[17].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[17].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[17].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[17].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[17].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[17].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[17].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[17].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[17].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[17].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[17].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[17].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[17].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[17].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[17].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[17].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[17].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[17].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[17].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[17].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[17].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[17].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[17].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[17].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[17].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[17].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[17].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[17].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[17].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[17].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[17].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[17].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[1] ),
+    .Y(\B_0_3.WORD[17].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[17].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[17].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[17].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[17].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[17].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[17].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[17].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[17].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[17].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[17].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[17].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[17].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[17].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[17].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[17].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[17].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[17].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[17].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[17].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[17].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[17].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[17].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[17].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[17].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[17].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[17].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[17].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[17].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[17].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[17].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[17].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[1] ),
+    .Y(\B_0_3.WORD[17].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[17].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[17].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[17].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[17].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[17].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[17].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[17].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[17].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[17].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[17].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[17].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[17].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[17].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[17].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[17].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[17].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[17].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[17].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[17].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[17].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[17].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[17].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[17].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[17].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[17].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[17].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[17].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[17].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[17].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[17].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[17].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[17].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[17].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[1] ),
+    .Y(\B_0_3.WORD[17].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[18].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[18].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[18].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[18].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[18].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[18].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[18].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[18].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[18].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[18].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[18].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[18].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[18].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[18].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[18].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[18].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[18].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[18].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[18].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[18].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[18].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[18].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[18].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[18].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[18].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[18].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[18].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[18].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[18].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[18].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[18].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[2] ),
+    .Y(\B_0_3.WORD[18].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[18].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[18].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[18].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[18].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[18].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[18].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[18].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[18].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[18].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[18].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[18].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[18].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[18].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[18].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[18].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[18].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[18].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[18].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[18].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[18].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[18].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[18].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[18].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[18].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[18].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[18].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[18].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[18].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[18].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[18].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[18].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[2] ),
+    .Y(\B_0_3.WORD[18].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[18].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[18].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[18].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[18].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[18].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[18].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[18].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[18].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[18].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[18].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[18].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[18].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[18].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[18].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[18].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[18].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[18].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[18].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[18].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[18].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[18].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[18].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[18].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[18].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[18].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[18].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[18].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[18].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[18].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[18].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[18].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[2] ),
+    .Y(\B_0_3.WORD[18].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[18].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[18].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[18].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[18].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[18].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[18].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[18].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[18].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[18].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[18].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[18].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[18].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[18].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[18].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[18].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[18].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[18].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[18].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[18].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[18].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[18].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[18].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[18].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[18].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[18].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[18].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[18].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[18].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[18].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[18].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[18].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[18].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[18].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[2] ),
+    .Y(\B_0_3.WORD[18].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[19].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[19].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[19].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[19].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[19].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[19].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[19].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[19].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[19].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[19].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[19].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[19].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[19].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[19].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[19].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[19].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[19].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[19].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[19].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[19].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[19].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[19].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[19].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[19].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[19].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[19].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[19].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[19].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[19].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[19].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[19].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[3] ),
+    .Y(\B_0_3.WORD[19].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[19].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[19].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[19].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[19].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[19].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[19].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[19].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[19].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[19].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[19].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[19].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[19].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[19].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[19].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[19].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[19].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[19].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[19].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[19].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[19].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[19].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[19].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[19].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[19].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[19].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[19].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[19].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[19].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[19].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[19].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[19].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[3] ),
+    .Y(\B_0_3.WORD[19].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[19].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[19].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[19].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[19].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[19].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[19].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[19].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[19].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[19].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[19].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[19].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[19].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[19].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[19].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[19].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[19].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[19].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[19].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[19].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[19].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[19].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[19].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[19].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[19].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[19].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[19].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[19].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[19].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[19].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[19].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[19].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[3] ),
+    .Y(\B_0_3.WORD[19].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[19].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[19].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[19].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[19].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[19].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[19].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[19].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[19].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[19].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[19].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[19].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[19].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[19].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[19].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[19].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[19].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[19].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[19].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[19].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[19].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[19].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[19].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[19].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[19].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[19].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[19].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[19].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[19].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[19].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[19].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[19].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[19].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[19].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[3] ),
+    .Y(\B_0_3.WORD[19].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[1].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[1].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[1].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[1].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[1].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[1].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[1].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[1].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[1].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[1].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[1].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[1].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[1].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[1].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[1].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[1].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[1].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[1].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[1].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[1].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[1].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[1].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[1].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[1].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[1].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[1].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[1].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[1].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[1].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[1].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[1].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[1] ),
+    .Y(\B_0_3.WORD[1].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[1].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[1].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[1].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[1].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[1].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[1].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[1].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[1].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[1].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[1].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[1].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[1].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[1].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[1].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[1].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[1].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[1].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[1].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[1].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[1].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[1].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[1].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[1].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[1].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[1].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[1].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[1].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[1].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[1].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[1].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[1].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[1] ),
+    .Y(\B_0_3.WORD[1].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[1].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[1].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[1].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[1].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[1].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[1].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[1].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[1].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[1].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[1].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[1].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[1].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[1].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[1].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[1].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[1].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[1].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[1].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[1].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[1].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[1].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[1].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[1].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[1].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[1].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[1].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[1].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[1].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[1].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[1].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[1].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[1] ),
+    .Y(\B_0_3.WORD[1].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[1].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[1].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[1].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[1].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[1].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[1].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[1].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[1].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[1].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[1].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[1].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[1].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[1].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[1].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[1].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[1].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[1].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[1].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[1].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[1].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[1].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[1].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[1].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[1].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[1].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[1].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[1].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[1].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[1].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[1].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[1].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[1].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[1].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[1] ),
+    .Y(\B_0_3.WORD[1].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[20].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[20].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[20].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[20].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[20].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[20].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[20].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[20].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[20].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[20].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[20].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[20].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[20].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[20].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[20].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[20].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[20].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[20].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[20].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[20].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[20].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[20].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[20].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[20].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[20].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[20].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[20].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[20].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[20].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[20].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[20].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[4] ),
+    .Y(\B_0_3.WORD[20].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[20].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[20].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[20].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[20].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[20].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[20].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[20].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[20].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[20].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[20].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[20].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[20].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[20].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[20].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[20].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[20].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[20].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[20].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[20].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[20].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[20].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[20].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[20].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[20].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[20].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[20].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[20].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[20].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[20].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[20].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[20].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[4] ),
+    .Y(\B_0_3.WORD[20].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[20].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[20].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[20].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[20].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[20].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[20].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[20].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[20].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[20].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[20].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[20].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[20].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[20].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[20].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[20].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[20].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[20].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[20].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[20].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[20].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[20].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[20].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[20].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[20].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[20].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[20].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[20].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[20].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[20].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[20].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[20].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[4] ),
+    .Y(\B_0_3.WORD[20].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[20].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[20].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[20].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[20].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[20].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[20].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[20].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[20].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[20].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[20].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[20].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[20].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[20].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[20].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[20].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[20].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[20].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[20].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[20].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[20].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[20].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[20].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[20].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[20].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[20].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[20].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[20].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[20].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[20].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[20].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[20].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[20].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[20].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[4] ),
+    .Y(\B_0_3.WORD[20].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[21].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[21].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[21].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[21].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[21].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[21].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[21].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[21].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[21].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[21].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[21].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[21].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[21].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[21].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[21].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[21].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[21].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[21].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[21].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[21].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[21].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[21].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[21].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[21].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[21].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[21].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[21].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[21].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[21].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[21].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[21].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[5] ),
+    .Y(\B_0_3.WORD[21].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[21].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[21].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[21].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[21].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[21].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[21].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[21].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[21].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[21].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[21].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[21].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[21].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[21].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[21].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[21].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[21].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[21].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[21].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[21].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[21].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[21].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[21].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[21].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[21].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[21].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[21].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[21].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[21].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[21].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[21].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[21].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[5] ),
+    .Y(\B_0_3.WORD[21].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[21].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[21].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[21].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[21].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[21].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[21].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[21].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[21].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[21].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[21].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[21].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[21].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[21].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[21].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[21].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[21].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[21].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[21].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[21].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[21].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[21].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[21].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[21].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[21].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[21].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[21].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[21].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[21].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[21].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[21].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[21].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[5] ),
+    .Y(\B_0_3.WORD[21].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[21].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[21].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[21].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[21].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[21].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[21].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[21].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[21].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[21].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[21].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[21].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[21].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[21].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[21].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[21].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[21].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[21].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[21].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[21].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[21].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[21].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[21].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[21].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[21].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[21].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[21].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[21].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[21].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[21].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[21].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[21].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[21].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[21].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[5] ),
+    .Y(\B_0_3.WORD[21].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[22].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[22].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[22].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[22].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[22].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[22].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[22].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[22].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[22].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[22].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[22].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[22].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[22].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[22].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[22].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[22].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[22].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[22].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[22].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[22].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[22].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[22].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[22].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[22].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[22].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[22].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[22].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[22].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[22].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[22].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[22].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[6] ),
+    .Y(\B_0_3.WORD[22].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[22].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[22].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[22].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[22].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[22].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[22].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[22].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[22].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[22].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[22].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[22].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[22].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[22].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[22].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[22].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[22].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[22].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[22].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[22].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[22].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[22].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[22].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[22].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[22].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[22].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[22].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[22].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[22].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[22].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[22].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[22].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[6] ),
+    .Y(\B_0_3.WORD[22].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[22].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[22].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[22].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[22].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[22].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[22].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[22].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[22].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[22].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[22].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[22].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[22].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[22].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[22].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[22].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[22].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[22].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[22].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[22].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[22].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[22].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[22].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[22].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[22].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[22].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[22].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[22].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[22].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[22].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[22].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[22].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[6] ),
+    .Y(\B_0_3.WORD[22].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[22].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[22].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[22].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[22].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[22].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[22].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[22].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[22].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[22].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[22].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[22].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[22].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[22].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[22].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[22].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[22].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[22].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[22].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[22].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[22].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[22].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[22].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[22].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[22].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[22].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[22].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[22].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[22].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[22].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[22].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[22].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[22].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[22].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[6] ),
+    .Y(\B_0_3.WORD[22].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[23].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[23].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[23].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[23].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[23].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[23].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[23].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[23].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[23].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[23].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[23].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[23].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[23].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[23].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[23].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[23].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[23].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[23].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[23].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[23].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[23].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[23].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[23].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[23].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[23].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[23].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[23].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[23].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[23].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[23].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[23].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[7] ),
+    .Y(\B_0_3.WORD[23].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[23].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[23].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[23].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[23].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[23].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[23].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[23].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[23].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[23].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[23].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[23].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[23].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[23].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[23].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[23].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[23].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[23].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[23].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[23].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[23].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[23].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[23].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[23].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[23].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[23].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[23].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[23].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[23].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[23].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[23].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[23].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[7] ),
+    .Y(\B_0_3.WORD[23].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[23].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[23].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[23].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[23].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[23].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[23].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[23].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[23].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[23].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[23].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[23].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[23].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[23].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[23].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[23].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[23].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[23].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[23].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[23].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[23].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[23].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[23].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[23].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[23].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[23].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[23].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[23].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[23].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[23].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[23].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[23].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[7] ),
+    .Y(\B_0_3.WORD[23].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[23].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[23].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[23].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[23].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[23].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[23].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[23].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[23].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[23].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[23].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[23].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[23].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[23].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[23].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[23].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[23].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[23].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[23].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[23].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[23].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[23].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[23].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[23].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[23].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[23].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[23].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[23].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[23].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[23].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[23].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[23].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[23].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[23].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[2].U.SEL[7] ),
+    .Y(\B_0_3.WORD[23].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[24].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[24].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[24].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[24].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[24].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[24].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[24].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[24].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[24].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[24].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[24].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[24].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[24].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[24].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[24].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[24].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[24].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[24].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[24].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[24].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[24].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[24].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[24].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[24].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[24].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[24].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[24].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[24].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[24].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[24].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[24].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[0] ),
+    .Y(\B_0_3.WORD[24].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[24].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[24].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[24].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[24].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[24].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[24].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[24].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[24].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[24].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[24].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[24].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[24].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[24].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[24].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[24].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[24].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[24].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[24].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[24].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[24].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[24].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[24].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[24].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[24].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[24].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[24].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[24].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[24].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[24].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[24].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[24].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[0] ),
+    .Y(\B_0_3.WORD[24].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[24].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[24].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[24].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[24].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[24].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[24].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[24].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[24].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[24].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[24].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[24].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[24].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[24].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[24].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[24].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[24].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[24].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[24].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[24].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[24].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[24].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[24].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[24].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[24].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[24].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[24].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[24].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[24].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[24].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[24].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[24].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[0] ),
+    .Y(\B_0_3.WORD[24].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[24].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[24].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[24].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[24].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[24].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[24].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[24].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[24].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[24].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[24].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[24].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[24].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[24].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[24].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[24].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[24].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[24].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[24].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[24].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[24].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[24].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[24].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[24].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[24].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[24].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[24].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[24].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[24].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[24].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[24].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[24].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[24].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[24].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[0] ),
+    .Y(\B_0_3.WORD[24].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[25].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[25].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[25].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[25].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[25].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[25].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[25].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[25].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[25].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[25].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[25].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[25].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[25].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[25].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[25].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[25].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[25].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[25].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[25].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[25].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[25].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[25].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[25].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[25].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[25].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[25].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[25].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[25].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[25].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[25].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[25].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[1] ),
+    .Y(\B_0_3.WORD[25].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[25].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[25].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[25].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[25].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[25].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[25].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[25].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[25].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[25].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[25].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[25].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[25].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[25].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[25].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[25].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[25].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[25].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[25].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[25].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[25].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[25].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[25].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[25].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[25].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[25].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[25].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[25].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[25].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[25].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[25].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[25].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[1] ),
+    .Y(\B_0_3.WORD[25].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[25].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[25].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[25].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[25].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[25].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[25].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[25].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[25].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[25].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[25].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[25].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[25].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[25].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[25].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[25].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[25].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[25].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[25].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[25].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[25].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[25].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[25].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[25].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[25].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[25].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[25].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[25].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[25].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[25].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[25].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[25].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[1] ),
+    .Y(\B_0_3.WORD[25].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[25].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[25].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[25].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[25].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[25].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[25].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[25].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[25].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[25].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[25].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[25].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[25].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[25].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[25].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[25].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[25].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[25].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[25].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[25].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[25].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[25].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[25].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[25].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[25].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[25].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[25].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[25].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[25].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[25].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[25].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[25].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[25].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[25].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[1] ),
+    .Y(\B_0_3.WORD[25].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[26].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[26].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[26].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[26].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[26].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[26].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[26].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[26].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[26].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[26].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[26].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[26].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[26].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[26].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[26].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[26].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[26].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[26].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[26].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[26].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[26].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[26].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[26].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[26].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[26].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[26].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[26].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[26].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[26].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[26].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[26].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[2] ),
+    .Y(\B_0_3.WORD[26].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[26].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[26].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[26].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[26].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[26].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[26].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[26].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[26].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[26].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[26].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[26].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[26].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[26].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[26].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[26].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[26].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[26].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[26].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[26].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[26].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[26].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[26].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[26].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[26].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[26].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[26].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[26].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[26].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[26].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[26].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[26].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[2] ),
+    .Y(\B_0_3.WORD[26].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[26].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[26].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[26].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[26].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[26].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[26].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[26].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[26].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[26].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[26].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[26].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[26].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[26].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[26].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[26].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[26].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[26].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[26].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[26].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[26].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[26].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[26].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[26].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[26].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[26].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[26].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[26].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[26].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[26].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[26].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[26].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[2] ),
+    .Y(\B_0_3.WORD[26].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[26].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[26].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[26].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[26].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[26].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[26].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[26].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[26].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[26].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[26].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[26].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[26].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[26].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[26].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[26].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[26].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[26].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[26].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[26].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[26].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[26].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[26].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[26].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[26].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[26].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[26].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[26].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[26].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[26].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[26].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[26].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[26].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[26].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[2] ),
+    .Y(\B_0_3.WORD[26].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[27].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[27].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[27].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[27].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[27].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[27].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[27].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[27].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[27].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[27].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[27].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[27].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[27].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[27].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[27].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[27].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[27].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[27].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[27].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[27].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[27].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[27].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[27].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[27].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[27].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[27].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[27].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[27].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[27].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[27].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[27].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[3] ),
+    .Y(\B_0_3.WORD[27].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[27].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[27].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[27].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[27].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[27].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[27].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[27].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[27].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[27].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[27].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[27].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[27].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[27].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[27].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[27].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[27].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[27].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[27].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[27].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[27].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[27].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[27].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[27].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[27].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[27].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[27].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[27].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[27].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[27].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[27].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[27].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[3] ),
+    .Y(\B_0_3.WORD[27].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[27].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[27].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[27].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[27].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[27].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[27].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[27].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[27].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[27].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[27].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[27].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[27].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[27].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[27].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[27].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[27].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[27].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[27].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[27].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[27].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[27].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[27].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[27].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[27].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[27].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[27].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[27].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[27].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[27].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[27].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[27].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[3] ),
+    .Y(\B_0_3.WORD[27].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[27].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[27].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[27].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[27].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[27].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[27].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[27].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[27].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[27].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[27].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[27].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[27].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[27].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[27].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[27].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[27].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[27].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[27].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[27].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[27].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[27].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[27].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[27].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[27].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[27].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[27].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[27].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[27].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[27].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[27].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[27].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[27].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[27].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[3] ),
+    .Y(\B_0_3.WORD[27].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[28].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[28].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[28].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[28].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[28].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[28].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[28].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[28].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[28].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[28].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[28].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[28].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[28].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[28].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[28].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[28].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[28].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[28].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[28].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[28].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[28].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[28].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[28].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[28].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[28].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[28].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[28].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[28].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[28].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[28].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[28].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[4] ),
+    .Y(\B_0_3.WORD[28].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[28].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[28].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[28].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[28].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[28].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[28].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[28].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[28].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[28].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[28].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[28].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[28].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[28].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[28].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[28].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[28].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[28].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[28].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[28].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[28].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[28].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[28].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[28].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[28].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[28].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[28].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[28].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[28].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[28].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[28].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[28].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[4] ),
+    .Y(\B_0_3.WORD[28].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[28].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[28].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[28].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[28].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[28].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[28].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[28].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[28].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[28].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[28].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[28].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[28].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[28].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[28].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[28].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[28].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[28].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[28].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[28].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[28].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[28].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[28].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[28].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[28].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[28].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[28].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[28].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[28].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[28].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[28].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[28].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[4] ),
+    .Y(\B_0_3.WORD[28].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[28].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[28].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[28].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[28].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[28].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[28].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[28].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[28].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[28].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[28].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[28].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[28].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[28].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[28].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[28].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[28].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[28].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[28].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[28].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[28].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[28].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[28].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[28].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[28].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[28].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[28].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[28].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[28].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[28].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[28].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[28].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[28].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[28].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[4] ),
+    .Y(\B_0_3.WORD[28].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[29].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[29].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[29].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[29].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[29].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[29].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[29].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[29].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[29].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[29].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[29].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[29].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[29].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[29].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[29].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[29].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[29].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[29].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[29].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[29].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[29].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[29].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[29].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[29].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[29].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[29].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[29].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[29].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[29].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[29].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[29].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[5] ),
+    .Y(\B_0_3.WORD[29].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[29].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[29].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[29].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[29].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[29].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[29].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[29].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[29].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[29].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[29].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[29].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[29].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[29].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[29].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[29].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[29].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[29].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[29].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[29].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[29].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[29].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[29].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[29].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[29].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[29].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[29].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[29].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[29].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[29].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[29].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[29].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[5] ),
+    .Y(\B_0_3.WORD[29].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[29].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[29].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[29].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[29].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[29].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[29].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[29].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[29].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[29].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[29].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[29].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[29].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[29].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[29].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[29].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[29].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[29].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[29].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[29].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[29].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[29].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[29].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[29].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[29].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[29].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[29].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[29].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[29].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[29].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[29].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[29].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[5] ),
+    .Y(\B_0_3.WORD[29].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[29].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[29].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[29].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[29].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[29].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[29].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[29].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[29].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[29].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[29].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[29].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[29].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[29].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[29].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[29].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[29].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[29].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[29].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[29].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[29].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[29].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[29].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[29].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[29].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[29].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[29].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[29].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[29].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[29].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[29].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[29].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[29].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[29].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[5] ),
+    .Y(\B_0_3.WORD[29].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[2].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[2].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[2].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[2].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[2].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[2].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[2].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[2].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[2].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[2].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[2].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[2].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[2].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[2].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[2].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[2].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[2].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[2].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[2].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[2].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[2].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[2].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[2].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[2].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[2].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[2].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[2].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[2].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[2].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[2].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[2].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[2] ),
+    .Y(\B_0_3.WORD[2].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[2].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[2].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[2].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[2].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[2].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[2].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[2].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[2].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[2].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[2].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[2].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[2].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[2].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[2].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[2].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[2].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[2].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[2].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[2].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[2].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[2].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[2].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[2].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[2].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[2].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[2].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[2].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[2].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[2].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[2].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[2].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[2] ),
+    .Y(\B_0_3.WORD[2].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[2].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[2].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[2].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[2].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[2].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[2].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[2].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[2].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[2].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[2].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[2].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[2].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[2].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[2].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[2].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[2].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[2].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[2].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[2].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[2].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[2].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[2].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[2].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[2].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[2].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[2].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[2].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[2].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[2].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[2].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[2].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[2] ),
+    .Y(\B_0_3.WORD[2].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[2].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[2].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[2].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[2].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[2].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[2].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[2].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[2].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[2].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[2].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[2].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[2].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[2].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[2].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[2].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[2].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[2].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[2].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[2].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[2].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[2].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[2].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[2].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[2].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[2].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[2].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[2].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[2].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[2].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[2].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[2].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[2].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[2].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[2] ),
+    .Y(\B_0_3.WORD[2].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[30].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[30].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[30].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[30].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[30].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[30].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[30].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[30].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[30].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[30].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[30].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[30].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[30].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[30].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[30].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[30].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[30].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[30].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[30].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[30].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[30].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[30].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[30].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[30].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[30].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[30].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[30].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[30].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[30].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[30].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[30].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[6] ),
+    .Y(\B_0_3.WORD[30].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[30].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[30].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[30].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[30].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[30].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[30].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[30].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[30].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[30].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[30].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[30].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[30].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[30].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[30].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[30].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[30].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[30].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[30].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[30].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[30].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[30].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[30].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[30].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[30].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[30].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[30].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[30].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[30].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[30].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[30].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[30].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[6] ),
+    .Y(\B_0_3.WORD[30].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[30].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[30].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[30].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[30].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[30].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[30].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[30].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[30].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[30].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[30].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[30].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[30].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[30].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[30].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[30].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[30].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[30].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[30].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[30].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[30].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[30].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[30].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[30].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[30].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[30].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[30].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[30].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[30].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[30].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[30].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[30].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[6] ),
+    .Y(\B_0_3.WORD[30].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[30].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[30].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[30].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[30].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[30].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[30].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[30].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[30].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[30].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[30].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[30].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[30].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[30].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[30].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[30].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[30].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[30].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[30].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[30].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[30].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[30].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[30].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[30].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[30].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[30].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[30].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[30].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[30].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[30].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[30].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[30].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[30].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[30].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[6] ),
+    .Y(\B_0_3.WORD[30].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[31].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[31].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[31].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[31].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[31].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[31].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[31].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[31].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[31].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[31].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[31].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[31].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[31].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[31].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[31].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[31].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[31].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[31].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[31].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[31].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[31].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[31].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[31].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[31].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[31].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[31].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[31].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[31].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[31].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[31].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[31].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[7] ),
+    .Y(\B_0_3.WORD[31].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[31].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[31].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[31].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[31].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[31].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[31].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[31].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[31].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[31].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[31].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[31].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[31].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[31].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[31].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[31].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[31].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[31].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[31].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[31].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[31].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[31].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[31].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[31].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[31].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[31].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[31].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[31].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[31].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[31].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[31].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[31].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[7] ),
+    .Y(\B_0_3.WORD[31].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[31].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[31].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[31].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[31].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[31].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[31].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[31].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[31].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[31].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[31].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[31].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[31].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[31].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[31].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[31].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[31].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[31].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[31].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[31].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[31].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[31].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[31].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[31].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[31].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[31].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[31].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[31].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[31].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[31].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[31].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[31].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[7] ),
+    .Y(\B_0_3.WORD[31].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[31].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[31].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[31].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[31].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[31].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[31].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[31].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[31].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[31].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[31].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[31].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[31].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[31].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[31].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[31].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[31].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[31].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[31].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[31].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[31].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[31].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[31].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[31].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[31].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[31].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[31].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[31].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[31].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[31].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[31].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[31].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[31].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[31].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[3].U.SEL[7] ),
+    .Y(\B_0_3.WORD[31].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[32].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[32].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[32].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[32].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[32].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[32].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[32].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[32].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[32].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[32].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[32].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[32].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[32].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[32].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[32].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[32].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[32].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[32].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[32].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[32].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[32].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[32].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[32].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[32].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[32].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[32].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[32].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[32].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[32].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[32].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[32].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[0] ),
+    .Y(\B_0_3.WORD[32].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[32].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[32].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[32].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[32].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[32].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[32].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[32].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[32].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[32].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[32].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[32].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[32].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[32].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[32].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[32].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[32].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[32].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[32].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[32].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[32].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[32].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[32].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[32].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[32].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[32].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[32].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[32].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[32].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[32].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[32].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[32].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[0] ),
+    .Y(\B_0_3.WORD[32].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[32].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[32].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[32].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[32].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[32].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[32].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[32].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[32].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[32].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[32].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[32].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[32].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[32].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[32].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[32].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[32].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[32].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[32].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[32].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[32].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[32].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[32].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[32].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[32].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[32].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[32].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[32].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[32].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[32].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[32].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[32].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[0] ),
+    .Y(\B_0_3.WORD[32].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[32].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[32].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[32].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[32].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[32].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[32].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[32].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[32].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[32].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[32].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[32].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[32].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[32].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[32].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[32].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[32].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[32].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[32].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[32].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[32].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[32].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[32].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[32].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[32].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[32].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[32].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[32].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[32].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[32].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[32].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[32].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[32].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[32].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[0] ),
+    .Y(\B_0_3.WORD[32].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[33].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[33].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[33].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[33].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[33].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[33].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[33].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[33].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[33].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[33].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[33].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[33].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[33].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[33].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[33].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[33].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[33].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[33].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[33].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[33].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[33].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[33].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[33].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[33].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[33].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[33].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[33].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[33].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[33].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[33].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[33].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[1] ),
+    .Y(\B_0_3.WORD[33].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[33].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[33].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[33].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[33].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[33].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[33].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[33].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[33].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[33].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[33].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[33].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[33].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[33].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[33].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[33].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[33].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[33].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[33].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[33].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[33].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[33].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[33].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[33].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[33].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[33].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[33].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[33].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[33].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[33].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[33].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[33].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[1] ),
+    .Y(\B_0_3.WORD[33].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[33].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[33].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[33].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[33].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[33].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[33].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[33].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[33].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[33].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[33].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[33].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[33].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[33].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[33].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[33].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[33].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[33].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[33].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[33].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[33].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[33].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[33].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[33].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[33].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[33].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[33].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[33].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[33].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[33].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[33].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[33].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[1] ),
+    .Y(\B_0_3.WORD[33].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[33].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[33].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[33].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[33].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[33].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[33].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[33].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[33].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[33].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[33].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[33].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[33].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[33].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[33].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[33].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[33].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[33].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[33].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[33].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[33].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[33].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[33].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[33].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[33].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[33].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[33].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[33].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[33].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[33].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[33].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[33].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[33].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[33].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[1] ),
+    .Y(\B_0_3.WORD[33].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[34].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[34].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[34].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[34].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[34].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[34].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[34].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[34].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[34].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[34].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[34].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[34].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[34].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[34].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[34].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[34].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[34].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[34].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[34].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[34].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[34].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[34].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[34].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[34].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[34].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[34].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[34].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[34].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[34].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[34].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[34].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[2] ),
+    .Y(\B_0_3.WORD[34].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[34].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[34].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[34].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[34].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[34].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[34].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[34].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[34].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[34].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[34].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[34].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[34].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[34].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[34].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[34].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[34].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[34].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[34].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[34].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[34].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[34].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[34].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[34].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[34].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[34].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[34].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[34].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[34].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[34].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[34].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[34].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[2] ),
+    .Y(\B_0_3.WORD[34].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[34].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[34].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[34].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[34].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[34].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[34].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[34].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[34].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[34].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[34].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[34].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[34].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[34].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[34].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[34].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[34].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[34].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[34].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[34].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[34].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[34].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[34].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[34].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[34].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[34].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[34].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[34].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[34].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[34].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[34].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[34].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[2] ),
+    .Y(\B_0_3.WORD[34].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[34].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[34].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[34].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[34].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[34].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[34].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[34].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[34].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[34].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[34].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[34].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[34].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[34].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[34].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[34].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[34].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[34].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[34].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[34].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[34].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[34].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[34].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[34].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[34].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[34].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[34].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[34].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[34].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[34].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[34].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[34].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[34].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[34].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[2] ),
+    .Y(\B_0_3.WORD[34].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[35].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[35].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[35].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[35].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[35].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[35].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[35].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[35].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[35].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[35].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[35].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[35].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[35].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[35].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[35].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[35].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[35].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[35].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[35].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[35].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[35].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[35].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[35].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[35].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[35].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[35].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[35].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[35].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[35].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[35].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[35].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[3] ),
+    .Y(\B_0_3.WORD[35].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[35].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[35].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[35].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[35].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[35].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[35].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[35].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[35].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[35].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[35].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[35].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[35].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[35].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[35].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[35].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[35].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[35].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[35].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[35].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[35].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[35].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[35].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[35].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[35].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[35].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[35].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[35].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[35].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[35].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[35].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[35].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[3] ),
+    .Y(\B_0_3.WORD[35].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[35].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[35].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[35].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[35].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[35].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[35].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[35].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[35].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[35].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[35].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[35].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[35].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[35].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[35].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[35].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[35].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[35].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[35].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[35].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[35].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[35].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[35].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[35].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[35].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[35].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[35].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[35].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[35].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[35].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[35].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[35].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[3] ),
+    .Y(\B_0_3.WORD[35].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[35].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[35].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[35].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[35].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[35].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[35].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[35].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[35].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[35].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[35].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[35].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[35].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[35].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[35].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[35].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[35].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[35].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[35].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[35].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[35].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[35].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[35].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[35].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[35].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[35].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[35].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[35].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[35].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[35].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[35].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[35].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[35].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[35].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[3] ),
+    .Y(\B_0_3.WORD[35].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[36].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[36].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[36].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[36].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[36].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[36].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[36].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[36].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[36].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[36].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[36].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[36].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[36].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[36].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[36].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[36].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[36].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[36].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[36].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[36].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[36].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[36].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[36].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[36].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[36].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[36].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[36].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[36].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[36].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[36].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[36].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[4] ),
+    .Y(\B_0_3.WORD[36].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[36].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[36].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[36].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[36].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[36].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[36].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[36].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[36].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[36].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[36].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[36].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[36].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[36].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[36].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[36].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[36].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[36].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[36].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[36].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[36].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[36].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[36].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[36].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[36].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[36].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[36].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[36].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[36].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[36].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[36].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[36].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[4] ),
+    .Y(\B_0_3.WORD[36].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[36].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[36].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[36].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[36].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[36].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[36].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[36].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[36].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[36].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[36].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[36].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[36].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[36].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[36].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[36].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[36].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[36].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[36].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[36].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[36].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[36].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[36].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[36].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[36].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[36].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[36].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[36].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[36].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[36].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[36].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[36].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[4] ),
+    .Y(\B_0_3.WORD[36].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[36].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[36].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[36].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[36].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[36].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[36].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[36].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[36].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[36].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[36].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[36].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[36].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[36].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[36].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[36].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[36].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[36].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[36].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[36].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[36].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[36].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[36].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[36].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[36].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[36].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[36].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[36].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[36].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[36].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[36].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[36].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[36].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[36].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[4] ),
+    .Y(\B_0_3.WORD[36].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[37].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[37].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[37].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[37].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[37].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[37].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[37].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[37].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[37].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[37].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[37].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[37].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[37].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[37].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[37].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[37].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[37].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[37].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[37].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[37].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[37].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[37].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[37].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[37].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[37].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[37].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[37].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[37].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[37].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[37].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[37].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[5] ),
+    .Y(\B_0_3.WORD[37].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[37].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[37].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[37].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[37].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[37].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[37].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[37].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[37].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[37].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[37].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[37].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[37].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[37].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[37].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[37].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[37].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[37].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[37].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[37].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[37].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[37].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[37].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[37].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[37].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[37].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[37].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[37].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[37].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[37].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[37].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[37].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[5] ),
+    .Y(\B_0_3.WORD[37].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[37].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[37].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[37].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[37].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[37].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[37].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[37].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[37].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[37].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[37].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[37].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[37].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[37].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[37].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[37].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[37].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[37].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[37].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[37].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[37].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[37].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[37].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[37].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[37].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[37].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[37].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[37].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[37].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[37].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[37].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[37].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[5] ),
+    .Y(\B_0_3.WORD[37].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[37].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[37].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[37].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[37].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[37].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[37].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[37].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[37].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[37].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[37].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[37].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[37].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[37].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[37].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[37].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[37].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[37].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[37].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[37].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[37].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[37].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[37].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[37].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[37].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[37].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[37].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[37].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[37].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[37].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[37].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[37].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[37].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[37].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[5] ),
+    .Y(\B_0_3.WORD[37].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[38].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[38].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[38].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[38].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[38].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[38].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[38].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[38].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[38].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[38].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[38].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[38].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[38].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[38].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[38].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[38].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[38].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[38].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[38].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[38].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[38].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[38].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[38].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[38].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[38].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[38].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[38].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[38].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[38].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[38].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[38].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[6] ),
+    .Y(\B_0_3.WORD[38].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[38].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[38].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[38].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[38].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[38].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[38].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[38].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[38].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[38].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[38].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[38].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[38].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[38].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[38].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[38].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[38].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[38].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[38].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[38].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[38].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[38].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[38].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[38].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[38].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[38].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[38].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[38].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[38].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[38].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[38].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[38].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[6] ),
+    .Y(\B_0_3.WORD[38].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[38].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[38].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[38].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[38].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[38].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[38].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[38].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[38].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[38].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[38].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[38].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[38].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[38].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[38].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[38].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[38].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[38].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[38].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[38].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[38].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[38].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[38].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[38].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[38].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[38].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[38].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[38].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[38].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[38].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[38].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[38].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[6] ),
+    .Y(\B_0_3.WORD[38].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[38].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[38].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[38].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[38].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[38].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[38].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[38].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[38].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[38].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[38].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[38].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[38].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[38].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[38].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[38].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[38].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[38].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[38].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[38].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[38].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[38].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[38].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[38].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[38].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[38].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[38].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[38].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[38].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[38].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[38].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[38].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[38].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[38].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[6] ),
+    .Y(\B_0_3.WORD[38].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[39].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[39].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[39].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[39].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[39].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[39].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[39].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[39].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[39].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[39].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[39].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[39].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[39].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[39].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[39].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[39].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[39].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[39].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[39].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[39].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[39].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[39].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[39].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[39].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[39].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[39].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[39].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[39].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[39].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[39].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[39].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[7] ),
+    .Y(\B_0_3.WORD[39].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[39].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[39].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[39].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[39].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[39].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[39].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[39].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[39].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[39].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[39].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[39].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[39].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[39].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[39].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[39].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[39].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[39].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[39].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[39].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[39].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[39].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[39].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[39].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[39].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[39].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[39].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[39].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[39].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[39].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[39].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[39].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[7] ),
+    .Y(\B_0_3.WORD[39].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[39].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[39].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[39].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[39].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[39].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[39].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[39].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[39].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[39].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[39].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[39].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[39].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[39].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[39].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[39].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[39].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[39].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[39].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[39].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[39].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[39].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[39].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[39].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[39].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[39].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[39].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[39].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[39].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[39].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[39].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[39].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[7] ),
+    .Y(\B_0_3.WORD[39].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[39].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[39].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[39].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[39].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[39].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[39].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[39].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[39].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[39].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[39].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[39].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[39].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[39].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[39].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[39].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[39].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[39].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[39].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[39].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[39].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[39].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[39].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[39].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[39].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[39].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[39].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[39].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[39].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[39].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[39].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[39].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[39].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[39].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[4].U.SEL[7] ),
+    .Y(\B_0_3.WORD[39].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[3].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[3].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[3].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[3].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[3].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[3].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[3].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[3].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[3].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[3].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[3].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[3].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[3].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[3].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[3].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[3].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[3].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[3].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[3].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[3].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[3].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[3].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[3].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[3].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[3].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[3].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[3].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[3].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[3].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[3].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[3].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[3] ),
+    .Y(\B_0_3.WORD[3].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[3].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[3].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[3].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[3].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[3].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[3].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[3].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[3].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[3].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[3].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[3].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[3].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[3].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[3].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[3].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[3].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[3].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[3].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[3].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[3].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[3].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[3].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[3].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[3].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[3].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[3].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[3].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[3].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[3].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[3].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[3].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[3] ),
+    .Y(\B_0_3.WORD[3].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[3].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[3].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[3].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[3].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[3].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[3].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[3].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[3].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[3].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[3].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[3].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[3].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[3].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[3].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[3].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[3].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[3].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[3].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[3].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[3].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[3].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[3].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[3].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[3].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[3].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[3].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[3].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[3].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[3].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[3].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[3].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[3] ),
+    .Y(\B_0_3.WORD[3].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[3].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[3].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[3].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[3].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[3].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[3].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[3].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[3].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[3].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[3].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[3].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[3].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[3].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[3].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[3].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[3].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[3].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[3].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[3].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[3].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[3].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[3].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[3].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[3].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[3].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[3].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[3].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[3].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[3].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[3].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[3].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[3].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[3].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[3] ),
+    .Y(\B_0_3.WORD[3].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[40].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[40].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[40].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[40].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[40].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[40].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[40].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[40].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[40].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[40].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[40].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[40].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[40].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[40].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[40].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[40].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[40].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[40].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[40].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[40].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[40].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[40].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[40].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[40].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[40].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[40].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[40].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[40].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[40].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[40].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[40].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[0] ),
+    .Y(\B_0_3.WORD[40].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[40].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[40].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[40].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[40].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[40].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[40].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[40].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[40].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[40].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[40].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[40].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[40].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[40].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[40].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[40].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[40].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[40].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[40].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[40].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[40].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[40].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[40].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[40].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[40].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[40].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[40].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[40].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[40].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[40].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[40].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[40].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[0] ),
+    .Y(\B_0_3.WORD[40].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[40].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[40].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[40].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[40].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[40].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[40].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[40].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[40].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[40].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[40].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[40].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[40].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[40].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[40].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[40].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[40].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[40].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[40].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[40].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[40].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[40].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[40].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[40].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[40].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[40].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[40].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[40].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[40].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[40].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[40].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[40].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[0] ),
+    .Y(\B_0_3.WORD[40].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[40].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[40].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[40].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[40].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[40].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[40].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[40].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[40].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[40].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[40].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[40].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[40].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[40].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[40].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[40].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[40].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[40].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[40].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[40].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[40].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[40].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[40].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[40].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[40].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[40].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[40].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[40].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[40].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[40].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[40].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[40].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[40].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[40].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[0] ),
+    .Y(\B_0_3.WORD[40].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[41].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[41].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[41].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[41].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[41].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[41].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[41].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[41].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[41].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[41].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[41].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[41].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[41].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[41].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[41].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[41].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[41].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[41].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[41].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[41].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[41].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[41].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[41].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[41].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[41].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[41].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[41].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[41].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[41].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[41].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[41].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[1] ),
+    .Y(\B_0_3.WORD[41].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[41].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[41].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[41].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[41].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[41].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[41].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[41].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[41].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[41].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[41].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[41].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[41].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[41].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[41].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[41].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[41].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[41].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[41].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[41].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[41].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[41].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[41].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[41].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[41].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[41].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[41].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[41].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[41].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[41].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[41].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[41].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[1] ),
+    .Y(\B_0_3.WORD[41].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[41].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[41].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[41].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[41].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[41].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[41].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[41].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[41].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[41].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[41].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[41].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[41].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[41].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[41].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[41].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[41].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[41].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[41].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[41].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[41].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[41].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[41].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[41].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[41].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[41].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[41].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[41].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[41].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[41].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[41].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[41].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[1] ),
+    .Y(\B_0_3.WORD[41].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[41].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[41].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[41].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[41].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[41].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[41].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[41].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[41].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[41].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[41].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[41].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[41].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[41].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[41].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[41].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[41].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[41].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[41].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[41].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[41].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[41].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[41].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[41].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[41].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[41].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[41].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[41].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[41].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[41].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[41].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[41].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[41].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[41].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[1] ),
+    .Y(\B_0_3.WORD[41].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[42].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[42].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[42].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[42].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[42].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[42].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[42].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[42].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[42].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[42].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[42].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[42].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[42].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[42].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[42].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[42].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[42].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[42].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[42].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[42].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[42].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[42].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[42].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[42].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[42].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[42].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[42].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[42].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[42].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[42].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[42].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[2] ),
+    .Y(\B_0_3.WORD[42].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[42].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[42].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[42].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[42].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[42].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[42].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[42].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[42].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[42].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[42].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[42].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[42].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[42].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[42].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[42].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[42].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[42].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[42].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[42].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[42].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[42].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[42].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[42].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[42].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[42].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[42].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[42].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[42].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[42].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[42].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[42].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[2] ),
+    .Y(\B_0_3.WORD[42].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[42].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[42].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[42].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[42].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[42].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[42].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[42].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[42].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[42].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[42].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[42].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[42].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[42].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[42].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[42].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[42].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[42].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[42].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[42].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[42].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[42].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[42].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[42].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[42].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[42].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[42].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[42].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[42].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[42].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[42].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[42].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[2] ),
+    .Y(\B_0_3.WORD[42].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[42].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[42].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[42].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[42].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[42].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[42].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[42].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[42].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[42].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[42].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[42].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[42].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[42].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[42].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[42].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[42].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[42].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[42].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[42].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[42].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[42].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[42].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[42].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[42].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[42].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[42].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[42].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[42].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[42].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[42].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[42].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[42].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[42].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[2] ),
+    .Y(\B_0_3.WORD[42].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[43].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[43].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[43].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[43].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[43].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[43].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[43].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[43].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[43].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[43].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[43].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[43].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[43].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[43].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[43].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[43].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[43].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[43].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[43].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[43].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[43].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[43].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[43].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[43].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[43].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[43].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[43].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[43].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[43].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[43].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[43].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[3] ),
+    .Y(\B_0_3.WORD[43].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[43].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[43].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[43].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[43].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[43].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[43].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[43].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[43].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[43].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[43].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[43].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[43].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[43].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[43].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[43].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[43].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[43].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[43].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[43].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[43].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[43].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[43].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[43].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[43].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[43].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[43].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[43].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[43].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[43].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[43].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[43].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[3] ),
+    .Y(\B_0_3.WORD[43].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[43].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[43].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[43].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[43].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[43].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[43].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[43].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[43].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[43].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[43].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[43].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[43].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[43].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[43].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[43].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[43].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[43].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[43].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[43].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[43].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[43].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[43].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[43].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[43].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[43].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[43].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[43].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[43].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[43].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[43].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[43].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[3] ),
+    .Y(\B_0_3.WORD[43].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[43].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[43].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[43].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[43].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[43].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[43].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[43].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[43].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[43].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[43].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[43].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[43].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[43].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[43].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[43].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[43].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[43].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[43].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[43].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[43].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[43].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[43].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[43].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[43].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[43].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[43].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[43].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[43].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[43].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[43].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[43].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[43].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[43].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[3] ),
+    .Y(\B_0_3.WORD[43].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[44].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[44].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[44].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[44].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[44].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[44].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[44].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[44].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[44].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[44].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[44].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[44].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[44].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[44].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[44].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[44].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[44].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[44].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[44].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[44].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[44].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[44].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[44].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[44].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[44].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[44].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[44].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[44].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[44].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[44].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[44].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[4] ),
+    .Y(\B_0_3.WORD[44].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[44].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[44].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[44].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[44].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[44].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[44].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[44].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[44].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[44].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[44].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[44].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[44].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[44].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[44].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[44].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[44].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[44].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[44].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[44].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[44].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[44].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[44].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[44].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[44].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[44].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[44].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[44].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[44].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[44].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[44].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[44].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[4] ),
+    .Y(\B_0_3.WORD[44].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[44].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[44].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[44].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[44].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[44].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[44].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[44].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[44].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[44].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[44].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[44].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[44].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[44].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[44].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[44].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[44].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[44].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[44].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[44].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[44].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[44].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[44].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[44].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[44].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[44].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[44].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[44].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[44].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[44].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[44].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[44].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[4] ),
+    .Y(\B_0_3.WORD[44].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[44].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[44].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[44].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[44].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[44].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[44].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[44].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[44].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[44].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[44].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[44].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[44].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[44].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[44].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[44].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[44].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[44].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[44].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[44].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[44].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[44].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[44].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[44].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[44].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[44].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[44].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[44].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[44].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[44].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[44].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[44].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[44].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[44].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[4] ),
+    .Y(\B_0_3.WORD[44].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[45].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[45].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[45].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[45].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[45].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[45].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[45].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[45].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[45].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[45].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[45].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[45].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[45].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[45].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[45].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[45].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[45].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[45].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[45].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[45].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[45].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[45].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[45].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[45].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[45].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[45].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[45].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[45].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[45].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[45].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[45].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[5] ),
+    .Y(\B_0_3.WORD[45].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[45].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[45].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[45].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[45].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[45].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[45].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[45].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[45].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[45].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[45].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[45].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[45].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[45].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[45].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[45].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[45].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[45].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[45].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[45].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[45].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[45].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[45].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[45].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[45].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[45].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[45].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[45].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[45].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[45].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[45].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[45].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[5] ),
+    .Y(\B_0_3.WORD[45].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[45].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[45].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[45].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[45].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[45].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[45].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[45].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[45].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[45].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[45].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[45].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[45].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[45].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[45].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[45].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[45].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[45].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[45].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[45].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[45].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[45].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[45].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[45].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[45].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[45].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[45].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[45].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[45].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[45].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[45].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[45].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[5] ),
+    .Y(\B_0_3.WORD[45].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[45].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[45].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[45].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[45].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[45].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[45].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[45].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[45].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[45].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[45].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[45].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[45].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[45].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[45].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[45].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[45].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[45].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[45].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[45].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[45].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[45].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[45].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[45].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[45].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[45].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[45].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[45].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[45].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[45].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[45].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[45].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[45].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[45].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[5] ),
+    .Y(\B_0_3.WORD[45].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[46].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[46].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[46].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[46].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[46].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[46].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[46].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[46].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[46].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[46].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[46].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[46].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[46].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[46].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[46].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[46].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[46].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[46].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[46].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[46].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[46].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[46].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[46].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[46].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[46].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[46].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[46].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[46].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[46].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[46].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[46].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[6] ),
+    .Y(\B_0_3.WORD[46].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[46].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[46].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[46].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[46].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[46].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[46].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[46].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[46].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[46].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[46].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[46].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[46].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[46].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[46].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[46].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[46].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[46].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[46].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[46].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[46].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[46].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[46].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[46].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[46].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[46].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[46].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[46].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[46].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[46].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[46].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[46].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[6] ),
+    .Y(\B_0_3.WORD[46].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[46].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[46].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[46].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[46].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[46].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[46].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[46].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[46].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[46].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[46].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[46].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[46].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[46].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[46].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[46].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[46].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[46].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[46].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[46].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[46].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[46].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[46].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[46].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[46].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[46].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[46].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[46].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[46].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[46].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[46].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[46].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[6] ),
+    .Y(\B_0_3.WORD[46].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[46].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[46].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[46].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[46].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[46].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[46].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[46].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[46].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[46].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[46].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[46].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[46].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[46].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[46].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[46].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[46].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[46].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[46].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[46].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[46].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[46].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[46].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[46].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[46].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[46].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[46].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[46].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[46].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[46].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[46].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[46].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[46].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[46].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[6] ),
+    .Y(\B_0_3.WORD[46].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[47].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[47].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[47].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[47].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[47].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[47].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[47].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[47].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[47].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[47].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[47].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[47].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[47].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[47].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[47].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[47].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[47].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[47].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[47].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[47].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[47].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[47].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[47].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[47].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[47].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[47].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[47].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[47].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[47].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[47].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[47].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[7] ),
+    .Y(\B_0_3.WORD[47].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[47].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[47].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[47].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[47].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[47].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[47].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[47].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[47].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[47].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[47].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[47].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[47].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[47].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[47].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[47].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[47].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[47].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[47].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[47].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[47].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[47].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[47].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[47].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[47].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[47].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[47].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[47].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[47].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[47].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[47].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[47].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[7] ),
+    .Y(\B_0_3.WORD[47].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[47].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[47].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[47].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[47].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[47].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[47].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[47].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[47].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[47].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[47].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[47].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[47].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[47].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[47].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[47].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[47].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[47].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[47].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[47].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[47].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[47].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[47].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[47].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[47].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[47].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[47].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[47].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[47].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[47].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[47].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[47].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[7] ),
+    .Y(\B_0_3.WORD[47].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[47].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[47].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[47].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[47].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[47].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[47].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[47].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[47].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[47].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[47].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[47].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[47].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[47].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[47].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[47].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[47].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[47].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[47].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[47].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[47].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[47].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[47].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[47].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[47].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[47].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[47].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[47].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[47].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[47].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[47].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[47].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[47].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[47].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[5].U.SEL[7] ),
+    .Y(\B_0_3.WORD[47].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[48].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[48].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[48].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[48].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[48].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[48].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[48].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[48].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[48].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[48].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[48].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[48].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[48].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[48].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[48].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[48].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[48].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[48].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[48].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[48].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[48].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[48].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[48].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[48].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[48].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[48].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[48].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[48].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[48].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[48].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[48].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[0] ),
+    .Y(\B_0_3.WORD[48].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[48].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[48].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[48].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[48].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[48].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[48].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[48].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[48].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[48].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[48].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[48].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[48].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[48].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[48].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[48].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[48].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[48].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[48].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[48].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[48].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[48].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[48].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[48].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[48].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[48].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[48].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[48].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[48].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[48].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[48].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[48].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[0] ),
+    .Y(\B_0_3.WORD[48].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[48].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[48].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[48].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[48].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[48].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[48].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[48].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[48].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[48].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[48].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[48].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[48].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[48].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[48].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[48].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[48].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[48].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[48].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[48].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[48].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[48].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[48].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[48].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[48].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[48].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[48].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[48].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[48].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[48].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[48].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[48].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[0] ),
+    .Y(\B_0_3.WORD[48].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[48].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[48].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[48].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[48].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[48].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[48].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[48].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[48].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[48].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[48].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[48].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[48].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[48].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[48].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[48].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[48].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[48].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[48].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[48].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[48].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[48].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[48].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[48].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[48].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[48].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[48].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[48].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[48].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[48].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[48].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[48].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[48].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[48].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[0] ),
+    .Y(\B_0_3.WORD[48].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[49].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[49].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[49].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[49].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[49].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[49].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[49].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[49].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[49].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[49].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[49].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[49].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[49].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[49].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[49].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[49].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[49].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[49].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[49].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[49].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[49].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[49].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[49].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[49].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[49].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[49].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[49].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[49].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[49].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[49].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[49].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[1] ),
+    .Y(\B_0_3.WORD[49].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[49].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[49].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[49].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[49].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[49].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[49].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[49].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[49].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[49].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[49].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[49].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[49].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[49].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[49].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[49].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[49].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[49].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[49].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[49].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[49].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[49].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[49].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[49].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[49].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[49].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[49].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[49].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[49].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[49].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[49].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[49].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[1] ),
+    .Y(\B_0_3.WORD[49].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[49].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[49].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[49].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[49].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[49].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[49].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[49].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[49].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[49].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[49].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[49].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[49].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[49].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[49].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[49].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[49].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[49].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[49].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[49].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[49].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[49].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[49].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[49].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[49].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[49].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[49].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[49].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[49].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[49].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[49].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[49].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[1] ),
+    .Y(\B_0_3.WORD[49].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[49].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[49].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[49].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[49].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[49].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[49].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[49].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[49].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[49].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[49].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[49].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[49].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[49].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[49].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[49].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[49].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[49].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[49].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[49].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[49].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[49].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[49].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[49].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[49].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[49].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[49].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[49].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[49].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[49].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[49].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[49].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[49].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[49].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[1] ),
+    .Y(\B_0_3.WORD[49].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[4].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[4].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[4].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[4].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[4].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[4].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[4].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[4].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[4].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[4].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[4].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[4].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[4].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[4].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[4].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[4].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[4].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[4].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[4].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[4].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[4].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[4].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[4].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[4].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[4].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[4].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[4].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[4].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[4].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[4].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[4].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[4] ),
+    .Y(\B_0_3.WORD[4].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[4].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[4].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[4].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[4].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[4].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[4].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[4].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[4].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[4].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[4].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[4].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[4].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[4].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[4].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[4].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[4].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[4].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[4].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[4].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[4].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[4].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[4].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[4].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[4].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[4].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[4].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[4].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[4].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[4].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[4].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[4].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[4] ),
+    .Y(\B_0_3.WORD[4].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[4].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[4].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[4].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[4].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[4].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[4].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[4].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[4].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[4].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[4].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[4].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[4].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[4].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[4].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[4].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[4].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[4].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[4].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[4].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[4].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[4].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[4].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[4].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[4].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[4].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[4].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[4].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[4].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[4].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[4].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[4].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[4] ),
+    .Y(\B_0_3.WORD[4].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[4].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[4].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[4].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[4].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[4].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[4].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[4].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[4].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[4].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[4].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[4].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[4].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[4].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[4].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[4].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[4].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[4].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[4].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[4].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[4].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[4].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[4].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[4].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[4].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[4].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[4].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[4].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[4].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[4].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[4].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[4].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[4].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[4].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[4] ),
+    .Y(\B_0_3.WORD[4].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[50].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[50].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[50].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[50].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[50].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[50].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[50].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[50].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[50].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[50].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[50].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[50].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[50].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[50].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[50].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[50].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[50].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[50].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[50].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[50].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[50].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[50].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[50].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[50].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[50].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[50].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[50].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[50].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[50].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[50].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[50].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[2] ),
+    .Y(\B_0_3.WORD[50].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[50].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[50].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[50].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[50].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[50].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[50].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[50].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[50].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[50].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[50].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[50].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[50].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[50].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[50].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[50].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[50].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[50].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[50].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[50].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[50].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[50].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[50].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[50].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[50].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[50].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[50].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[50].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[50].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[50].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[50].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[50].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[2] ),
+    .Y(\B_0_3.WORD[50].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[50].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[50].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[50].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[50].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[50].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[50].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[50].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[50].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[50].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[50].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[50].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[50].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[50].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[50].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[50].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[50].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[50].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[50].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[50].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[50].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[50].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[50].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[50].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[50].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[50].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[50].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[50].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[50].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[50].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[50].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[50].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[2] ),
+    .Y(\B_0_3.WORD[50].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[50].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[50].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[50].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[50].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[50].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[50].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[50].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[50].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[50].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[50].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[50].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[50].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[50].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[50].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[50].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[50].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[50].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[50].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[50].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[50].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[50].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[50].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[50].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[50].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[50].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[50].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[50].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[50].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[50].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[50].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[50].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[50].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[50].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[2] ),
+    .Y(\B_0_3.WORD[50].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[51].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[51].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[51].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[51].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[51].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[51].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[51].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[51].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[51].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[51].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[51].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[51].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[51].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[51].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[51].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[51].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[51].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[51].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[51].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[51].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[51].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[51].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[51].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[51].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[51].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[51].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[51].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[51].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[51].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[51].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[51].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[3] ),
+    .Y(\B_0_3.WORD[51].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[51].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[51].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[51].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[51].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[51].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[51].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[51].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[51].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[51].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[51].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[51].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[51].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[51].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[51].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[51].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[51].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[51].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[51].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[51].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[51].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[51].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[51].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[51].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[51].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[51].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[51].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[51].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[51].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[51].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[51].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[51].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[3] ),
+    .Y(\B_0_3.WORD[51].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[51].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[51].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[51].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[51].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[51].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[51].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[51].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[51].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[51].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[51].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[51].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[51].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[51].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[51].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[51].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[51].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[51].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[51].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[51].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[51].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[51].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[51].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[51].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[51].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[51].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[51].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[51].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[51].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[51].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[51].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[51].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[3] ),
+    .Y(\B_0_3.WORD[51].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[51].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[51].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[51].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[51].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[51].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[51].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[51].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[51].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[51].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[51].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[51].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[51].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[51].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[51].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[51].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[51].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[51].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[51].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[51].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[51].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[51].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[51].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[51].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[51].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[51].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[51].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[51].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[51].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[51].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[51].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[51].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[51].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[51].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[3] ),
+    .Y(\B_0_3.WORD[51].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[52].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[52].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[52].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[52].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[52].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[52].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[52].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[52].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[52].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[52].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[52].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[52].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[52].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[52].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[52].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[52].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[52].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[52].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[52].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[52].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[52].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[52].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[52].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[52].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[52].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[52].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[52].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[52].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[52].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[52].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[52].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[4] ),
+    .Y(\B_0_3.WORD[52].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[52].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[52].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[52].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[52].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[52].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[52].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[52].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[52].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[52].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[52].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[52].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[52].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[52].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[52].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[52].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[52].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[52].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[52].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[52].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[52].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[52].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[52].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[52].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[52].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[52].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[52].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[52].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[52].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[52].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[52].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[52].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[4] ),
+    .Y(\B_0_3.WORD[52].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[52].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[52].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[52].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[52].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[52].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[52].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[52].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[52].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[52].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[52].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[52].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[52].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[52].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[52].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[52].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[52].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[52].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[52].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[52].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[52].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[52].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[52].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[52].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[52].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[52].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[52].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[52].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[52].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[52].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[52].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[52].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[4] ),
+    .Y(\B_0_3.WORD[52].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[52].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[52].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[52].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[52].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[52].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[52].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[52].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[52].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[52].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[52].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[52].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[52].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[52].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[52].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[52].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[52].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[52].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[52].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[52].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[52].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[52].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[52].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[52].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[52].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[52].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[52].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[52].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[52].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[52].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[52].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[52].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[52].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[52].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[4] ),
+    .Y(\B_0_3.WORD[52].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[53].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[53].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[53].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[53].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[53].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[53].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[53].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[53].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[53].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[53].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[53].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[53].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[53].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[53].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[53].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[53].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[53].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[53].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[53].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[53].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[53].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[53].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[53].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[53].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[53].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[53].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[53].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[53].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[53].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[53].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[53].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[5] ),
+    .Y(\B_0_3.WORD[53].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[53].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[53].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[53].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[53].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[53].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[53].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[53].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[53].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[53].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[53].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[53].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[53].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[53].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[53].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[53].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[53].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[53].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[53].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[53].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[53].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[53].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[53].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[53].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[53].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[53].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[53].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[53].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[53].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[53].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[53].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[53].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[5] ),
+    .Y(\B_0_3.WORD[53].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[53].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[53].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[53].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[53].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[53].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[53].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[53].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[53].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[53].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[53].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[53].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[53].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[53].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[53].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[53].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[53].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[53].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[53].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[53].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[53].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[53].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[53].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[53].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[53].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[53].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[53].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[53].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[53].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[53].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[53].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[53].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[5] ),
+    .Y(\B_0_3.WORD[53].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[53].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[53].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[53].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[53].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[53].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[53].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[53].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[53].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[53].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[53].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[53].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[53].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[53].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[53].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[53].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[53].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[53].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[53].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[53].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[53].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[53].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[53].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[53].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[53].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[53].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[53].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[53].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[53].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[53].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[53].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[53].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[53].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[53].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[5] ),
+    .Y(\B_0_3.WORD[53].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[54].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[54].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[54].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[54].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[54].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[54].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[54].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[54].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[54].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[54].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[54].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[54].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[54].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[54].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[54].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[54].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[54].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[54].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[54].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[54].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[54].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[54].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[54].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[54].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[54].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[54].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[54].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[54].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[54].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[54].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[54].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[6] ),
+    .Y(\B_0_3.WORD[54].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[54].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[54].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[54].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[54].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[54].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[54].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[54].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[54].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[54].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[54].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[54].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[54].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[54].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[54].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[54].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[54].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[54].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[54].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[54].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[54].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[54].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[54].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[54].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[54].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[54].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[54].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[54].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[54].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[54].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[54].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[54].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[6] ),
+    .Y(\B_0_3.WORD[54].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[54].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[54].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[54].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[54].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[54].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[54].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[54].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[54].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[54].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[54].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[54].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[54].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[54].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[54].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[54].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[54].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[54].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[54].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[54].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[54].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[54].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[54].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[54].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[54].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[54].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[54].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[54].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[54].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[54].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[54].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[54].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[6] ),
+    .Y(\B_0_3.WORD[54].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[54].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[54].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[54].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[54].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[54].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[54].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[54].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[54].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[54].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[54].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[54].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[54].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[54].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[54].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[54].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[54].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[54].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[54].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[54].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[54].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[54].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[54].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[54].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[54].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[54].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[54].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[54].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[54].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[54].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[54].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[54].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[54].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[54].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[6] ),
+    .Y(\B_0_3.WORD[54].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[55].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[55].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[55].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[55].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[55].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[55].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[55].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[55].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[55].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[55].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[55].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[55].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[55].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[55].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[55].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[55].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[55].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[55].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[55].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[55].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[55].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[55].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[55].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[55].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[55].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[55].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[55].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[55].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[55].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[55].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[55].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[7] ),
+    .Y(\B_0_3.WORD[55].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[55].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[55].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[55].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[55].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[55].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[55].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[55].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[55].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[55].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[55].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[55].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[55].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[55].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[55].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[55].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[55].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[55].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[55].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[55].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[55].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[55].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[55].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[55].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[55].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[55].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[55].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[55].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[55].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[55].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[55].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[55].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[7] ),
+    .Y(\B_0_3.WORD[55].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[55].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[55].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[55].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[55].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[55].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[55].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[55].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[55].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[55].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[55].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[55].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[55].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[55].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[55].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[55].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[55].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[55].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[55].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[55].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[55].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[55].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[55].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[55].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[55].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[55].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[55].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[55].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[55].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[55].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[55].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[55].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[7] ),
+    .Y(\B_0_3.WORD[55].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[55].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[55].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[55].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[55].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[55].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[55].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[55].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[55].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[55].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[55].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[55].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[55].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[55].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[55].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[55].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[55].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[55].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[55].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[55].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[55].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[55].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[55].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[55].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[55].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[55].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[55].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[55].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[55].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[55].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[55].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[55].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[55].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[55].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[6].U.SEL[7] ),
+    .Y(\B_0_3.WORD[55].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[56].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[56].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[56].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[56].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[56].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[56].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[56].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[56].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[56].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[56].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[56].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[56].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[56].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[56].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[56].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[56].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[56].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[56].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[56].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[56].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[56].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[56].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[56].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[56].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[56].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[56].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[56].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[56].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[56].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[56].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[56].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[0] ),
+    .Y(\B_0_3.WORD[56].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[56].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[56].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[56].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[56].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[56].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[56].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[56].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[56].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[56].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[56].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[56].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[56].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[56].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[56].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[56].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[56].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[56].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[56].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[56].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[56].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[56].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[56].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[56].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[56].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[56].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[56].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[56].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[56].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[56].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[56].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[56].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[0] ),
+    .Y(\B_0_3.WORD[56].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[56].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[56].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[56].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[56].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[56].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[56].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[56].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[56].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[56].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[56].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[56].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[56].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[56].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[56].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[56].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[56].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[56].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[56].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[56].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[56].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[56].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[56].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[56].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[56].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[56].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[56].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[56].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[56].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[56].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[56].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[56].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[0] ),
+    .Y(\B_0_3.WORD[56].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[56].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[56].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[56].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[56].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[56].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[56].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[56].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[56].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[56].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[56].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[56].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[56].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[56].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[56].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[56].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[56].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[56].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[56].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[56].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[56].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[56].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[56].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[56].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[56].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[56].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[56].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[56].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[56].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[56].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[56].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[56].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[56].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[56].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[0] ),
+    .Y(\B_0_3.WORD[56].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[57].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[57].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[57].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[57].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[57].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[57].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[57].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[57].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[57].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[57].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[57].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[57].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[57].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[57].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[57].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[57].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[57].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[57].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[57].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[57].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[57].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[57].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[57].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[57].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[57].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[57].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[57].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[57].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[57].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[57].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[57].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[1] ),
+    .Y(\B_0_3.WORD[57].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[57].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[57].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[57].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[57].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[57].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[57].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[57].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[57].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[57].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[57].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[57].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[57].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[57].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[57].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[57].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[57].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[57].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[57].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[57].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[57].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[57].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[57].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[57].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[57].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[57].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[57].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[57].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[57].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[57].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[57].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[57].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[1] ),
+    .Y(\B_0_3.WORD[57].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[57].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[57].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[57].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[57].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[57].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[57].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[57].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[57].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[57].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[57].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[57].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[57].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[57].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[57].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[57].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[57].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[57].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[57].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[57].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[57].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[57].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[57].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[57].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[57].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[57].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[57].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[57].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[57].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[57].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[57].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[57].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[1] ),
+    .Y(\B_0_3.WORD[57].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[57].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[57].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[57].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[57].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[57].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[57].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[57].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[57].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[57].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[57].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[57].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[57].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[57].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[57].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[57].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[57].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[57].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[57].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[57].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[57].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[57].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[57].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[57].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[57].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[57].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[57].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[57].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[57].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[57].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[57].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[57].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[57].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[57].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[1] ),
+    .Y(\B_0_3.WORD[57].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[58].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[58].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[58].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[58].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[58].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[58].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[58].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[58].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[58].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[58].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[58].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[58].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[58].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[58].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[58].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[58].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[58].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[58].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[58].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[58].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[58].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[58].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[58].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[58].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[58].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[58].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[58].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[58].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[58].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[58].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[58].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[2] ),
+    .Y(\B_0_3.WORD[58].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[58].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[58].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[58].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[58].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[58].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[58].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[58].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[58].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[58].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[58].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[58].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[58].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[58].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[58].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[58].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[58].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[58].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[58].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[58].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[58].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[58].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[58].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[58].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[58].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[58].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[58].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[58].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[58].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[58].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[58].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[58].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[2] ),
+    .Y(\B_0_3.WORD[58].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[58].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[58].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[58].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[58].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[58].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[58].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[58].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[58].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[58].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[58].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[58].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[58].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[58].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[58].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[58].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[58].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[58].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[58].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[58].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[58].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[58].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[58].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[58].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[58].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[58].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[58].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[58].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[58].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[58].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[58].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[58].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[2] ),
+    .Y(\B_0_3.WORD[58].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[58].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[58].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[58].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[58].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[58].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[58].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[58].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[58].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[58].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[58].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[58].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[58].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[58].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[58].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[58].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[58].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[58].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[58].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[58].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[58].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[58].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[58].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[58].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[58].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[58].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[58].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[58].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[58].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[58].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[58].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[58].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[2] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[58].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[58].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[2] ),
+    .Y(\B_0_3.WORD[58].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[59].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[59].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[59].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[59].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[59].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[59].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[59].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[59].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[59].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[59].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[59].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[59].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[59].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[59].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[59].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[59].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[59].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[59].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[59].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[59].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[59].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[59].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[59].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[59].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[59].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[59].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[59].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[59].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[59].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[59].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[59].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[3] ),
+    .Y(\B_0_3.WORD[59].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[59].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[59].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[59].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[59].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[59].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[59].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[59].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[59].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[59].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[59].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[59].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[59].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[59].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[59].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[59].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[59].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[59].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[59].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[59].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[59].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[59].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[59].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[59].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[59].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[59].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[59].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[59].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[59].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[59].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[59].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[59].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[3] ),
+    .Y(\B_0_3.WORD[59].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[59].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[59].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[59].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[59].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[59].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[59].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[59].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[59].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[59].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[59].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[59].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[59].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[59].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[59].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[59].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[59].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[59].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[59].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[59].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[59].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[59].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[59].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[59].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[59].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[59].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[59].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[59].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[59].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[59].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[59].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[59].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[3] ),
+    .Y(\B_0_3.WORD[59].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[59].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[59].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[59].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[59].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[59].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[59].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[59].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[59].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[59].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[59].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[59].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[59].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[59].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[59].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[59].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[59].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[59].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[59].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[59].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[59].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[59].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[59].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[59].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[59].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[59].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[59].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[59].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[59].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[59].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[59].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[59].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[3] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[59].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[59].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[3] ),
+    .Y(\B_0_3.WORD[59].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[5].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[5].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[5].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[5].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[5].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[5].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[5].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[5].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[5].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[5].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[5].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[5].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[5].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[5].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[5].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[5].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[5].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[5].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[5].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[5].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[5].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[5].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[5].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[5].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[5].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[5].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[5].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[5].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[5].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[5].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[5].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[5] ),
+    .Y(\B_0_3.WORD[5].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[5].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[5].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[5].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[5].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[5].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[5].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[5].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[5].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[5].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[5].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[5].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[5].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[5].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[5].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[5].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[5].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[5].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[5].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[5].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[5].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[5].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[5].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[5].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[5].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[5].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[5].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[5].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[5].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[5].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[5].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[5].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[5] ),
+    .Y(\B_0_3.WORD[5].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[5].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[5].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[5].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[5].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[5].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[5].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[5].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[5].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[5].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[5].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[5].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[5].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[5].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[5].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[5].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[5].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[5].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[5].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[5].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[5].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[5].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[5].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[5].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[5].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[5].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[5].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[5].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[5].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[5].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[5].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[5].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[5] ),
+    .Y(\B_0_3.WORD[5].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[5].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[5].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[5].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[5].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[5].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[5].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[5].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[5].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[5].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[5].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[5].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[5].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[5].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[5].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[5].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[5].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[5].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[5].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[5].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[5].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[5].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[5].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[5].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[5].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[5].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[5].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[5].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[5].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[5].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[5].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[5].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[5].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[5].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[5] ),
+    .Y(\B_0_3.WORD[5].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[60].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[60].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[60].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[60].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[60].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[60].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[60].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[60].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[60].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[60].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[60].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[60].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[60].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[60].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[60].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[60].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[60].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[60].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[60].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[60].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[60].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[60].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[60].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[60].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[60].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[60].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[60].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[60].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[60].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[60].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[60].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[4] ),
+    .Y(\B_0_3.WORD[60].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[60].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[60].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[60].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[60].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[60].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[60].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[60].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[60].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[60].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[60].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[60].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[60].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[60].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[60].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[60].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[60].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[60].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[60].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[60].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[60].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[60].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[60].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[60].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[60].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[60].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[60].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[60].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[60].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[60].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[60].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[60].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[4] ),
+    .Y(\B_0_3.WORD[60].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[60].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[60].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[60].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[60].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[60].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[60].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[60].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[60].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[60].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[60].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[60].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[60].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[60].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[60].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[60].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[60].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[60].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[60].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[60].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[60].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[60].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[60].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[60].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[60].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[60].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[60].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[60].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[60].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[60].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[60].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[60].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[4] ),
+    .Y(\B_0_3.WORD[60].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[60].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[60].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[60].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[60].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[60].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[60].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[60].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[60].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[60].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[60].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[60].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[60].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[60].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[60].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[60].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[60].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[60].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[60].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[60].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[60].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[60].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[60].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[60].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[60].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[60].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[60].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[60].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[60].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[60].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[60].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[60].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[4] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[60].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[60].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[4] ),
+    .Y(\B_0_3.WORD[60].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[61].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[61].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[61].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[61].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[61].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[61].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[61].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[61].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[61].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[61].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[61].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[61].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[61].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[61].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[61].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[61].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[61].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[61].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[61].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[61].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[61].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[61].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[61].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[61].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[61].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[61].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[61].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[61].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[61].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[61].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[61].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[5] ),
+    .Y(\B_0_3.WORD[61].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[61].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[61].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[61].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[61].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[61].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[61].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[61].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[61].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[61].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[61].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[61].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[61].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[61].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[61].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[61].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[61].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[61].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[61].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[61].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[61].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[61].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[61].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[61].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[61].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[61].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[61].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[61].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[61].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[61].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[61].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[61].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[5] ),
+    .Y(\B_0_3.WORD[61].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[61].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[61].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[61].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[61].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[61].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[61].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[61].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[61].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[61].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[61].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[61].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[61].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[61].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[61].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[61].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[61].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[61].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[61].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[61].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[61].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[61].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[61].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[61].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[61].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[61].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[61].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[61].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[61].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[61].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[61].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[61].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[5] ),
+    .Y(\B_0_3.WORD[61].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[61].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[61].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[61].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[61].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[61].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[61].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[61].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[61].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[61].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[61].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[61].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[61].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[61].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[61].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[61].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[61].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[61].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[61].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[61].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[61].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[61].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[61].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[61].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[61].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[61].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[61].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[61].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[61].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[61].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[61].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[61].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[5] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[61].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[61].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[5] ),
+    .Y(\B_0_3.WORD[61].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[62].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[62].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[62].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[62].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[62].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[62].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[62].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[62].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[62].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[62].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[62].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[62].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[62].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[62].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[62].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[62].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[62].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[62].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[62].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[62].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[62].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[62].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[62].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[62].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[62].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[62].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[62].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[62].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[62].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[62].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[62].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[6] ),
+    .Y(\B_0_3.WORD[62].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[62].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[62].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[62].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[62].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[62].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[62].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[62].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[62].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[62].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[62].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[62].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[62].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[62].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[62].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[62].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[62].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[62].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[62].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[62].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[62].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[62].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[62].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[62].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[62].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[62].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[62].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[62].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[62].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[62].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[62].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[62].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[6] ),
+    .Y(\B_0_3.WORD[62].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[62].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[62].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[62].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[62].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[62].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[62].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[62].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[62].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[62].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[62].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[62].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[62].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[62].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[62].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[62].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[62].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[62].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[62].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[62].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[62].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[62].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[62].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[62].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[62].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[62].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[62].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[62].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[62].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[62].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[62].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[62].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[6] ),
+    .Y(\B_0_3.WORD[62].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[62].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[62].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[62].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[62].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[62].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[62].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[62].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[62].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[62].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[62].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[62].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[62].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[62].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[62].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[62].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[62].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[62].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[62].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[62].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[62].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[62].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[62].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[62].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[62].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[62].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[62].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[62].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[62].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[62].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[62].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[62].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[62].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[62].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[6] ),
+    .Y(\B_0_3.WORD[62].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[63].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[63].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[63].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[63].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[63].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[63].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[63].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[63].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[63].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[63].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[63].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[63].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[63].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[63].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[63].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[63].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[63].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[63].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[63].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[63].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[63].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[63].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[63].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[63].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[63].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[63].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[63].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[63].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[63].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[63].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[63].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[7] ),
+    .Y(\B_0_3.WORD[63].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[63].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[63].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[63].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[63].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[63].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[63].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[63].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[63].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[63].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[63].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[63].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[63].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[63].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[63].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[63].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[63].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[63].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[63].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[63].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[63].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[63].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[63].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[63].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[63].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[63].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[63].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[63].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[63].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[63].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[63].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[63].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[7] ),
+    .Y(\B_0_3.WORD[63].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[63].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[63].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[63].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[63].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[63].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[63].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[63].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[63].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[63].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[63].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[63].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[63].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[63].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[63].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[63].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[63].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[63].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[63].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[63].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[63].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[63].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[63].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[63].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[63].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[63].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[63].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[63].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[63].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[63].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[63].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[63].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[7] ),
+    .Y(\B_0_3.WORD[63].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[63].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[63].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[63].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[63].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[63].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[63].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[63].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[63].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[63].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[63].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[63].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[63].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[63].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[63].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[63].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[63].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[63].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[63].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[63].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[63].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[63].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[63].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[63].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[63].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[63].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[63].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[63].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[63].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[63].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[63].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[63].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[63].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[63].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[7].U.SEL[7] ),
+    .Y(\B_0_3.WORD[63].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[6].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[6].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[6].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[6].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[6].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[6].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[6].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[6].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[6].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[6].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[6].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[6].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[6].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[6].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[6].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[6].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[6].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[6].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[6].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[6].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[6].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[6].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[6].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[6].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[6].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[6].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[6].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[6].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[6].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[6].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[6].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[6] ),
+    .Y(\B_0_3.WORD[6].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[6].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[6].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[6].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[6].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[6].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[6].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[6].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[6].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[6].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[6].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[6].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[6].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[6].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[6].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[6].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[6].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[6].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[6].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[6].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[6].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[6].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[6].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[6].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[6].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[6].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[6].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[6].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[6].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[6].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[6].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[6].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[6] ),
+    .Y(\B_0_3.WORD[6].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[6].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[6].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[6].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[6].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[6].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[6].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[6].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[6].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[6].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[6].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[6].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[6].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[6].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[6].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[6].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[6].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[6].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[6].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[6].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[6].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[6].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[6].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[6].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[6].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[6].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[6].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[6].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[6].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[6].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[6].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[6].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[6] ),
+    .Y(\B_0_3.WORD[6].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[6].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[6].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[6].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[6].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[6].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[6].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[6].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[6].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[6].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[6].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[6].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[6].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[6].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[6].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[6].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[6].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[6].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[6].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[6].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[6].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[6].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[6].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[6].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[6].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[6].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[6].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[6].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[6].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[6].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[6].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[6].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[6] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[6].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[6].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[6] ),
+    .Y(\B_0_3.WORD[6].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[7].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[7].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[7].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[7].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[7].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[7].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[7].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[7].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[7].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[7].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[7].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[7].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[7].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[7].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[7].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[7].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[7].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[7].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[7].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[7].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[7].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[7].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[7].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[7].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[7].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[7].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[7].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[7].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[7].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[7].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[7].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[7] ),
+    .Y(\B_0_3.WORD[7].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[7].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[7].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[7].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[7].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[7].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[7].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[7].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[7].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[7].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[7].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[7].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[7].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[7].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[7].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[7].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[7].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[7].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[7].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[7].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[7].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[7].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[7].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[7].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[7].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[7].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[7].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[7].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[7].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[7].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[7].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[7].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[7] ),
+    .Y(\B_0_3.WORD[7].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[7].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[7].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[7].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[7].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[7].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[7].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[7].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[7].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[7].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[7].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[7].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[7].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[7].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[7].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[7].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[7].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[7].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[7].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[7].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[7].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[7].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[7].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[7].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[7].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[7].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[7].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[7].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[7].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[7].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[7].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[7].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[7] ),
+    .Y(\B_0_3.WORD[7].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[7].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[7].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[7].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[7].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[7].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[7].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[7].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[7].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[7].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[7].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[7].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[7].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[7].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[7].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[7].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[7].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[7].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[7].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[7].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[7].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[7].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[7].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[7].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[7].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[7].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[7].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[7].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[7].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[7].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[7].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[7].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[7] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[7].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[7].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[0].U.SEL[7] ),
+    .Y(\B_0_3.WORD[7].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[8].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[8].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[8].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[8].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[8].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[8].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[8].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[8].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[8].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[8].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[8].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[8].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[8].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[8].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[8].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[8].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[8].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[8].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[8].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[8].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[8].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[8].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[8].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[8].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[8].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[8].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[8].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[8].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[8].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[8].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[8].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[0] ),
+    .Y(\B_0_3.WORD[8].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[8].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[8].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[8].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[8].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[8].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[8].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[8].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[8].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[8].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[8].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[8].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[8].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[8].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[8].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[8].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[8].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[8].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[8].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[8].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[8].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[8].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[8].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[8].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[8].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[8].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[8].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[8].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[8].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[8].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[8].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[8].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[0] ),
+    .Y(\B_0_3.WORD[8].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[8].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[8].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[8].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[8].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[8].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[8].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[8].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[8].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[8].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[8].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[8].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[8].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[8].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[8].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[8].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[8].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[8].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[8].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[8].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[8].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[8].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[8].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[8].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[8].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[8].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[8].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[8].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[8].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[8].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[8].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[8].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[0] ),
+    .Y(\B_0_3.WORD[8].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[8].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[8].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[8].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[8].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[8].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[8].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[8].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[8].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[8].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[8].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[8].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[8].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[8].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[8].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[8].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[8].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[8].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[8].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[8].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[8].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[8].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[8].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[8].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[8].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[8].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[8].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[8].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[8].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[8].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[8].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[8].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[0] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[8].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[8].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[0] ),
+    .Y(\B_0_3.WORD[8].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B0.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[9].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[0] ),
+    .Q(\B_0_3.WORD[9].W.B0.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B0.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[9].W.B0.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B0.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[9].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[1] ),
+    .Q(\B_0_3.WORD[9].W.B0.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B0.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[9].W.B0.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B0.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[9].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[2] ),
+    .Q(\B_0_3.WORD[9].W.B0.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B0.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[9].W.B0.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[2] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B0.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[9].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[3] ),
+    .Q(\B_0_3.WORD[9].W.B0.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B0.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[9].W.B0.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[3] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B0.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[9].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[4] ),
+    .Q(\B_0_3.WORD[9].W.B0.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B0.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[9].W.B0.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[4] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B0.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[9].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[5] ),
+    .Q(\B_0_3.WORD[9].W.B0.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B0.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[9].W.B0.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[5] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B0.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[9].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[6] ),
+    .Q(\B_0_3.WORD[9].W.B0.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B0.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[9].W.B0.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[6] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B0.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[9].W.B0.GCLK ),
+    .D(\B_0_3.Di_buf[7] ),
+    .Q(\B_0_3.WORD[9].W.B0.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B0.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[9].W.B0.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[9].W.B0.SEL_B ),
+    .Z(\B_0_3.Do_pre[7] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[9].W.B0.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[9].W.B0.we_wire ),
+    .GCLK(\B_0_3.WORD[9].W.B0.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[9].W.B0.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[0] ),
+    .X(\B_0_3.WORD[9].W.B0.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[9].W.B0.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[1] ),
+    .Y(\B_0_3.WORD[9].W.B0.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B1.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[9].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[8] ),
+    .Q(\B_0_3.WORD[9].W.B1.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B1.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[9].W.B1.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[8] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B1.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[9].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[9] ),
+    .Q(\B_0_3.WORD[9].W.B1.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B1.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[9].W.B1.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[9] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B1.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[9].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[10] ),
+    .Q(\B_0_3.WORD[9].W.B1.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B1.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[9].W.B1.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[10] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B1.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[9].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[11] ),
+    .Q(\B_0_3.WORD[9].W.B1.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B1.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[9].W.B1.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[11] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B1.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[9].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[12] ),
+    .Q(\B_0_3.WORD[9].W.B1.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B1.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[9].W.B1.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[12] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B1.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[9].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[13] ),
+    .Q(\B_0_3.WORD[9].W.B1.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B1.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[9].W.B1.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[13] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B1.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[9].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[14] ),
+    .Q(\B_0_3.WORD[9].W.B1.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B1.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[9].W.B1.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[14] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B1.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[9].W.B1.GCLK ),
+    .D(\B_0_3.Di_buf[15] ),
+    .Q(\B_0_3.WORD[9].W.B1.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B1.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[9].W.B1.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[9].W.B1.SEL_B ),
+    .Z(\B_0_3.Do_pre[15] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[9].W.B1.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[9].W.B1.we_wire ),
+    .GCLK(\B_0_3.WORD[9].W.B1.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[9].W.B1.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[1] ),
+    .X(\B_0_3.WORD[9].W.B1.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[9].W.B1.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[1] ),
+    .Y(\B_0_3.WORD[9].W.B1.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B2.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[9].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[16] ),
+    .Q(\B_0_3.WORD[9].W.B2.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B2.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[9].W.B2.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[16] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B2.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[9].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[17] ),
+    .Q(\B_0_3.WORD[9].W.B2.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B2.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[9].W.B2.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[17] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B2.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[9].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[18] ),
+    .Q(\B_0_3.WORD[9].W.B2.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B2.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[9].W.B2.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[18] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B2.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[9].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[19] ),
+    .Q(\B_0_3.WORD[9].W.B2.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B2.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[9].W.B2.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[19] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B2.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[9].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[20] ),
+    .Q(\B_0_3.WORD[9].W.B2.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B2.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[9].W.B2.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[20] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B2.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[9].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[21] ),
+    .Q(\B_0_3.WORD[9].W.B2.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B2.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[9].W.B2.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[21] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B2.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[9].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[22] ),
+    .Q(\B_0_3.WORD[9].W.B2.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B2.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[9].W.B2.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[22] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B2.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[9].W.B2.GCLK ),
+    .D(\B_0_3.Di_buf[23] ),
+    .Q(\B_0_3.WORD[9].W.B2.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B2.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[9].W.B2.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[9].W.B2.SEL_B ),
+    .Z(\B_0_3.Do_pre[23] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[9].W.B2.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[9].W.B2.we_wire ),
+    .GCLK(\B_0_3.WORD[9].W.B2.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[9].W.B2.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[2] ),
+    .X(\B_0_3.WORD[9].W.B2.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[9].W.B2.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[1] ),
+    .Y(\B_0_3.WORD[9].W.B2.SEL_B )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B3.BIT[0].FF  (
+    .CLK(\B_0_3.WORD[9].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[24] ),
+    .Q(\B_0_3.WORD[9].W.B3.q_wire[0] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B3.BIT[0].OBUF  (
+    .A(\B_0_3.WORD[9].W.B3.q_wire[0] ),
+    .TE_B(\B_0_3.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[24] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B3.BIT[1].FF  (
+    .CLK(\B_0_3.WORD[9].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[25] ),
+    .Q(\B_0_3.WORD[9].W.B3.q_wire[1] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B3.BIT[1].OBUF  (
+    .A(\B_0_3.WORD[9].W.B3.q_wire[1] ),
+    .TE_B(\B_0_3.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[25] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B3.BIT[2].FF  (
+    .CLK(\B_0_3.WORD[9].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[26] ),
+    .Q(\B_0_3.WORD[9].W.B3.q_wire[2] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B3.BIT[2].OBUF  (
+    .A(\B_0_3.WORD[9].W.B3.q_wire[2] ),
+    .TE_B(\B_0_3.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[26] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B3.BIT[3].FF  (
+    .CLK(\B_0_3.WORD[9].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[27] ),
+    .Q(\B_0_3.WORD[9].W.B3.q_wire[3] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B3.BIT[3].OBUF  (
+    .A(\B_0_3.WORD[9].W.B3.q_wire[3] ),
+    .TE_B(\B_0_3.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[27] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B3.BIT[4].FF  (
+    .CLK(\B_0_3.WORD[9].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[28] ),
+    .Q(\B_0_3.WORD[9].W.B3.q_wire[4] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B3.BIT[4].OBUF  (
+    .A(\B_0_3.WORD[9].W.B3.q_wire[4] ),
+    .TE_B(\B_0_3.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[28] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B3.BIT[5].FF  (
+    .CLK(\B_0_3.WORD[9].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[29] ),
+    .Q(\B_0_3.WORD[9].W.B3.q_wire[5] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B3.BIT[5].OBUF  (
+    .A(\B_0_3.WORD[9].W.B3.q_wire[5] ),
+    .TE_B(\B_0_3.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[29] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B3.BIT[6].FF  (
+    .CLK(\B_0_3.WORD[9].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[30] ),
+    .Q(\B_0_3.WORD[9].W.B3.q_wire[6] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B3.BIT[6].OBUF  (
+    .A(\B_0_3.WORD[9].W.B3.q_wire[6] ),
+    .TE_B(\B_0_3.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[30] )
+  );
+  sky130_fd_sc_hd__dfxtp_1 \B_0_3.WORD[9].W.B3.BIT[7].FF  (
+    .CLK(\B_0_3.WORD[9].W.B3.GCLK ),
+    .D(\B_0_3.Di_buf[31] ),
+    .Q(\B_0_3.WORD[9].W.B3.q_wire[7] )
+  );
+  sky130_fd_sc_hd__ebufn_2 \B_0_3.WORD[9].W.B3.BIT[7].OBUF  (
+    .A(\B_0_3.WORD[9].W.B3.q_wire[7] ),
+    .TE_B(\B_0_3.WORD[9].W.B3.SEL_B ),
+    .Z(\B_0_3.Do_pre[31] )
+  );
+  sky130_fd_sc_hd__dlclkp_1 \B_0_3.WORD[9].W.B3.CG  (
+    .CLK(\B_0_3.CLK_buf ),
+    .GATE(\B_0_3.WORD[9].W.B3.we_wire ),
+    .GCLK(\B_0_3.WORD[9].W.B3.GCLK )
+  );
+  sky130_fd_sc_hd__and2_1 \B_0_3.WORD[9].W.B3.CGAND  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[1] ),
+    .B(\B_0_3.WE_buf[3] ),
+    .X(\B_0_3.WORD[9].W.B3.we_wire )
+  );
+  sky130_fd_sc_hd__inv_1 \B_0_3.WORD[9].W.B3.INV  (
+    .A(\B_0_3.DEC.DEC_L1[1].U.SEL[1] ),
+    .Y(\B_0_3.WORD[9].W.B3.SEL_B )
+  );
+  sky130_fd_sc_hd__clkbuf_8 CLKBUF (
+    .A(CLK),
+    .X(\B_0_0.CLK )
+  );
+  sky130_fd_sc_hd__nor3b_2 \DEC.AND0  (
+    .A(A[6]),
+    .B(A[7]),
+    .C_N(EN),
+    .Y(\B_0_0.DEC.DEC_L0.EN )
+  );
+  sky130_fd_sc_hd__and3b_2 \DEC.AND1  (
+    .A_N(A[7]),
+    .B(A[6]),
+    .C(EN),
+    .X(\B_0_1.DEC.DEC_L0.EN )
+  );
+  sky130_fd_sc_hd__and3b_2 \DEC.AND2  (
+    .A_N(A[6]),
+    .B(A[7]),
+    .C(EN),
+    .X(\B_0_2.DEC.DEC_L0.EN )
+  );
+  sky130_fd_sc_hd__and3_2 \DEC.AND3  (
+    .A(A[7]),
+    .B(A[6]),
+    .C(EN),
+    .X(\B_0_3.DEC.DEC_L0.EN )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[0]  (
+    .A(Di[0]),
+    .X(\B_0_0.Di[0] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[10]  (
+    .A(Di[10]),
+    .X(\B_0_0.Di[10] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[11]  (
+    .A(Di[11]),
+    .X(\B_0_0.Di[11] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[12]  (
+    .A(Di[12]),
+    .X(\B_0_0.Di[12] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[13]  (
+    .A(Di[13]),
+    .X(\B_0_0.Di[13] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[14]  (
+    .A(Di[14]),
+    .X(\B_0_0.Di[14] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[15]  (
+    .A(Di[15]),
+    .X(\B_0_0.Di[15] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[16]  (
+    .A(Di[16]),
+    .X(\B_0_0.Di[16] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[17]  (
+    .A(Di[17]),
+    .X(\B_0_0.Di[17] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[18]  (
+    .A(Di[18]),
+    .X(\B_0_0.Di[18] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[19]  (
+    .A(Di[19]),
+    .X(\B_0_0.Di[19] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[1]  (
+    .A(Di[1]),
+    .X(\B_0_0.Di[1] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[20]  (
+    .A(Di[20]),
+    .X(\B_0_0.Di[20] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[21]  (
+    .A(Di[21]),
+    .X(\B_0_0.Di[21] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[22]  (
+    .A(Di[22]),
+    .X(\B_0_0.Di[22] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[23]  (
+    .A(Di[23]),
+    .X(\B_0_0.Di[23] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[24]  (
+    .A(Di[24]),
+    .X(\B_0_0.Di[24] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[25]  (
+    .A(Di[25]),
+    .X(\B_0_0.Di[25] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[26]  (
+    .A(Di[26]),
+    .X(\B_0_0.Di[26] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[27]  (
+    .A(Di[27]),
+    .X(\B_0_0.Di[27] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[28]  (
+    .A(Di[28]),
+    .X(\B_0_0.Di[28] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[29]  (
+    .A(Di[29]),
+    .X(\B_0_0.Di[29] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[2]  (
+    .A(Di[2]),
+    .X(\B_0_0.Di[2] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[30]  (
+    .A(Di[30]),
+    .X(\B_0_0.Di[30] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[31]  (
+    .A(Di[31]),
+    .X(\B_0_0.Di[31] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[3]  (
+    .A(Di[3]),
+    .X(\B_0_0.Di[3] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[4]  (
+    .A(Di[4]),
+    .X(\B_0_0.Di[4] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[5]  (
+    .A(Di[5]),
+    .X(\B_0_0.Di[5] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[6]  (
+    .A(Di[6]),
+    .X(\B_0_0.Di[6] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[7]  (
+    .A(Di[7]),
+    .X(\B_0_0.Di[7] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[8]  (
+    .A(Di[8]),
+    .X(\B_0_0.Di[8] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \DIBUF[9]  (
+    .A(Di[9]),
+    .X(\B_0_0.Di[9] )
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[0]  (
+    .A(\Do_pre[0] ),
+    .X(Do[0])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[10]  (
+    .A(\Do_pre[10] ),
+    .X(Do[10])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[11]  (
+    .A(\Do_pre[11] ),
+    .X(Do[11])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[12]  (
+    .A(\Do_pre[12] ),
+    .X(Do[12])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[13]  (
+    .A(\Do_pre[13] ),
+    .X(Do[13])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[14]  (
+    .A(\Do_pre[14] ),
+    .X(Do[14])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[15]  (
+    .A(\Do_pre[15] ),
+    .X(Do[15])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[16]  (
+    .A(\Do_pre[16] ),
+    .X(Do[16])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[17]  (
+    .A(\Do_pre[17] ),
+    .X(Do[17])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[18]  (
+    .A(\Do_pre[18] ),
+    .X(Do[18])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[19]  (
+    .A(\Do_pre[19] ),
+    .X(Do[19])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[1]  (
+    .A(\Do_pre[1] ),
+    .X(Do[1])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[20]  (
+    .A(\Do_pre[20] ),
+    .X(Do[20])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[21]  (
+    .A(\Do_pre[21] ),
+    .X(Do[21])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[22]  (
+    .A(\Do_pre[22] ),
+    .X(Do[22])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[23]  (
+    .A(\Do_pre[23] ),
+    .X(Do[23])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[24]  (
+    .A(\Do_pre[24] ),
+    .X(Do[24])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[25]  (
+    .A(\Do_pre[25] ),
+    .X(Do[25])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[26]  (
+    .A(\Do_pre[26] ),
+    .X(Do[26])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[27]  (
+    .A(\Do_pre[27] ),
+    .X(Do[27])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[28]  (
+    .A(\Do_pre[28] ),
+    .X(Do[28])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[29]  (
+    .A(\Do_pre[29] ),
+    .X(Do[29])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[2]  (
+    .A(\Do_pre[2] ),
+    .X(Do[2])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[30]  (
+    .A(\Do_pre[30] ),
+    .X(Do[30])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[31]  (
+    .A(\Do_pre[31] ),
+    .X(Do[31])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[3]  (
+    .A(\Do_pre[3] ),
+    .X(Do[3])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[4]  (
+    .A(\Do_pre[4] ),
+    .X(Do[4])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[5]  (
+    .A(\Do_pre[5] ),
+    .X(Do[5])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[6]  (
+    .A(\Do_pre[6] ),
+    .X(Do[6])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[7]  (
+    .A(\Do_pre[7] ),
+    .X(Do[7])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[8]  (
+    .A(\Do_pre[8] ),
+    .X(Do[8])
+  );
+  sky130_fd_sc_hd__clkbuf_4 \DOBUF[9]  (
+    .A(\Do_pre[9] ),
+    .X(Do[9])
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[0]  (
+    .A0(\B_0_0.Do[0] ),
+    .A1(\B_0_1.Do[0] ),
+    .A2(\B_0_2.Do[0] ),
+    .A3(\B_0_3.Do[0] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[0] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[10]  (
+    .A0(\B_0_0.Do[10] ),
+    .A1(\B_0_1.Do[10] ),
+    .A2(\B_0_2.Do[10] ),
+    .A3(\B_0_3.Do[10] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[10] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[11]  (
+    .A0(\B_0_0.Do[11] ),
+    .A1(\B_0_1.Do[11] ),
+    .A2(\B_0_2.Do[11] ),
+    .A3(\B_0_3.Do[11] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[11] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[12]  (
+    .A0(\B_0_0.Do[12] ),
+    .A1(\B_0_1.Do[12] ),
+    .A2(\B_0_2.Do[12] ),
+    .A3(\B_0_3.Do[12] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[12] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[13]  (
+    .A0(\B_0_0.Do[13] ),
+    .A1(\B_0_1.Do[13] ),
+    .A2(\B_0_2.Do[13] ),
+    .A3(\B_0_3.Do[13] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[13] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[14]  (
+    .A0(\B_0_0.Do[14] ),
+    .A1(\B_0_1.Do[14] ),
+    .A2(\B_0_2.Do[14] ),
+    .A3(\B_0_3.Do[14] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[14] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[15]  (
+    .A0(\B_0_0.Do[15] ),
+    .A1(\B_0_1.Do[15] ),
+    .A2(\B_0_2.Do[15] ),
+    .A3(\B_0_3.Do[15] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[15] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[16]  (
+    .A0(\B_0_0.Do[16] ),
+    .A1(\B_0_1.Do[16] ),
+    .A2(\B_0_2.Do[16] ),
+    .A3(\B_0_3.Do[16] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[16] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[17]  (
+    .A0(\B_0_0.Do[17] ),
+    .A1(\B_0_1.Do[17] ),
+    .A2(\B_0_2.Do[17] ),
+    .A3(\B_0_3.Do[17] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[17] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[18]  (
+    .A0(\B_0_0.Do[18] ),
+    .A1(\B_0_1.Do[18] ),
+    .A2(\B_0_2.Do[18] ),
+    .A3(\B_0_3.Do[18] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[18] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[19]  (
+    .A0(\B_0_0.Do[19] ),
+    .A1(\B_0_1.Do[19] ),
+    .A2(\B_0_2.Do[19] ),
+    .A3(\B_0_3.Do[19] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[19] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[1]  (
+    .A0(\B_0_0.Do[1] ),
+    .A1(\B_0_1.Do[1] ),
+    .A2(\B_0_2.Do[1] ),
+    .A3(\B_0_3.Do[1] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[1] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[20]  (
+    .A0(\B_0_0.Do[20] ),
+    .A1(\B_0_1.Do[20] ),
+    .A2(\B_0_2.Do[20] ),
+    .A3(\B_0_3.Do[20] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[20] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[21]  (
+    .A0(\B_0_0.Do[21] ),
+    .A1(\B_0_1.Do[21] ),
+    .A2(\B_0_2.Do[21] ),
+    .A3(\B_0_3.Do[21] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[21] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[22]  (
+    .A0(\B_0_0.Do[22] ),
+    .A1(\B_0_1.Do[22] ),
+    .A2(\B_0_2.Do[22] ),
+    .A3(\B_0_3.Do[22] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[22] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[23]  (
+    .A0(\B_0_0.Do[23] ),
+    .A1(\B_0_1.Do[23] ),
+    .A2(\B_0_2.Do[23] ),
+    .A3(\B_0_3.Do[23] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[23] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[24]  (
+    .A0(\B_0_0.Do[24] ),
+    .A1(\B_0_1.Do[24] ),
+    .A2(\B_0_2.Do[24] ),
+    .A3(\B_0_3.Do[24] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[24] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[25]  (
+    .A0(\B_0_0.Do[25] ),
+    .A1(\B_0_1.Do[25] ),
+    .A2(\B_0_2.Do[25] ),
+    .A3(\B_0_3.Do[25] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[25] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[26]  (
+    .A0(\B_0_0.Do[26] ),
+    .A1(\B_0_1.Do[26] ),
+    .A2(\B_0_2.Do[26] ),
+    .A3(\B_0_3.Do[26] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[26] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[27]  (
+    .A0(\B_0_0.Do[27] ),
+    .A1(\B_0_1.Do[27] ),
+    .A2(\B_0_2.Do[27] ),
+    .A3(\B_0_3.Do[27] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[27] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[28]  (
+    .A0(\B_0_0.Do[28] ),
+    .A1(\B_0_1.Do[28] ),
+    .A2(\B_0_2.Do[28] ),
+    .A3(\B_0_3.Do[28] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[28] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[29]  (
+    .A0(\B_0_0.Do[29] ),
+    .A1(\B_0_1.Do[29] ),
+    .A2(\B_0_2.Do[29] ),
+    .A3(\B_0_3.Do[29] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[29] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[2]  (
+    .A0(\B_0_0.Do[2] ),
+    .A1(\B_0_1.Do[2] ),
+    .A2(\B_0_2.Do[2] ),
+    .A3(\B_0_3.Do[2] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[2] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[30]  (
+    .A0(\B_0_0.Do[30] ),
+    .A1(\B_0_1.Do[30] ),
+    .A2(\B_0_2.Do[30] ),
+    .A3(\B_0_3.Do[30] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[30] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[31]  (
+    .A0(\B_0_0.Do[31] ),
+    .A1(\B_0_1.Do[31] ),
+    .A2(\B_0_2.Do[31] ),
+    .A3(\B_0_3.Do[31] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[31] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[3]  (
+    .A0(\B_0_0.Do[3] ),
+    .A1(\B_0_1.Do[3] ),
+    .A2(\B_0_2.Do[3] ),
+    .A3(\B_0_3.Do[3] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[3] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[4]  (
+    .A0(\B_0_0.Do[4] ),
+    .A1(\B_0_1.Do[4] ),
+    .A2(\B_0_2.Do[4] ),
+    .A3(\B_0_3.Do[4] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[4] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[5]  (
+    .A0(\B_0_0.Do[5] ),
+    .A1(\B_0_1.Do[5] ),
+    .A2(\B_0_2.Do[5] ),
+    .A3(\B_0_3.Do[5] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[5] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[6]  (
+    .A0(\B_0_0.Do[6] ),
+    .A1(\B_0_1.Do[6] ),
+    .A2(\B_0_2.Do[6] ),
+    .A3(\B_0_3.Do[6] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[6] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[7]  (
+    .A0(\B_0_0.Do[7] ),
+    .A1(\B_0_1.Do[7] ),
+    .A2(\B_0_2.Do[7] ),
+    .A3(\B_0_3.Do[7] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[7] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[8]  (
+    .A0(\B_0_0.Do[8] ),
+    .A1(\B_0_1.Do[8] ),
+    .A2(\B_0_2.Do[8] ),
+    .A3(\B_0_3.Do[8] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[8] )
+  );
+  sky130_fd_sc_hd__mux4_1 \MUX1.MUX[9]  (
+    .A0(\B_0_0.Do[9] ),
+    .A1(\B_0_1.Do[9] ),
+    .A2(\B_0_2.Do[9] ),
+    .A3(\B_0_3.Do[9] ),
+    .S0(A[6]),
+    .S1(A[7]),
+    .X(\Do_pre[9] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \WEBUF[0]  (
+    .A(WE[0]),
+    .X(\B_0_0.WE[0] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \WEBUF[1]  (
+    .A(WE[1]),
+    .X(\B_0_0.WE[1] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \WEBUF[2]  (
+    .A(WE[2]),
+    .X(\B_0_0.WE[2] )
+  );
+  sky130_fd_sc_hd__clkbuf_8 \WEBUF[3]  (
+    .A(WE[3]),
+    .X(\B_0_0.WE[3] )
+  );
+endmodule
diff --git a/verilog/rtl/mem_wb.v b/verilog/rtl/mem_wb.v
index 3a16147..4f6891e 100644
--- a/verilog/rtl/mem_wb.v
+++ b/verilog/rtl/mem_wb.v
@@ -80,18 +80,15 @@
 );
 
 `ifndef USE_OPENRAM
-    reg [31:0] rdata;
-    reg [31:0] mem [0:WORDS-1];
-
-    always @(posedge clk) begin
-        if (ena == 1'b1) begin
-            rdata <= mem[addr];
-            if (wen[0]) mem[addr][ 7: 0] <= wdata[ 7: 0];
-            if (wen[1]) mem[addr][15: 8] <= wdata[15: 8];
-            if (wen[2]) mem[addr][23:16] <= wdata[23:16];
-            if (wen[3]) mem[addr][31:24] <= wdata[31:24];
-        end
-    end
+    DFFRAM SRAM (
+        .CLK(clk),
+        .WE(wen),
+        .EN(ena),
+        .Di(wdata),
+        .Do(rdata),
+        // 8-bit address if using the default custom DFF RAM
+        .A(addr)
+    );
 `else
     
     /* Using Port 0 Only - Size: 1KB, 256x32 bits */
@@ -108,4 +105,4 @@
 
 `endif
 
-endmodule
\ No newline at end of file
+endmodule