Finished implementation of the simple_por Power-on-reset circuit.  Completed DRC and LVS,
and testbench simulation in ngspice.
diff --git a/mag/run_lvs_por.sh b/mag/run_lvs_por.sh
new file mode 100755
index 0000000..fa69254
--- /dev/null
+++ b/mag/run_lvs_por.sh
@@ -0,0 +1,9 @@
+#!/bin/sh
+#--------------------------------------------------------------------------------
+# Run LVS on the simple_por layout
+#
+# NOTE:  By specifying the testbench for the schematic-side netlist, the proper
+# includes used by the testbench simulation are picked up.  Otherwise, the LVS
+# itself compares just the simple_por subcircuit from the testbench.
+#--------------------------------------------------------------------------------
+netgen -batch lvs "simple_por.spice simple_por" "../ngspice/simple_por_tb.spice simple_por" ~/projects/efabless/tech/SW/sky130A/libs.tech/netgen/sky130A_setup.tcl comp.out
diff --git a/mag/simple_por.mag b/mag/simple_por.mag
index 7fa35e0..98c2039 100644
--- a/mag/simple_por.mag
+++ b/mag/simple_por.mag
@@ -1,35 +1,48 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1605995445
+timestamp 1606075443
 << error_s >>
-rect -4389 9085 -4379 9131
-rect -4361 9057 -4351 9159
-rect -4073 9057 -4066 9159
-rect -4045 9085 -4038 9131
-rect -1626 9085 -1613 9131
-rect -1598 9057 -1585 9159
-rect -592 8620 -576 8678
-rect 26 8666 30 8678
-rect 38 8632 42 8666
-rect -5119 8532 -5112 8578
-rect -5091 8504 -5084 8605
-rect -404 8054 -392 8100
-rect -125 8074 -121 8108
+rect -282 8620 -266 8678
+rect 336 8666 340 8678
+rect 348 8632 352 8666
+rect -94 8054 -82 8100
+rect 185 8074 189 8108
+<< nwell >>
+rect -7877 8875 -1295 9326
 << pwell >>
-rect -7384 8100 -7328 8110
+rect -7484 8100 -7428 8110
 rect -5312 7347 -5094 7557
 << locali >>
-rect -7906 8982 -7699 9791
-rect -1438 8986 -1313 9791
-rect -4804 8982 -1313 8986
-rect -7906 8906 -1313 8982
-rect -7906 8836 -787 8906
-rect -4804 8732 -787 8836
-rect -7912 7919 -7726 8710
-rect -4804 8536 -1313 8732
-rect -4940 7919 -1313 8369
-rect -7912 7674 2913 7919
+rect -7906 9806 -7764 9819
+rect -7906 9721 -7890 9806
+rect -7778 9721 -7764 9806
+rect -7906 8982 -7764 9721
+rect -1056 9804 -813 9817
+rect -1056 9643 -1011 9804
+rect -830 9643 -813 9804
+rect -1056 8986 -813 9643
+rect -4904 8982 -813 8986
+rect -7906 8966 -813 8982
+rect -7906 8836 -1020 8966
+rect -7912 7919 -7826 8710
+rect -4904 8553 -1020 8836
+rect -837 8853 -813 8966
+rect -837 8732 2882 8853
+rect -837 8553 -813 8732
+rect -4904 8536 -813 8553
+rect -5040 8224 -813 8369
+rect -5040 7919 -4727 8224
+rect -7912 7851 -4727 7919
+rect -7912 7849 -7442 7851
+rect -7912 7723 -7899 7849
+rect -7661 7723 -7442 7849
+rect -7912 7722 -7442 7723
+rect -4996 7784 -4727 7851
+rect -1831 7919 -813 8224
+rect -1831 7784 2913 7919
+rect -4996 7722 2913 7784
+rect -7912 7674 2913 7722
 rect -7912 7344 -7258 7674
 rect -6941 7344 -6554 7560
 rect -6169 7344 -5782 7560
@@ -63,157 +76,529 @@
 rect 1936 1696 2323 1912
 rect 2708 1696 2888 1912
 << viali >>
+rect -7890 9721 -7778 9806
+rect -1011 9643 -830 9804
+rect 1277 9385 1323 9591
+rect -343 9285 -140 9332
+rect 1512 9285 1849 9332
+rect 2838 9214 2872 9410
+rect -1020 8553 -837 8966
+rect -77 8306 181 8365
+rect -7899 7723 -7661 7849
+rect -7442 7722 -4996 7851
+rect -4727 7784 -1831 8224
+rect 492 8215 559 8409
+rect 703 8251 906 8298
+rect 2323 8266 2369 8427
 rect -5299 7360 -5107 7546
-rect 2254 7128 2392 7560
+rect 2255 7129 2393 7561
 << metal1 >>
-rect -7034 9616 -5348 9660
-rect -3564 9612 -2090 9656
-rect -1167 9603 2564 9740
-rect -1167 9579 -783 9603
-rect -7662 9555 -7565 9557
-rect -7662 9170 -7534 9555
-rect -7220 9423 -5026 9559
-rect -7345 9184 -5310 9319
-rect -4854 9189 -4547 9335
-rect -7662 8652 -7565 9170
-rect -7034 9084 -5348 9128
-rect -4361 8875 -4291 9566
-rect -5465 8805 -4291 8875
-rect -7662 8500 -7584 8652
-rect -7662 8104 -7565 8500
-rect -7502 7475 -7448 8564
-rect -7066 8534 -5592 8578
-rect -7384 8276 -7213 8503
-rect -5465 8483 -5395 8805
-rect -4361 8804 -4291 8805
-rect -7126 8346 -5395 8483
-rect -5091 8696 -5021 8704
-rect -4148 8696 -4066 9575
-rect -3738 9403 -1776 9564
-rect -3881 9176 -2045 9336
-rect -3568 9084 -2094 9128
-rect -5091 8605 -4066 8696
-rect -7384 8110 -5287 8276
-rect -7384 8100 -7213 8110
-rect -5091 8104 -5005 8605
-rect -1598 8249 -1516 9575
-rect -7342 7864 -7213 8100
-rect -7066 8020 -5592 8064
-rect -1167 7664 -943 9579
-rect -789 8765 2570 9020
-rect -789 8632 2734 8765
-rect 2569 8624 2734 8632
-rect -794 7916 2562 8053
-rect 2274 7801 2368 7805
-rect 2640 7801 2734 8624
-rect 2274 7707 2734 7801
+rect -7907 9806 -814 9817
+rect -7907 9721 -7890 9806
+rect -7778 9804 -814 9806
+rect -7778 9800 -1011 9804
+rect -7323 9791 -1011 9800
+rect -7323 9732 -6921 9791
+rect -7778 9724 -6921 9732
+rect -830 9740 -814 9804
+rect -663 9783 2894 9809
+rect -7778 9721 -1011 9724
+rect -7907 9710 -1011 9721
+rect -7729 9641 -7571 9658
+rect -7729 9569 -7511 9641
+rect -7238 9613 -7228 9675
+rect -7146 9660 -7129 9675
+rect -7146 9616 -5449 9660
+rect -5118 9616 -4957 9660
+rect -7146 9613 -7129 9616
+rect -7729 9555 -7665 9569
+rect -7729 9172 -7634 9555
+rect -7572 9172 -7511 9569
+rect -7426 9319 -7380 9571
+rect -7320 9423 -5126 9559
+rect -7445 9184 -5410 9319
+rect -7729 9099 -7511 9172
+rect -7426 9128 -7380 9184
+rect -7238 9128 -7228 9137
+rect -7729 9085 -7572 9099
+rect -7729 9032 -7665 9085
+rect -7426 9084 -7228 9128
+rect -7238 9075 -7228 9084
+rect -7146 9128 -7129 9137
+rect -5081 9128 -5003 9616
+rect -4954 9189 -4647 9335
+rect -7146 9087 -4957 9128
+rect -7146 9084 -5025 9087
+rect -7146 9075 -7129 9084
+rect -4587 9032 -4514 9653
+rect -4248 9613 -3988 9659
+rect -4461 9167 -4391 9566
+rect -7729 8984 -4514 9032
+rect -7729 8779 -7665 8984
+rect -4450 8875 -4391 9167
+rect -7762 8652 -7665 8779
+rect -5565 8805 -4391 8875
+rect -4248 9173 -4166 9613
+rect -3664 9612 -2200 9656
+rect -1864 9612 -1710 9656
+rect -1037 9643 -1011 9710
+rect -830 9643 -813 9740
+rect -663 9684 -629 9783
+rect 2855 9684 2894 9783
+rect -663 9656 2894 9684
+rect -3959 9336 -3922 9572
+rect -3838 9403 -1876 9564
+rect -3981 9176 -2145 9336
+rect -4248 9131 -4174 9173
+rect -4248 9085 -3989 9131
+rect -3959 9128 -3922 9176
+rect -1821 9128 -1759 9612
+rect -1698 9415 -1410 9560
+rect -1698 9221 -1685 9415
+rect -4248 9032 -4174 9085
+rect -3959 9084 -1708 9128
+rect -1335 9032 -1273 9643
+rect -4248 8984 -1273 9032
+rect -7762 8500 -7684 8652
+rect -5565 8605 -5495 8805
+rect -4248 8696 -4174 8984
+rect -5682 8578 -5672 8605
+rect -7762 8104 -7665 8500
+rect -7922 7849 -7646 7857
+rect -7922 7723 -7899 7849
+rect -7661 7723 -7646 7849
+rect -7922 7716 -7646 7723
+rect -7922 6879 -7814 7716
+rect -7602 7475 -7548 8564
+rect -7166 8534 -5672 8578
+rect -5596 8578 -5495 8605
+rect -5180 8605 -4174 8696
+rect -5596 8534 -5209 8578
+rect -7484 8276 -7313 8503
+rect -5565 8483 -5495 8534
+rect -7226 8346 -5495 8483
+rect -7484 8110 -5387 8276
+rect -7484 8100 -7313 8110
+rect -7442 7857 -7313 8100
+rect -5318 8064 -5254 8534
+rect -5180 8480 -5105 8605
+rect -1195 8596 -1124 9575
+rect -1037 8966 -813 9643
+rect 1271 9591 1329 9603
+rect 1271 9385 1277 9591
+rect 1323 9582 1329 9591
+rect 2181 9582 2191 9584
+rect 1323 9532 2191 9582
+rect 1323 9385 1329 9532
+rect 2181 9530 2191 9532
+rect 2343 9530 2353 9584
+rect 1271 9373 1329 9385
+rect 2832 9410 2878 9422
+rect -355 9332 392 9338
+rect -355 9285 -343 9332
+rect -140 9285 392 9332
+rect -355 9279 392 9285
+rect 572 9332 1861 9338
+rect 572 9285 1512 9332
+rect 1849 9285 1861 9332
+rect 572 9279 1861 9285
+rect 2832 9259 2838 9410
+rect 2872 9259 2878 9410
+rect 2796 9205 2806 9259
+rect 2958 9205 2968 9259
+rect 2832 9202 2878 9205
+rect -5191 8104 -5105 8480
+rect -1540 8567 -1122 8596
+rect -4780 8350 -1773 8373
+rect -4780 8224 -3616 8350
+rect -2669 8224 -1773 8350
+rect -7166 8020 -5672 8064
+rect -5682 7991 -5672 8020
+rect -5597 7991 -5587 8064
+rect -5362 8020 -5208 8064
+rect -4780 7857 -4727 8224
+rect -7454 7851 -4727 7857
+rect -7454 7722 -7442 7851
+rect -4996 7784 -4727 7851
+rect -1831 8062 -1773 8224
+rect -1540 8154 -1515 8567
+rect -1144 8371 -1122 8567
+rect -1037 8553 -1020 8966
+rect -837 8855 -813 8966
+rect -668 9074 2889 9101
+rect -668 8980 -641 9074
+rect 396 8980 671 9074
+rect 2702 8980 2889 9074
+rect -668 8948 2889 8980
+rect -837 8830 2885 8855
+rect -837 8655 -776 8830
+rect 373 8655 655 8830
+rect 2803 8655 2885 8830
+rect -837 8625 2885 8655
+rect -837 8553 -813 8625
+rect 2569 8624 2885 8625
+rect -1037 8525 -813 8553
+rect 2317 8427 2375 8439
+rect 486 8409 565 8421
+rect -1144 8365 193 8371
+rect -1144 8306 -77 8365
+rect 181 8306 193 8365
+rect -1144 8300 193 8306
+rect -1144 8154 -1122 8300
+rect 482 8215 492 8409
+rect 559 8304 569 8409
+rect 559 8298 918 8304
+rect 559 8251 703 8298
+rect 906 8251 918 8298
+rect 2317 8266 2323 8427
+rect 2369 8365 2375 8427
+rect 2369 8296 2560 8365
+rect 2725 8296 2735 8365
+rect 2369 8266 2375 8296
+rect 2317 8254 2375 8266
+rect 559 8245 918 8251
+rect 559 8215 569 8245
+rect 486 8203 565 8215
+rect -1540 8131 -1122 8154
+rect -1831 7850 2880 8062
+rect -1831 7784 -1773 7850
+rect -4996 7768 -1773 7784
+rect 2274 7791 2769 7801
+rect -4996 7722 -1772 7768
+rect -7454 7716 -1772 7722
+rect 2274 7718 2286 7791
+rect 2753 7718 2769 7791
+rect 2274 7707 2769 7718
 rect 2274 7576 2368 7707
-rect 2240 7560 2406 7576
+rect 2240 7561 2406 7576
 rect -5312 7546 -5094 7557
 rect -5312 7475 -5299 7546
-rect -7502 7421 -5299 7475
+rect -7602 7421 -5299 7475
 rect -5312 7360 -5299 7421
 rect -5107 7360 -5094 7546
 rect -5312 7347 -5094 7360
-rect 2240 7128 2254 7560
-rect 2392 7128 2406 7560
-rect 2240 7113 2406 7128
+rect 2240 7129 2255 7561
+rect 2393 7129 2406 7561
+rect 2240 7113 2406 7129
+rect 2858 6879 2920 7610
+rect -7922 6279 2920 6879
+rect -7922 5879 -7814 6279
+rect 2858 5879 2920 6279
+rect -7922 5279 2920 5879
+rect -7922 4879 -7814 5279
+rect 2858 4879 2920 5279
+rect -7922 4279 2920 4879
+rect -7922 3879 -7814 4279
+rect 2858 3879 2920 4279
+rect -7922 3279 2920 3879
+rect -7922 2879 -7814 3279
+rect 2858 2879 2920 3279
+rect -7922 2279 2920 2879
+rect -7922 1630 -7814 2279
+rect 2858 1630 2920 2279
+rect -7922 1542 2920 1630
+<< via1 >>
+rect -7887 9732 -7778 9800
+rect -7778 9732 -7323 9800
+rect -6921 9724 -1011 9791
+rect -1011 9724 -854 9791
+rect -7228 9613 -7146 9675
+rect -7228 9075 -7146 9137
+rect -629 9684 2855 9783
+rect -5672 8534 -5596 8605
+rect 2191 9530 2343 9584
+rect 392 9279 572 9338
+rect 2806 9214 2838 9259
+rect 2838 9214 2872 9259
+rect 2872 9214 2958 9259
+rect 2806 9205 2958 9214
+rect -3616 8224 -2669 8350
+rect -5672 7991 -5597 8064
+rect -3616 7801 -2669 8224
+rect -1515 8154 -1144 8567
+rect -641 8980 396 9074
+rect 671 8980 2702 9074
+rect -776 8655 373 8830
+rect 655 8655 2803 8830
+rect 492 8215 559 8409
+rect 2560 8296 2725 8365
+rect 2286 7718 2753 7791
 << metal2 >>
-rect 2824 8538 2880 9338
-rect 3028 8538 3084 9338
-rect 3232 8538 3288 9338
-rect 2838 8440 2866 8538
-rect 3042 8440 3070 8538
-rect 3246 8440 3274 8538
-rect 15 581 71 1381
-rect 219 581 275 1381
+rect -6962 9817 -815 9818
+rect -7909 9800 -815 9817
+rect -7909 9732 -7887 9800
+rect -7323 9792 -815 9800
+rect -7909 9635 -7870 9732
+rect -7313 9718 -7061 9792
+rect -856 9791 -815 9792
+rect -854 9724 -815 9791
+rect -7313 9635 -7293 9718
+rect -7909 9592 -7293 9635
+rect -7228 9675 -7146 9685
+rect -7228 9603 -7146 9613
+rect -7081 9635 -7061 9718
+rect -856 9635 -815 9724
+rect -663 9783 2894 9809
+rect -663 9684 -629 9783
+rect 2855 9684 2894 9783
+rect -663 9656 2894 9684
+rect -7218 9147 -7162 9603
+rect -7081 9591 -815 9635
+rect 2191 9587 2343 9597
+rect 2191 9517 2343 9527
+rect 392 9338 572 9348
+rect 392 9269 572 9279
+rect -7228 9137 -7146 9147
+rect -7228 9065 -7146 9075
+rect -668 9074 427 9101
+rect -668 8980 -641 9074
+rect 396 8980 427 9074
+rect -668 8948 427 8980
+rect -806 8830 408 8855
+rect -806 8655 -776 8830
+rect 373 8655 408 8830
+rect -806 8625 408 8655
+rect -5672 8605 -5596 8615
+rect -5672 8524 -5596 8534
+rect -1540 8567 -1122 8596
+rect -5659 8074 -5607 8524
+rect -3639 8380 -2649 8399
+rect -5672 8064 -5597 8074
+rect -5672 7981 -5597 7991
+rect -3639 7801 -3616 8380
+rect -2669 7801 -2649 8380
+rect -1540 8154 -1515 8567
+rect -1144 8154 -1122 8567
+rect 496 8419 553 9269
+rect 2806 9262 2958 9272
+rect 2806 9192 2958 9202
+rect 641 9074 2720 9101
+rect 640 8980 671 9074
+rect 2702 8980 2720 9074
+rect 641 8948 2720 8980
+rect 620 8830 2851 8855
+rect 620 8655 655 8830
+rect 2803 8655 2851 8830
+rect 620 8625 2851 8655
+rect 492 8409 559 8419
+rect 492 8205 559 8215
+rect -1540 8131 -1122 8154
+rect -3639 7780 -2649 7801
+rect 2274 7801 2484 8625
+rect 2551 8296 2560 8365
+rect 2725 8296 2810 8365
+rect 2962 8296 2971 8365
+rect 2274 7791 2769 7801
+rect 2274 7718 2286 7791
+rect 2753 7718 2769 7791
+rect 2274 7707 2769 7718
+<< via2 >>
+rect -7870 9732 -7323 9792
+rect -7323 9732 -7313 9792
+rect -7870 9635 -7313 9732
+rect -7061 9791 -856 9792
+rect -7061 9724 -6921 9791
+rect -6921 9724 -856 9791
+rect -7061 9635 -856 9724
+rect -629 9684 2855 9783
+rect 2191 9584 2343 9587
+rect 2191 9530 2343 9584
+rect 2191 9527 2343 9530
+rect -641 8980 396 9074
+rect -3616 8350 -2669 8380
+rect -3616 8053 -2669 8350
+rect -1515 8154 -1144 8567
+rect 2806 9259 2958 9262
+rect 2806 9205 2958 9259
+rect 2806 9202 2958 9205
+rect 671 8980 2702 9074
+rect 2810 8296 2962 8365
 << metal3 >>
-rect 3560 3862 4360 3982
-use sky130_fd_pr__pfet_g5v0d10v5_6UDFJU  sky130_fd_pr__pfet_g5v0d10v5_6UDFJU_0
-timestamp 1605994897
-transform 1 0 -6190 0 1 9372
-box -1101 -497 1101 497
-use sky130_fd_pr__pfet_g5v0d10v5_6E4TNU  sky130_fd_pr__pfet_g5v0d10v5_6E4TNU_0
-timestamp 1605994897
-transform 1 0 -7439 0 1 9372
-box -338 -497 338 497
-use sky130_fd_pr__nfet_g5v0d10v5_CWNXJM  sky130_fd_pr__nfet_g5v0d10v5_CWNXJM_0
-timestamp 1605994897
-transform 1 0 -6332 0 1 8300
+rect -7909 9792 -821 9814
+rect -7909 9775 -7870 9792
+rect -7313 9775 -7061 9792
+rect -7909 9531 -7874 9775
+rect -856 9635 -821 9792
+rect -663 9783 2894 9809
+rect -663 9684 -629 9783
+rect 2855 9684 2894 9783
+rect -663 9656 2894 9684
+rect -874 9531 -821 9635
+rect -7909 9496 -821 9531
+rect 2181 9587 2348 9595
+rect 2181 9527 2191 9587
+rect 2343 9527 2484 9587
+rect 2181 9522 2348 9527
+rect 2424 9447 2484 9527
+rect 2424 9387 3396 9447
+rect 2796 9262 2963 9270
+rect 2796 9202 2806 9262
+rect 2958 9202 2963 9262
+rect 2796 9197 2963 9202
+rect -668 9074 2720 9101
+rect -668 8980 -641 9074
+rect 2702 8980 2720 9074
+rect 2845 9082 2905 9197
+rect 2845 9022 3397 9082
+rect -668 8948 2720 8980
+rect -3836 8808 -2648 8848
+rect -3836 8380 -3597 8808
+rect -2679 8380 -2648 8808
+rect -3836 8053 -3616 8380
+rect -2669 8053 -2648 8380
+rect -1539 8567 -1122 8596
+rect -1539 8154 -1515 8567
+rect -1144 8154 -1122 8567
+rect 2800 8365 2971 8371
+rect 2800 8296 2810 8365
+rect 2962 8296 3395 8365
+rect 2800 8289 2971 8296
+rect -1539 8131 -1122 8154
+rect -3836 8025 -2648 8053
+rect -3836 7782 -3640 8025
+<< via3 >>
+rect -7874 9635 -7870 9775
+rect -7870 9635 -7313 9775
+rect -7313 9635 -7061 9775
+rect -7061 9635 -874 9775
+rect -629 9684 2855 9783
+rect -7874 9531 -874 9635
+rect -641 8980 396 9074
+rect 396 8980 671 9074
+rect 671 8980 2702 9074
+rect -3597 8380 -2679 8808
+rect -3597 8089 -2679 8380
+rect -1515 8154 -1144 8567
+<< metal4 >>
+rect -7909 9775 -821 9814
+rect -7909 9531 -7874 9775
+rect -874 9531 -821 9775
+rect -7909 9496 -821 9531
+rect -706 9783 3233 9822
+rect -706 9684 -629 9783
+rect 2855 9684 3233 9783
+rect -706 9493 3233 9684
+rect 2896 9286 3231 9305
+rect -7909 9074 2720 9186
+rect -7909 8980 -641 9074
+rect 2702 8980 2720 9074
+rect -7909 8808 2720 8980
+rect -7909 8786 -3597 8808
+rect -3930 8089 -3597 8786
+rect -2679 8786 2720 8808
+rect -2679 8089 -2648 8786
+rect 2896 8605 2932 9286
+rect -1561 8567 2932 8605
+rect -1561 8154 -1515 8567
+rect -1144 8154 2932 8567
+rect -1561 8146 2932 8154
+rect 3199 8146 3231 9286
+rect -1561 8122 3231 8146
+rect -3930 8053 -2648 8089
+rect -3930 1582 -3736 8053
+<< via4 >>
+rect -3597 8089 -2679 8778
+rect 2932 8146 3199 9286
+<< metal5 >>
+rect 2904 9286 3224 9310
+rect -3634 8778 -2648 8848
+rect -3634 8089 -3597 8778
+rect -2679 8089 -2648 8778
+rect -3634 8025 -2648 8089
+rect -3440 7666 -2648 8025
+rect 2904 8146 2932 9286
+rect 3199 8146 3224 9286
+rect 2904 7773 3224 8146
+use sky130_fd_pr__nfet_g5v0d10v5_TGFUGS  sky130_fd_pr__nfet_g5v0d10v5_TGFUGS_0
+timestamp 1606063140
+transform 1 0 -6432 0 1 8300
 box -962 -458 962 458
 use sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC  sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_1
 timestamp 1605994897
-transform -1 0 -7476 0 1 8300
+transform -1 0 -7576 0 1 8300
 box -308 -458 308 458
-use sky130_fd_pr__pfet_g5v0d10v5_ZPXEXA  sky130_fd_pr__pfet_g5v0d10v5_ZPXEXA_0
-timestamp 1605995445
-transform 1 0 -2829 0 1 9372
+use sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ  sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ_0
+timestamp 1606063140
+transform 1 0 -6290 0 1 9372
+box -1101 -497 1101 497
+use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_3
+timestamp 1606063140
+transform 1 0 -7539 0 1 9372
+box -338 -497 338 497
+use sky130_fd_pr__nfet_g5v0d10v5_PKVMTM  sky130_fd_pr__nfet_g5v0d10v5_PKVMTM_0
+timestamp 1606063140
+transform 1 0 -5287 0 1 8301
+box -308 -458 308 458
+use sky130_fd_pr__pfet_g5v0d10v5_YUHPBG  sky130_fd_pr__pfet_g5v0d10v5_YUHPBG_0
+timestamp 1606063140
+transform 1 0 -5041 0 1 9372
+box -338 -497 338 497
+use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_0
+timestamp 1606063140
+transform 1 0 -4555 0 1 9372
+box -338 -497 338 497
+use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_1
+timestamp 1606063140
+transform 1 0 -4069 0 1 9372
+box -338 -497 338 497
+use sky130_fd_pr__pfet_g5v0d10v5_YEUEBV  sky130_fd_pr__pfet_g5v0d10v5_YEUEBV_0
+timestamp 1606063140
+transform 1 0 -2929 0 1 9372
 box -992 -497 992 497
-use sky130_fd_pr__pfet_g5v0d10v5_Z95PRA  sky130_fd_pr__pfet_g5v0d10v5_Z95PRA_0
-timestamp 1605995445
-transform 1 0 -4941 0 1 9372
+use sky130_fd_pr__pfet_g5v0d10v5_YUHPXE  sky130_fd_pr__pfet_g5v0d10v5_YUHPXE_0
+timestamp 1606063140
+transform 1 0 -1789 0 1 9372
 box -338 -497 338 497
-use sky130_fd_pr__pfet_g5v0d10v5_6E4TNU  sky130_fd_pr__pfet_g5v0d10v5_6E4TNU_2
-timestamp 1605994897
-transform 1 0 -4455 0 1 9372
-box -338 -497 338 497
-use sky130_fd_pr__pfet_g5v0d10v5_6E4TNU  sky130_fd_pr__pfet_g5v0d10v5_6E4TNU_3
-timestamp 1605994897
-transform 1 0 -3969 0 1 9372
-box -338 -497 338 497
-use sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC  sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_0
-timestamp 1605994897
-transform 1 0 -5188 0 1 8300
-box -308 -458 308 458
-use sky130_fd_pr__pfet_g5v0d10v5_6E4TNU  sky130_fd_pr__pfet_g5v0d10v5_6E4TNU_4
-timestamp 1605994897
-transform 1 0 -1689 0 1 9372
+use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_2
+timestamp 1606063140
+transform 1 0 -1303 0 1 9372
 box -338 -497 338 497
 use sky130_fd_sc_hvl__schmittbuf_1  sky130_fd_sc_hvl__schmittbuf_1_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1605995445
-transform 1 0 -790 0 1 7935
+timestamp 1606075443
+transform 1 0 -480 0 1 7935
 box -66 -23 1122 897
 use sky130_fd_sc_hvl__buf_8  sky130_fd_sc_hvl__buf_8_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1605995445
-transform 1 0 266 0 1 7935
+timestamp 1606075443
+transform 1 0 576 0 1 7935
 box -66 -23 1986 897
 use sky130_fd_sc_hvl__buf_8  sky130_fd_sc_hvl__buf_8_1
-timestamp 1605995445
-transform 1 0 -790 0 -1 9703
+timestamp 1606075443
+transform 1 0 -470 0 1 8969
 box -66 -23 1986 897
 use sky130_fd_sc_hvl__fill_4  sky130_fd_sc_hvl__fill_4_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1605995445
-transform 1 0 2186 0 1 7935
+timestamp 1606075443
+transform 1 0 2496 0 1 7935
 box -66 -23 450 897
 use sky130_fd_sc_hvl__inv_8  sky130_fd_sc_hvl__inv_8_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1605995445
-transform 1 0 1130 0 -1 9703
+timestamp 1606075443
+transform 1 0 1450 0 1 8969
 box -66 -23 1506 897
-use sky130_fd_pr__res_xhigh_po_0p69_LV2JUS  sky130_fd_pr__res_xhigh_po_0p69_LV2JUS_0
-timestamp 1605923309
-transform 1 0 -2502 0 1 4628
+use sky130_fd_pr__res_xhigh_po_0p69_S5N9F3  sky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0
+timestamp 1606074388
+transform 1 0 -2501 0 1 4629
 box -5446 -3098 5446 3098
-use sky130_fd_pr__cap_mim_m3_2_N249RX  sky130_fd_pr__cap_mim_m3_2_N249RX_0
-timestamp 1605923309
-transform 1 0 -77 0 1 4682
-box -3379 -3101 3401 3101
 use sky130_fd_pr__cap_mim_m3_1_N249RX  sky130_fd_pr__cap_mim_m3_1_N249RX_0
 timestamp 1605923309
-transform -1 0 -450 0 1 4682
+transform -1 0 -650 0 1 4682
 box -3186 -3100 3186 3100
+use sky130_fd_pr__cap_mim_m3_2_N249RX  sky130_fd_pr__cap_mim_m3_2_N249RX_0
+timestamp 1605923309
+transform 1 0 -177 0 1 4682
+box -3379 -3101 3401 3101
 << labels >>
-rlabel metal3 s 3560 3862 4360 3982 6 vss
-port 2 nsew default input
-rlabel metal2 s 2824 8538 2880 9338 6 porb_h
-port 0 nsew default tristate
-rlabel metal2 s 3028 8538 3084 9338 6 porb_l
-port 0 nsew default tristate
-rlabel metal2 s 3232 8538 3288 9338 6 por_l
-port 0 nsew default tristate
-rlabel metal2 s 15 581 71 1381 6 vdd3v3
-port 1 nsew default input
-rlabel metal2 s 219 581 275 1381 6 vdd1v8
-port 1 nsew default input
+flabel metal2 520 8895 520 8895 0 FreeSans 320 0 0 0 out
+flabel metal4 s -7909 9496 -7874 9814 0 FreeSans 320 0 0 0 vdd3v3
+port 0 nsew
+flabel metal4 s -7909 8786 -7715 9186 0 FreeSans 320 0 0 0 vss
+port 2 nsew
+flabel metal3 3022 8296 3395 8365 0 FreeSans 320 0 0 0 porb_h
+port 3 nsew
+flabel metal4 s 3027 9493 3233 9822 0 FreeSans 320 0 0 0 vdd1v8
+port 1 nsew
+flabel metal3 3242 9022 3397 9082 0 FreeSans 320 0 0 0 por_l
+port 4 nsew
+flabel metal3 3241 9387 3396 9447 0 FreeSans 320 0 0 0 porb_l
+port 5 nsew
 << properties >>
 string FIXED_BBOX 0 0 4360 9164
 << end >>
diff --git a/mag/simple_por.spice b/mag/simple_por.spice
new file mode 100644
index 0000000..fe583f8
--- /dev/null
+++ b/mag/simple_por.spice
@@ -0,0 +1,215 @@
+* NGSPICE file created from simple_por.ext - technology: sky130A
+
+.subckt sky130_fd_sc_hvl__buf_8 A VGND VNB VPB VPWR X
+X0 VPWR A a_45_443# VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X1 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X2 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X3 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X4 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X5 a_45_443# A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X6 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X7 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X8 VGND A a_45_443# VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X9 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X10 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X11 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X12 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X13 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X14 VPWR A a_45_443# VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X15 a_45_443# A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X16 VGND A a_45_443# VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X17 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X18 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X19 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X20 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X21 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+.ends
+
+.subckt sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ VSUBS a_n465_n200# a_n247_n200# a_n29_n200#
++ a_843_n200# w_n1101_n497# a_n843_n297# a_625_n200# a_683_n297# a_n625_n297# a_407_n200#
++ a_465_n297# a_n407_n297# a_247_n297# a_n901_n200# a_189_n200# a_29_n297# a_n189_n297#
++ a_n683_n200#
+X0 a_407_n200# a_247_n297# a_189_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+X1 a_843_n200# a_683_n297# a_625_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+X2 a_n465_n200# a_n625_n297# a_n683_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+X3 a_189_n200# a_29_n297# a_n29_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+X4 a_625_n200# a_465_n297# a_407_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+X5 a_n247_n200# a_n407_n297# a_n465_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+X6 a_n683_n200# a_n843_n297# a_n901_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+X7 a_n29_n200# a_n189_n297# a_n247_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+.ends
+
+.subckt sky130_fd_pr__nfet_g5v0d10v5_TGFUGS VSUBS a_n80_n288# a_n574_n200# a_n356_n200#
++ a_n138_n200# a_n734_n288# a_574_n288# a_n516_n288# a_356_n288# a_80_n200# a_n298_n288#
++ a_138_n288# w_n962_n458# a_734_n200# a_516_n200# a_298_n200# a_n792_n200#
+X0 a_516_n200# a_356_n288# a_298_n200# VSUBS sky130_fd_pr__nfet_g5v0d10v5 w=2e+06u l=800000u
+X1 a_n574_n200# a_n734_n288# a_n792_n200# VSUBS sky130_fd_pr__nfet_g5v0d10v5 w=2e+06u l=800000u
+X2 a_298_n200# a_138_n288# a_80_n200# VSUBS sky130_fd_pr__nfet_g5v0d10v5 w=2e+06u l=800000u
+X3 a_80_n200# a_n80_n288# a_n138_n200# VSUBS sky130_fd_pr__nfet_g5v0d10v5 w=2e+06u l=800000u
+X4 a_734_n200# a_574_n288# a_516_n200# VSUBS sky130_fd_pr__nfet_g5v0d10v5 w=2e+06u l=800000u
+X5 a_n356_n200# a_n516_n288# a_n574_n200# VSUBS sky130_fd_pr__nfet_g5v0d10v5 w=2e+06u l=800000u
+X6 a_n138_n200# a_n298_n288# a_n356_n200# VSUBS sky130_fd_pr__nfet_g5v0d10v5 w=2e+06u l=800000u
+.ends
+
+.subckt sky130_fd_pr__res_xhigh_po_0p69_S5N9F3 VSUBS a_n2578_n2932# a_5142_2500# a_n1034_n2932#
++ a_n262_2500# a_1668_2500# a_n262_n2932# a_n3736_2500# a_3984_n2932# a_n2192_2500#
++ a_3984_2500# a_2440_n2932# a_2440_2500# a_4370_n2932# a_3598_2500# a_2054_2500#
++ a_n4508_n2932# a_510_2500# a_n4122_2500# a_n2964_n2932# a_124_2500# a_n4894_n2932#
++ a_1282_n2932# a_124_n2932# a_n1420_n2932# a_4370_2500# a_n3350_n2932# a_n648_n2932#
++ a_n648_2500# a_n5280_n2932# a_n1420_2500# a_n2964_2500# a_n2578_2500# a_n1034_2500#
++ a_2826_n2932# a_n2192_n2932# a_2826_2500# a_4756_n2932# w_n5446_n3098# a_1282_2500#
++ a_3212_n2932# a_n4894_2500# a_n3350_2500# a_n4508_2500# a_5142_n2932# a_896_2500#
++ a_510_n2932# a_1668_n2932# a_n1806_n2932# a_4756_2500# a_n3736_n2932# a_3598_n2932#
++ a_3212_2500# a_2054_n2932# a_896_n2932# a_n5280_2500# a_n4122_n2932# a_n1806_2500#
+X0 a_n3350_n2932# a_n3350_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X1 a_n4508_n2932# a_n4508_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X2 a_n2578_n2932# a_n2578_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X3 a_n1420_n2932# a_n1420_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X4 a_n4894_n2932# a_n4894_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X5 a_n3736_n2932# a_n3736_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X6 a_3598_n2932# a_3598_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X7 a_124_n2932# a_124_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X8 a_4756_n2932# a_4756_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X9 a_n2964_n2932# a_n2964_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X10 a_1668_n2932# a_1668_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X11 a_n1806_n2932# a_n1806_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X12 a_n648_n2932# a_n648_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X13 a_3984_n2932# a_3984_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X14 a_2826_n2932# a_2826_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X15 a_510_n2932# a_510_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X16 a_n4122_n2932# a_n4122_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X17 a_n2192_n2932# a_n2192_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X18 a_5142_n2932# a_5142_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X19 a_n1034_n2932# a_n1034_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X20 a_2054_n2932# a_2054_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X21 a_4370_n2932# a_4370_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X22 a_3212_n2932# a_3212_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X23 a_1282_n2932# a_1282_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X24 a_n262_n2932# a_n262_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X25 a_n5280_n2932# a_n5280_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X26 a_2440_n2932# a_2440_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X27 a_896_n2932# a_896_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+.ends
+
+.subckt sky130_fd_pr__pfet_g5v0d10v5_3YBPVB VSUBS a_n138_n200# w_n338_n497# a_80_n200#
++ a_n80_n297#
+X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_2_N249RX VSUBS c2_n3279_n3000# m4_n3379_n3100#
+X0 c2_n3279_n3000# m4_n3379_n3100# sky130_fd_pr__cap_mim_m3_2 l=3e+07u w=3e+07u
+.ends
+
+.subckt sky130_fd_sc_hvl__schmittbuf_1 A VGND VNB VPB VPWR X
+R0 a_64_207# VPWR sky130_fd_pr__res_generic_pd__hv w=290000u l=3.11e+06u
+X0 a_231_463# A a_117_181# VPB sky130_fd_pr__pfet_g5v0d10v5 w=750000u l=500000u
+X1 a_217_207# A a_117_181# VNB sky130_fd_pr__nfet_g5v0d10v5 w=420000u l=500000u
+X2 VPWR A a_231_463# VPB sky130_fd_pr__pfet_g5v0d10v5 w=750000u l=500000u
+X3 a_217_207# a_117_181# a_64_207# VNB sky130_fd_pr__nfet_g5v0d10v5 w=420000u l=500000u
+X4 X a_117_181# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+R1 a_78_463# VGND sky130_fd_pr__res_generic_nd__hv w=290000u l=1.355e+06u
+X5 X a_117_181# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X6 VGND A a_217_207# VNB sky130_fd_pr__nfet_g5v0d10v5 w=420000u l=500000u
+X7 a_231_463# a_117_181# a_78_463# VPB sky130_fd_pr__pfet_g5v0d10v5 w=750000u l=500000u
+.ends
+
+.subckt sky130_fd_pr__pfet_g5v0d10v5_YUHPXE VSUBS a_n138_n200# w_n338_n497# a_80_n200#
++ a_n80_n297#
+X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+.ends
+
+.subckt sky130_fd_pr__nfet_g5v0d10v5_PKVMTM VSUBS a_n80_n288# a_n138_n200# a_80_n200#
++ w_n308_n458#
+X0 a_80_n200# a_n80_n288# a_n138_n200# VSUBS sky130_fd_pr__nfet_g5v0d10v5 w=2e+06u l=800000u
+.ends
+
+.subckt sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC VSUBS a_n80_n288# a_n138_n200# a_80_n200#
++ w_n308_n458#
+X0 a_80_n200# a_n80_n288# a_n138_n200# VSUBS sky130_fd_pr__nfet_g5v0d10v5 w=2e+06u l=800000u
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_1_N249RX VSUBS m3_n3186_n3100# c1_n3086_n3000#
+X0 c1_n3086_n3000# m3_n3186_n3100# sky130_fd_pr__cap_mim_m3_1 l=3e+07u w=3e+07u
+.ends
+
+.subckt sky130_fd_pr__pfet_g5v0d10v5_YEUEBV VSUBS w_n992_n497# a_n574_n200# a_n356_n200#
++ a_n138_n200# a_80_n200# a_n80_n297# a_734_n200# a_n734_n297# a_516_n200# a_574_n297#
++ a_n516_n297# a_356_n297# a_298_n200# a_n298_n297# a_138_n297# a_n792_n200#
+X0 a_734_n200# a_574_n297# a_516_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+X1 a_n356_n200# a_n516_n297# a_n574_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+X2 a_n138_n200# a_n298_n297# a_n356_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+X3 a_516_n200# a_356_n297# a_298_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+X4 a_n574_n200# a_n734_n297# a_n792_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+X5 a_298_n200# a_138_n297# a_80_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+X6 a_80_n200# a_n80_n297# a_n138_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+.ends
+
+.subckt sky130_fd_pr__pfet_g5v0d10v5_YUHPBG VSUBS a_n138_n200# w_n338_n497# a_80_n200#
++ a_n80_n297#
+X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
+.ends
+
+.subckt sky130_fd_sc_hvl__inv_8 A VGND VNB VPB VPWR Y
+X0 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X1 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X2 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X3 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X4 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X5 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X6 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X7 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X8 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X9 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X10 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X11 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X12 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X13 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X14 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X15 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+.ends
+
+.subckt simple_por vdd3v3 vdd1v8 vss porb_h por_l porb_l
+Xsky130_fd_sc_hvl__buf_8_1 out vss vss vdd1v8 vdd1v8 porb_l sky130_fd_sc_hvl__buf_8
+Xsky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ_0 vss vdd3v3 m1_n7445_9184# vdd3v3 vdd3v3 vdd3v3
++ m1_n7445_9184# m1_n7445_9184# m1_n7445_9184# m1_n7445_9184# vdd3v3 m1_n7445_9184#
++ m1_n7445_9184# m1_n7445_9184# vdd3v3 m1_n7445_9184# m1_n7445_9184# m1_n7445_9184#
++ m1_n7445_9184# sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ
+Xsky130_fd_pr__nfet_g5v0d10v5_TGFUGS_0 vss m1_n7226_8346# vss m1_n7226_8346# vss m1_n7226_8346#
++ m1_n7226_8346# m1_n7226_8346# m1_n7226_8346# m1_n7226_8346# m1_n7226_8346# m1_n7226_8346#
++ vss vss m1_n7226_8346# vss m1_n7226_8346# sky130_fd_pr__nfet_g5v0d10v5_TGFUGS
+Xsky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0 vss li_n5012_1696# vss li_n3468_1696# li_n3081_7344#
++ li_n765_7344# li_n2696_1696# li_n6169_7344# li_1164_1696# li_n4625_7344# li_1551_7344#
++ li_n380_1696# li_7_7344# li_1936_1696# li_779_7344# li_n765_7344# li_n7328_1696#
++ li_n2309_7344# li_n6941_7344# li_n5784_1696# li_n2309_7344# li_n7328_1696# li_n1152_1696#
++ li_n2696_1696# li_n4240_1696# li_1551_7344# li_n5784_1696# li_n3468_1696# li_n3081_7344#
++ vss li_n3853_7344# li_n5397_7344# li_n5397_7344# li_n3853_7344# li_392_1696# li_n5012_1696#
++ li_7_7344# li_1936_1696# vss li_n1537_7344# li_392_1696# vss li_n6169_7344# li_n6941_7344#
++ vss li_n1537_7344# li_n1924_1696# li_n1152_1696# li_n4240_1696# vdd3v3 li_n6556_1696#
++ li_1164_1696# li_779_7344# li_n380_1696# li_n1924_1696# vss li_n6556_1696# li_n4625_7344#
++ sky130_fd_pr__res_xhigh_po_0p69_S5N9F3
+Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_0 vss m1_n4954_9189# vdd3v3 m1_n7226_8346# m1_n7762_8104#
++ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
+Xsky130_fd_pr__cap_mim_m3_2_N249RX_0 vss vss sky130_fd_sc_hvl__schmittbuf_1_0/A sky130_fd_pr__cap_mim_m3_2_N249RX
+Xsky130_fd_sc_hvl__schmittbuf_1_0 sky130_fd_sc_hvl__schmittbuf_1_0/A vss vss vdd3v3
++ vdd3v3 out sky130_fd_sc_hvl__schmittbuf_1
+Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_1 vss m1_n5191_8104# vdd3v3 m1_n3664_9612# m1_n5191_8104#
++ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
+Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_2 vss m1_n1698_9221# vdd3v3 sky130_fd_sc_hvl__schmittbuf_1_0/A
++ m1_n5191_8104# sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
+Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_3 vss m1_n7762_8104# vdd3v3 m1_n7445_9184# m1_n7762_8104#
++ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
+Xsky130_fd_pr__pfet_g5v0d10v5_YUHPXE_0 vss vdd3v3 vdd3v3 m1_n1698_9221# m1_n3664_9612#
++ sky130_fd_pr__pfet_g5v0d10v5_YUHPXE
+Xsky130_fd_pr__nfet_g5v0d10v5_PKVMTM_0 vss m1_n7226_8346# vss m1_n5191_8104# vss sky130_fd_pr__nfet_g5v0d10v5_PKVMTM
+Xsky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_1 vss li_n5397_7344# vss m1_n7762_8104# vss sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC
+Xsky130_fd_pr__cap_mim_m3_1_N249RX_0 vss vss sky130_fd_sc_hvl__schmittbuf_1_0/A sky130_fd_pr__cap_mim_m3_1_N249RX
+Xsky130_fd_pr__pfet_g5v0d10v5_YEUEBV_0 vss vdd3v3 m1_n3664_9612# vdd3v3 m1_n3664_9612#
++ vdd3v3 m1_n3664_9612# m1_n3664_9612# m1_n3664_9612# vdd3v3 m1_n3664_9612# m1_n3664_9612#
++ m1_n3664_9612# m1_n3664_9612# m1_n3664_9612# m1_n3664_9612# vdd3v3 sky130_fd_pr__pfet_g5v0d10v5_YEUEBV
+Xsky130_fd_pr__pfet_g5v0d10v5_YUHPBG_0 vss vdd3v3 vdd3v3 m1_n4954_9189# m1_n7445_9184#
++ sky130_fd_pr__pfet_g5v0d10v5_YUHPBG
+Xsky130_fd_sc_hvl__inv_8_0 out vss vss vdd1v8 vdd1v8 por_l sky130_fd_sc_hvl__inv_8
+Xsky130_fd_sc_hvl__fill_4_0 vss vss vdd3v3 vdd3v3 sky130_fd_sc_hvl__fill_4
+Xsky130_fd_sc_hvl__buf_8_0 out vss vss vdd3v3 vdd3v3 porb_h sky130_fd_sc_hvl__buf_8
+.ends
+
diff --git a/mag/sky130_fd_pr__nfet_g5v0d10v5_PKVMTM.mag b/mag/sky130_fd_pr__nfet_g5v0d10v5_PKVMTM.mag
new file mode 100644
index 0000000..7be65d4
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_g5v0d10v5_PKVMTM.mag
@@ -0,0 +1,98 @@
+magic
+tech sky130A
+timestamp 1606063140
+<< pwell >>
+rect -154 -229 154 229
+<< mvnmos >>
+rect -40 -100 40 100
+<< mvndiff >>
+rect -69 94 -40 100
+rect -69 -94 -63 94
+rect -46 -94 -40 94
+rect -69 -100 -40 -94
+rect 40 94 69 100
+rect 40 -94 46 94
+rect 63 -94 69 94
+rect 40 -100 69 -94
+<< mvndiffc >>
+rect -63 -94 -46 94
+rect 46 -94 63 94
+<< mvpsubdiff >>
+rect -136 205 136 211
+rect -136 188 -82 205
+rect 82 188 136 205
+rect -136 182 136 188
+rect -136 -182 -107 182
+rect 107 157 136 182
+rect 107 -157 113 157
+rect 130 -157 136 157
+rect 107 -182 136 -157
+rect -136 -188 136 -182
+rect -136 -205 -82 -188
+rect 82 -205 136 -188
+rect -136 -211 136 -205
+<< mvpsubdiffcont >>
+rect -82 188 82 205
+rect 113 -157 130 157
+rect -82 -205 82 -188
+<< poly >>
+rect -40 136 40 144
+rect -40 119 -32 136
+rect 32 119 40 136
+rect -40 100 40 119
+rect -40 -119 40 -100
+rect -40 -136 -32 -119
+rect 32 -136 40 -119
+rect -40 -144 40 -136
+<< polycont >>
+rect -32 119 32 136
+rect -32 -136 32 -119
+<< locali >>
+rect -130 188 -82 205
+rect 82 188 130 205
+rect -130 -19 -113 188
+rect 113 157 130 188
+rect -40 119 -32 136
+rect 32 119 40 136
+rect -63 94 -46 102
+rect -63 -102 -46 -94
+rect 46 94 63 102
+rect 46 -102 63 -94
+rect -40 -136 -32 -119
+rect 32 -136 40 -119
+rect 113 -188 130 -157
+rect -130 -205 -82 -188
+rect 82 -205 130 -188
+<< viali >>
+rect -32 119 32 136
+rect -130 -188 -113 -19
+rect -63 -94 -46 94
+rect 46 -94 63 94
+rect -32 -136 32 -119
+<< metal1 >>
+rect -38 136 38 139
+rect -38 119 -32 136
+rect 32 119 38 136
+rect -38 116 38 119
+rect -66 94 -43 100
+rect -133 -19 -110 -13
+rect -133 -188 -130 -19
+rect -113 -188 -110 -19
+rect -66 -94 -63 94
+rect -46 -94 -43 94
+rect -66 -100 -43 -94
+rect 43 94 66 100
+rect 43 -94 46 94
+rect 63 -94 66 94
+rect 43 -100 66 -94
+rect -38 -119 38 -116
+rect -38 -136 -32 -119
+rect 32 -136 38 -119
+rect -38 -139 38 -136
+rect -133 -194 -110 -188
+<< properties >>
+string gencell sky130_fd_pr__nfet_g5v0d10v5
+string FIXED_BBOX -121 -196 121 196
+string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 0 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl +45 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_g5v0d10v5_CWNXJM.mag b/mag/sky130_fd_pr__nfet_g5v0d10v5_TGFUGS.mag
similarity index 97%
rename from mag/sky130_fd_pr__nfet_g5v0d10v5_CWNXJM.mag
rename to mag/sky130_fd_pr__nfet_g5v0d10v5_TGFUGS.mag
index 03a812f..0fc9bf5 100644
--- a/mag/sky130_fd_pr__nfet_g5v0d10v5_CWNXJM.mag
+++ b/mag/sky130_fd_pr__nfet_g5v0d10v5_TGFUGS.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1605994897
+timestamp 1606063140
 << pwell >>
 rect -962 -458 962 458
 << mvnmos >>
@@ -202,6 +202,7 @@
 rect -914 -410 -818 -376
 rect 818 -410 914 -376
 << viali >>
+rect -914 -263 -880 263
 rect -718 238 -590 272
 rect -500 238 -372 272
 rect -282 238 -154 272
@@ -225,6 +226,9 @@
 rect 372 -272 500 -238
 rect 590 -272 718 -238
 << metal1 >>
+rect -920 263 -874 275
+rect -920 -263 -914 263
+rect -880 -263 -874 263
 rect -730 272 -578 278
 rect -730 238 -718 272
 rect -590 238 -578 272
@@ -285,6 +289,7 @@
 rect 740 -171 746 -21
 rect 780 -171 786 -21
 rect 740 -183 786 -171
+rect -920 -275 -874 -263
 rect -730 -238 -578 -232
 rect -730 -272 -718 -238
 rect -590 -272 -578 -238
@@ -316,6 +321,6 @@
 << properties >>
 string gencell sky130_fd_pr__nfet_g5v0d10v5
 string FIXED_BBOX -897 -393 897 393
-string parameters w 2.00 l 0.80 m 1 nf 7 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt} full_metal 1 viasrc +40 viadrn -40 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string parameters w 2.00 l 0.80 m 1 nf 7 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt} full_metal 1 viasrc +40 viadrn -40 viagate 100 viagb 0 viagr 0 viagl 70 viagt 0
 string library sky130
 << end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_6E4TNU.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_3YBPVB.mag
similarity index 88%
rename from mag/sky130_fd_pr__pfet_g5v0d10v5_6E4TNU.mag
rename to mag/sky130_fd_pr__pfet_g5v0d10v5_3YBPVB.mag
index ee60252..e0b0219 100644
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_6E4TNU.mag
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_3YBPVB.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1605994897
+timestamp 1606063140
 << nwell >>
 rect -338 -497 338 497
 << mvpmos >>
@@ -53,8 +53,8 @@
 rect -64 247 64 281
 rect -64 -281 64 -247
 << locali >>
-rect -260 385 -164 419
-rect 164 385 260 419
+rect -260 385 -181 419
+rect 181 385 260 419
 rect -260 323 -226 385
 rect 226 323 260 385
 rect -80 247 -64 281
@@ -70,11 +70,18 @@
 rect -260 -419 -164 -385
 rect 164 -419 260 -385
 << viali >>
+rect -181 385 -164 419
+rect -164 385 164 419
+rect 164 385 181 419
 rect -64 247 64 281
 rect -126 -188 -92 188
 rect 92 -188 126 188
 rect -64 -281 64 -247
 << metal1 >>
+rect -193 419 193 425
+rect -193 385 -181 419
+rect 181 385 193 419
+rect -193 379 193 385
 rect -76 281 76 287
 rect -76 247 -64 281
 rect 64 247 76 281
@@ -94,6 +101,6 @@
 << properties >>
 string gencell sky130_fd_pr__pfet_g5v0d10v5
 string FIXED_BBOX -243 -402 243 402
-string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl 0 viagr 0 viagt 0 viagb 0 viagate 100 viadrn 100 viasrc 100
+string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl 0 viagr 0 viagt 80 viagb 0 viagate 100 viadrn 100 viasrc 100
 string library sky130
 << end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_ZPXEXA.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_YEUEBV.mag
similarity index 94%
rename from mag/sky130_fd_pr__pfet_g5v0d10v5_ZPXEXA.mag
rename to mag/sky130_fd_pr__pfet_g5v0d10v5_YEUEBV.mag
index 098debe..08a17b0 100644
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_ZPXEXA.mag
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_YEUEBV.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1605995445
+timestamp 1606063140
 << nwell >>
 rect -992 -497 992 497
 << mvpmos >>
@@ -151,7 +151,6 @@
 << locali >>
 rect -914 385 -818 419
 rect 818 385 914 419
-rect -914 323 -880 385
 rect 880 323 914 385
 rect -734 247 -718 281
 rect -590 247 -574 281
@@ -202,6 +201,9 @@
 rect -914 -419 -818 -385
 rect 818 -419 914 -385
 << viali >>
+rect -792 385 792 419
+rect -914 323 -880 385
+rect -914 38 -880 323
 rect -718 247 -590 281
 rect -500 247 -372 281
 rect -282 247 -154 281
@@ -209,7 +211,6 @@
 rect 154 247 282 281
 rect 372 247 500 281
 rect 590 247 718 281
-rect -914 -52 -880 179
 rect -780 21 -746 171
 rect -562 -171 -528 -21
 rect -344 21 -310 171
@@ -226,6 +227,13 @@
 rect 372 -281 500 -247
 rect 590 -281 718 -247
 << metal1 >>
+rect -804 419 804 425
+rect -920 385 -874 397
+rect -920 38 -914 385
+rect -880 38 -874 385
+rect -804 385 -792 419
+rect 792 385 804 419
+rect -804 379 804 385
 rect -730 281 -578 287
 rect -730 247 -718 281
 rect -590 247 -578 281
@@ -254,9 +262,7 @@
 rect 578 247 590 281
 rect 718 247 730 281
 rect 578 241 730 247
-rect -920 179 -874 191
-rect -920 -52 -914 179
-rect -880 -52 -874 179
+rect -920 26 -874 38
 rect -786 171 -740 183
 rect -786 21 -780 171
 rect -746 21 -740 171
@@ -273,7 +279,6 @@
 rect 522 21 528 171
 rect 562 21 568 171
 rect 522 9 568 21
-rect -920 -64 -874 -52
 rect -568 -21 -522 -9
 rect -568 -171 -562 -21
 rect -528 -171 -522 -21
@@ -321,6 +326,6 @@
 << properties >>
 string gencell sky130_fd_pr__pfet_g5v0d10v5
 string FIXED_BBOX -897 -402 897 402
-string parameters w 2.00 l 0.80 m 1 nf 7 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl +30 viagr 0 viagt 0 viagb 0 viagate 100 viadrn -40 viasrc +40
+string parameters w 2.00 l 0.80 m 1 nf 7 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl -45 viagr 0 viagt 90 viagb 0 viagate 100 viadrn -40 viasrc +40
 string library sky130
 << end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_6E4TNU.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPBG.mag
similarity index 81%
copy from mag/sky130_fd_pr__pfet_g5v0d10v5_6E4TNU.mag
copy to mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPBG.mag
index ee60252..eb421da 100644
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_6E4TNU.mag
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPBG.mag
@@ -1,7 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1605994897
+timestamp 1606063140
+<< error_p >>
+rect -221 351 -220 397
+rect -193 379 -192 419
 << nwell >>
 rect -338 -497 338 497
 << mvpmos >>
@@ -53,9 +56,8 @@
 rect -64 247 64 281
 rect -64 -281 64 -247
 << locali >>
-rect -260 385 -164 419
-rect 164 385 260 419
-rect -260 323 -226 385
+rect -260 385 -181 419
+rect 181 385 260 419
 rect 226 323 260 385
 rect -80 247 -64 281
 rect 64 247 80 281
@@ -70,15 +72,28 @@
 rect -260 -419 -164 -385
 rect 164 -419 260 -385
 << viali >>
+rect -181 385 -164 419
+rect -164 385 164 419
+rect 164 385 181 419
+rect -260 323 -226 385
+rect -260 0 -226 323
 rect -64 247 64 281
 rect -126 -188 -92 188
 rect 92 -188 126 188
 rect -64 -281 64 -247
 << metal1 >>
+rect -193 419 193 425
+rect -266 385 -220 397
+rect -266 0 -260 385
+rect -226 0 -220 385
+rect -193 385 -181 419
+rect 181 385 193 419
+rect -193 379 193 385
 rect -76 281 76 287
 rect -76 247 -64 281
 rect 64 247 76 281
 rect -76 241 76 247
+rect -266 -12 -220 0
 rect -132 188 -86 200
 rect -132 -188 -126 188
 rect -92 -188 -86 188
@@ -94,6 +109,6 @@
 << properties >>
 string gencell sky130_fd_pr__pfet_g5v0d10v5
 string FIXED_BBOX -243 -402 243 402
-string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl 0 viagr 0 viagt 0 viagb 0 viagate 100 viadrn 100 viasrc 100
+string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl -50 viagr 0 viagt 80 viagb 0 viagate 100 viadrn 100 viasrc 100
 string library sky130
 << end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_6E4TNU.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPXE.mag
similarity index 81%
copy from mag/sky130_fd_pr__pfet_g5v0d10v5_6E4TNU.mag
copy to mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPXE.mag
index ee60252..19fe898 100644
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_6E4TNU.mag
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPXE.mag
@@ -1,7 +1,10 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1605994897
+timestamp 1606063140
+<< error_p >>
+rect -221 351 -220 397
+rect -193 379 -192 419
 << nwell >>
 rect -338 -497 338 497
 << mvpmos >>
@@ -53,9 +56,8 @@
 rect -64 247 64 281
 rect -64 -281 64 -247
 << locali >>
-rect -260 385 -164 419
-rect 164 385 260 419
-rect -260 323 -226 385
+rect -260 385 -181 419
+rect 181 385 260 419
 rect 226 323 260 385
 rect -80 247 -64 281
 rect 64 247 80 281
@@ -70,15 +72,28 @@
 rect -260 -419 -164 -385
 rect 164 -419 260 -385
 << viali >>
+rect -181 385 -164 419
+rect -164 385 164 419
+rect 164 385 181 419
+rect -260 323 -226 385
+rect -260 38 -226 323
 rect -64 247 64 281
 rect -126 -188 -92 188
 rect 92 -188 126 188
 rect -64 -281 64 -247
 << metal1 >>
+rect -193 419 193 425
+rect -266 385 -220 397
+rect -266 38 -260 385
+rect -226 38 -220 385
+rect -193 385 -181 419
+rect 181 385 193 419
+rect -193 379 193 385
 rect -76 281 76 287
 rect -76 247 -64 281
 rect 64 247 76 281
 rect -76 241 76 247
+rect -266 26 -220 38
 rect -132 188 -86 200
 rect -132 -188 -126 188
 rect -92 -188 -86 188
@@ -94,6 +109,6 @@
 << properties >>
 string gencell sky130_fd_pr__pfet_g5v0d10v5
 string FIXED_BBOX -243 -402 243 402
-string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl 0 viagr 0 viagt 0 viagb 0 viagate 100 viadrn 100 viasrc 100
+string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl -45 viagr 0 viagt 80 viagb 0 viagate 100 viadrn 100 viasrc 100
 string library sky130
 << end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_Z95PRA.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_Z95PRA.mag
deleted file mode 100644
index 855c656..0000000
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_Z95PRA.mag
+++ /dev/null
@@ -1,104 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1605995445
-<< nwell >>
-rect -338 -497 338 497
-<< mvpmos >>
-rect -80 -200 80 200
-<< mvpdiff >>
-rect -138 188 -80 200
-rect -138 -188 -126 188
-rect -92 -188 -80 188
-rect -138 -200 -80 -188
-rect 80 188 138 200
-rect 80 -188 92 188
-rect 126 -188 138 188
-rect 80 -200 138 -188
-<< mvpdiffc >>
-rect -126 -188 -92 188
-rect 92 -188 126 188
-<< mvnsubdiff >>
-rect -272 419 272 431
-rect -272 385 -164 419
-rect 164 385 272 419
-rect -272 373 272 385
-rect -272 323 -214 373
-rect -272 -323 -260 323
-rect -226 -323 -214 323
-rect 214 323 272 373
-rect -272 -373 -214 -323
-rect 214 -323 226 323
-rect 260 -323 272 323
-rect 214 -373 272 -323
-rect -272 -385 272 -373
-rect -272 -419 -164 -385
-rect 164 -419 272 -385
-rect -272 -431 272 -419
-<< mvnsubdiffcont >>
-rect -164 385 164 419
-rect -260 -323 -226 323
-rect 226 -323 260 323
-rect -164 -419 164 -385
-<< poly >>
-rect -80 281 80 297
-rect -80 247 -64 281
-rect 64 247 80 281
-rect -80 200 80 247
-rect -80 -247 80 -200
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect -80 -297 80 -281
-<< polycont >>
-rect -64 247 64 281
-rect -64 -281 64 -247
-<< locali >>
-rect -260 385 -164 419
-rect 164 385 260 419
-rect -260 323 -226 385
-rect 226 323 260 385
-rect -80 247 -64 281
-rect 64 247 80 281
-rect -126 188 -92 204
-rect -126 -204 -92 -188
-rect 92 188 126 204
-rect 92 -204 126 -188
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect -260 -385 -226 -323
-rect 226 -385 260 -323
-rect -260 -419 -164 -385
-rect 164 -419 260 -385
-<< viali >>
-rect -260 -52 -226 256
-rect -64 247 64 281
-rect -126 -188 -92 188
-rect 92 -188 126 188
-rect -64 -281 64 -247
-<< metal1 >>
-rect -76 281 76 287
-rect -266 256 -220 268
-rect -266 -52 -260 256
-rect -226 -52 -220 256
-rect -76 247 -64 281
-rect 64 247 76 281
-rect -76 241 76 247
-rect -266 -64 -220 -52
-rect -132 188 -86 200
-rect -132 -188 -126 188
-rect -92 -188 -86 188
-rect -132 -200 -86 -188
-rect 86 188 132 200
-rect 86 -188 92 188
-rect 126 -188 132 188
-rect 86 -200 132 -188
-rect -76 -247 76 -241
-rect -76 -281 -64 -247
-rect 64 -281 76 -247
-rect -76 -287 76 -281
-<< properties >>
-string gencell sky130_fd_pr__pfet_g5v0d10v5
-string FIXED_BBOX -243 -402 243 402
-string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl +40 viagr 0 viagt 0 viagb 0 viagate 100 viadrn 100 viasrc 100
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_6UDFJU.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ.mag
similarity index 95%
rename from mag/sky130_fd_pr__pfet_g5v0d10v5_6UDFJU.mag
rename to mag/sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ.mag
index f9c833b..b8eb64f 100644
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_6UDFJU.mag
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1605994897
+timestamp 1606063140
 << nwell >>
 rect -1101 -497 1101 497
 << mvpmos >>
@@ -167,7 +167,6 @@
 << locali >>
 rect -1023 385 -927 419
 rect 927 385 1023 419
-rect -1023 323 -989 385
 rect 989 323 1023 385
 rect -843 247 -827 281
 rect -699 247 -683 281
@@ -224,6 +223,9 @@
 rect -1023 -419 -927 -385
 rect 927 -419 1023 -385
 << viali >>
+rect -890 385 890 419
+rect -1023 323 -989 385
+rect -1023 0 -989 323
 rect -827 247 -699 281
 rect -609 247 -481 281
 rect -391 247 -263 281
@@ -250,6 +252,13 @@
 rect 481 -281 609 -247
 rect 699 -281 827 -247
 << metal1 >>
+rect -902 419 902 425
+rect -1029 385 -983 397
+rect -1029 0 -1023 385
+rect -989 0 -983 385
+rect -902 385 -890 419
+rect 890 385 902 419
+rect -902 379 902 385
 rect -839 281 -687 287
 rect -839 247 -827 281
 rect -699 247 -687 281
@@ -302,6 +311,7 @@
 rect 849 21 855 171
 rect 889 21 895 171
 rect 849 9 895 21
+rect -1029 -12 -983 0
 rect -677 -21 -631 -9
 rect -677 -171 -671 -21
 rect -637 -171 -631 -21
@@ -353,6 +363,6 @@
 << properties >>
 string gencell sky130_fd_pr__pfet_g5v0d10v5
 string FIXED_BBOX -1006 -402 1006 402
-string parameters w 2.00 l 0.80 m 1 nf 8 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl 0 viagr 0 viagt 0 viagb 0 viagate 100 viadrn -40 viasrc +40
+string parameters w 2.00 l 0.80 m 1 nf 8 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl -50 viagr 0 viagt 90 viagb 0 viagate 100 viadrn -40 viasrc +40
 string library sky130
 << end >>
diff --git a/mag/sky130_fd_pr__res_xhigh_po_0p69_LV2JUS.mag b/mag/sky130_fd_pr__res_xhigh_po_0p69_LV2JUS.mag
deleted file mode 100644
index 89f269c..0000000
--- a/mag/sky130_fd_pr__res_xhigh_po_0p69_LV2JUS.mag
+++ /dev/null
@@ -1,149 +0,0 @@
-magic
-tech sky130A
-timestamp 1605923309
-<< pwell >>
-rect -2723 -1549 2723 1549
-<< psubdiff >>
-rect -2705 1514 -2657 1531
-rect 2657 1514 2705 1531
-rect -2705 1483 -2688 1514
-rect 2688 1483 2705 1514
-rect -2705 -1514 -2688 -1483
-rect 2688 -1514 2705 -1483
-rect -2705 -1531 -2657 -1514
-rect 2657 -1531 2705 -1514
-<< psubdiffcont >>
-rect -2657 1514 2657 1531
-rect -2705 -1483 -2688 1483
-rect 2688 -1483 2705 1483
-rect -2657 -1531 2657 -1514
-<< xpolycontact >>
-rect -2640 1250 -2571 1466
-rect -2640 -1466 -2571 -1250
-rect -2447 1250 -2378 1466
-rect -2447 -1466 -2378 -1250
-rect -2254 1250 -2185 1466
-rect -2254 -1466 -2185 -1250
-rect -2061 1250 -1992 1466
-rect -2061 -1466 -1992 -1250
-rect -1868 1250 -1799 1466
-rect -1868 -1466 -1799 -1250
-rect -1675 1250 -1606 1466
-rect -1675 -1466 -1606 -1250
-rect -1482 1250 -1413 1466
-rect -1482 -1466 -1413 -1250
-rect -1289 1250 -1220 1466
-rect -1289 -1466 -1220 -1250
-rect -1096 1250 -1027 1466
-rect -1096 -1466 -1027 -1250
-rect -903 1250 -834 1466
-rect -903 -1466 -834 -1250
-rect -710 1250 -641 1466
-rect -710 -1466 -641 -1250
-rect -517 1250 -448 1466
-rect -517 -1466 -448 -1250
-rect -324 1250 -255 1466
-rect -324 -1466 -255 -1250
-rect -131 1250 -62 1466
-rect -131 -1466 -62 -1250
-rect 62 1250 131 1466
-rect 62 -1466 131 -1250
-rect 255 1250 324 1466
-rect 255 -1466 324 -1250
-rect 448 1250 517 1466
-rect 448 -1466 517 -1250
-rect 641 1250 710 1466
-rect 641 -1466 710 -1250
-rect 834 1250 903 1466
-rect 834 -1466 903 -1250
-rect 1027 1250 1096 1466
-rect 1027 -1466 1096 -1250
-rect 1220 1250 1289 1466
-rect 1220 -1466 1289 -1250
-rect 1413 1250 1482 1466
-rect 1413 -1466 1482 -1250
-rect 1606 1250 1675 1466
-rect 1606 -1466 1675 -1250
-rect 1799 1250 1868 1466
-rect 1799 -1466 1868 -1250
-rect 1992 1250 2061 1466
-rect 1992 -1466 2061 -1250
-rect 2185 1250 2254 1466
-rect 2185 -1466 2254 -1250
-rect 2378 1250 2447 1466
-rect 2378 -1466 2447 -1250
-rect 2571 1250 2640 1466
-rect 2571 -1466 2640 -1250
-<< xpolyres >>
-rect -2640 -1250 -2571 1250
-rect -2447 -1250 -2378 1250
-rect -2254 -1250 -2185 1250
-rect -2061 -1250 -1992 1250
-rect -1868 -1250 -1799 1250
-rect -1675 -1250 -1606 1250
-rect -1482 -1250 -1413 1250
-rect -1289 -1250 -1220 1250
-rect -1096 -1250 -1027 1250
-rect -903 -1250 -834 1250
-rect -710 -1250 -641 1250
-rect -517 -1250 -448 1250
-rect -324 -1250 -255 1250
-rect -131 -1250 -62 1250
-rect 62 -1250 131 1250
-rect 255 -1250 324 1250
-rect 448 -1250 517 1250
-rect 641 -1250 710 1250
-rect 834 -1250 903 1250
-rect 1027 -1250 1096 1250
-rect 1220 -1250 1289 1250
-rect 1413 -1250 1482 1250
-rect 1606 -1250 1675 1250
-rect 1799 -1250 1868 1250
-rect 1992 -1250 2061 1250
-rect 2185 -1250 2254 1250
-rect 2378 -1250 2447 1250
-rect 2571 -1250 2640 1250
-<< locali >>
-rect -2705 1514 -2657 1531
-rect 2657 1514 2705 1531
-rect -2705 1483 -2688 1514
-rect 2688 1483 2705 1514
-rect -2705 -1514 -2688 -1483
-rect 2688 -1514 2705 -1483
-rect -2705 -1531 -2657 -1514
-rect 2657 -1531 2705 -1514
-<< res0p69 >>
-rect -2641 -1251 -2570 1251
-rect -2448 -1251 -2377 1251
-rect -2255 -1251 -2184 1251
-rect -2062 -1251 -1991 1251
-rect -1869 -1251 -1798 1251
-rect -1676 -1251 -1605 1251
-rect -1483 -1251 -1412 1251
-rect -1290 -1251 -1219 1251
-rect -1097 -1251 -1026 1251
-rect -904 -1251 -833 1251
-rect -711 -1251 -640 1251
-rect -518 -1251 -447 1251
-rect -325 -1251 -254 1251
-rect -132 -1251 -61 1251
-rect 61 -1251 132 1251
-rect 254 -1251 325 1251
-rect 447 -1251 518 1251
-rect 640 -1251 711 1251
-rect 833 -1251 904 1251
-rect 1026 -1251 1097 1251
-rect 1219 -1251 1290 1251
-rect 1412 -1251 1483 1251
-rect 1605 -1251 1676 1251
-rect 1798 -1251 1869 1251
-rect 1991 -1251 2062 1251
-rect 2184 -1251 2255 1251
-rect 2377 -1251 2448 1251
-rect 2570 -1251 2641 1251
-<< properties >>
-string gencell sky130_fd_pr__res_xhigh_po_0p69
-string FIXED_BBOX -2696 -1522 2696 1522
-string parameters w 0.69 l 25.0 m 1 nx 28 wmin 0.690 lmin 0.50 rho 2000 val 72.811k dummy 0 dw 0.0 term 120 sterm 0.0 caplen 0 wmax 0.690 guard 1 glc 1 grc 1 gtc 1 gbc 1 compatible {sky130_fd_pr__res_xhigh_po_0p35  sky130_fd_pr__res_xhigh_po_0p69 sky130_fd_pr__res_xhigh_po_1p41  sky130_fd_pr__res_xhigh_po_2p85 sky130_fd_pr__res_xhigh_po_5p73} full_metal 1
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__res_xhigh_po_0p69_S5N9F3.mag b/mag/sky130_fd_pr__res_xhigh_po_0p69_S5N9F3.mag
new file mode 100644
index 0000000..5bd3cec
--- /dev/null
+++ b/mag/sky130_fd_pr__res_xhigh_po_0p69_S5N9F3.mag
@@ -0,0 +1,167 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1606074388
+<< pwell >>
+rect -5446 -3098 5446 3098
+<< psubdiff >>
+rect -5410 3028 -5314 3062
+rect 5314 3028 5410 3062
+rect -5410 2966 -5376 3028
+rect 5376 2966 5410 3028
+rect -5410 -3028 -5376 -2966
+rect 5376 -3028 5410 -2966
+rect -5410 -3062 -5314 -3028
+rect 5314 -3062 5410 -3028
+<< psubdiffcont >>
+rect -5314 3028 5314 3062
+rect -5410 -2966 -5376 2966
+rect 5376 -2966 5410 2966
+rect -5314 -3062 5314 -3028
+<< xpolycontact >>
+rect -5280 2500 -5142 2932
+rect -5280 -2932 -5142 -2500
+rect -4894 2500 -4756 2932
+rect -4894 -2932 -4756 -2500
+rect -4508 2500 -4370 2932
+rect -4508 -2932 -4370 -2500
+rect -4122 2500 -3984 2932
+rect -4122 -2932 -3984 -2500
+rect -3736 2500 -3598 2932
+rect -3736 -2932 -3598 -2500
+rect -3350 2500 -3212 2932
+rect -3350 -2932 -3212 -2500
+rect -2964 2500 -2826 2932
+rect -2964 -2932 -2826 -2500
+rect -2578 2500 -2440 2932
+rect -2578 -2932 -2440 -2500
+rect -2192 2500 -2054 2932
+rect -2192 -2932 -2054 -2500
+rect -1806 2500 -1668 2932
+rect -1806 -2932 -1668 -2500
+rect -1420 2500 -1282 2932
+rect -1420 -2932 -1282 -2500
+rect -1034 2500 -896 2932
+rect -1034 -2932 -896 -2500
+rect -648 2500 -510 2932
+rect -648 -2932 -510 -2500
+rect -262 2500 -124 2932
+rect -262 -2932 -124 -2500
+rect 124 2500 262 2932
+rect 124 -2932 262 -2500
+rect 510 2500 648 2932
+rect 510 -2932 648 -2500
+rect 896 2500 1034 2932
+rect 896 -2932 1034 -2500
+rect 1282 2500 1420 2932
+rect 1282 -2932 1420 -2500
+rect 1668 2500 1806 2932
+rect 1668 -2932 1806 -2500
+rect 2054 2500 2192 2932
+rect 2054 -2932 2192 -2500
+rect 2440 2500 2578 2932
+rect 2440 -2932 2578 -2500
+rect 2826 2500 2964 2932
+rect 2826 -2932 2964 -2500
+rect 3212 2500 3350 2932
+rect 3212 -2932 3350 -2500
+rect 3598 2500 3736 2932
+rect 3598 -2932 3736 -2500
+rect 3984 2500 4122 2932
+rect 3984 -2932 4122 -2500
+rect 4370 2500 4508 2932
+rect 4370 -2932 4508 -2500
+rect 4756 2500 4894 2932
+rect 4756 -2932 4894 -2500
+rect 5142 2500 5280 2932
+rect 5142 -2932 5280 -2500
+<< xpolyres >>
+rect -5280 -2500 -5142 2500
+rect -4894 -2500 -4756 2500
+rect -4508 -2500 -4370 2500
+rect -4122 -2500 -3984 2500
+rect -3736 -2500 -3598 2500
+rect -3350 -2500 -3212 2500
+rect -2964 -2500 -2826 2500
+rect -2578 -2500 -2440 2500
+rect -2192 -2500 -2054 2500
+rect -1806 -2500 -1668 2500
+rect -1420 -2500 -1282 2500
+rect -1034 -2500 -896 2500
+rect -648 -2500 -510 2500
+rect -262 -2500 -124 2500
+rect 124 -2500 262 2500
+rect 510 -2500 648 2500
+rect 896 -2500 1034 2500
+rect 1282 -2500 1420 2500
+rect 1668 -2500 1806 2500
+rect 2054 -2500 2192 2500
+rect 2440 -2500 2578 2500
+rect 2826 -2500 2964 2500
+rect 3212 -2500 3350 2500
+rect 3598 -2500 3736 2500
+rect 3984 -2500 4122 2500
+rect 4370 -2500 4508 2500
+rect 4756 -2500 4894 2500
+rect 5142 -2500 5280 2500
+<< locali >>
+rect -5410 3028 -5314 3062
+rect 5314 3028 5410 3062
+rect -5410 2966 -5376 3028
+rect 5376 2966 5410 3028
+rect -5410 -3028 -5376 -2966
+rect 5376 -3028 5410 -2966
+rect -5410 -3062 -5314 -3028
+rect 5314 -3062 5410 -3028
+<< viali >>
+rect -5410 -2725 -5376 2725
+rect 5376 -2725 5410 2725
+rect -4838 -3062 4838 -3028
+<< metal1 >>
+rect -5416 2725 -5370 2737
+rect -5416 -2725 -5410 2725
+rect -5376 -2725 -5370 2725
+rect -5416 -2737 -5370 -2725
+rect 5370 2725 5416 2737
+rect 5370 -2725 5376 2725
+rect 5410 -2725 5416 2725
+rect 5370 -2737 5416 -2725
+rect -4850 -3028 4850 -3022
+rect -4850 -3062 -4838 -3028
+rect 4838 -3062 4850 -3028
+rect -4850 -3068 4850 -3062
+<< res0p69 >>
+rect -5282 -2502 -5140 2502
+rect -4896 -2502 -4754 2502
+rect -4510 -2502 -4368 2502
+rect -4124 -2502 -3982 2502
+rect -3738 -2502 -3596 2502
+rect -3352 -2502 -3210 2502
+rect -2966 -2502 -2824 2502
+rect -2580 -2502 -2438 2502
+rect -2194 -2502 -2052 2502
+rect -1808 -2502 -1666 2502
+rect -1422 -2502 -1280 2502
+rect -1036 -2502 -894 2502
+rect -650 -2502 -508 2502
+rect -264 -2502 -122 2502
+rect 122 -2502 264 2502
+rect 508 -2502 650 2502
+rect 894 -2502 1036 2502
+rect 1280 -2502 1422 2502
+rect 1666 -2502 1808 2502
+rect 2052 -2502 2194 2502
+rect 2438 -2502 2580 2502
+rect 2824 -2502 2966 2502
+rect 3210 -2502 3352 2502
+rect 3596 -2502 3738 2502
+rect 3982 -2502 4124 2502
+rect 4368 -2502 4510 2502
+rect 4754 -2502 4896 2502
+rect 5140 -2502 5282 2502
+<< properties >>
+string gencell sky130_fd_pr__res_xhigh_po_0p69
+string FIXED_BBOX -5393 -3045 5393 3045
+string parameters w 0.69 l 25.0 m 1 nx 28 wmin 0.690 lmin 0.50 rho 2000 val 72.811k dummy 0 dw 0.0 term 120 sterm 0.0 caplen 0 wmax 0.690 guard 1 glc 1 grc 1 gtc 1 gbc 1 compatible {sky130_fd_pr__res_xhigh_po_0p35  sky130_fd_pr__res_xhigh_po_0p69 sky130_fd_pr__res_xhigh_po_1p41  sky130_fd_pr__res_xhigh_po_2p85 sky130_fd_pr__res_xhigh_po_5p73} full_metal 1 vias 0 viagb 90 viagt 0 viagl 90 viagr 90
+string library sky130
+<< end >>
diff --git a/ngspice/.simple_por.spice.swp b/ngspice/.simple_por.spice.swp
new file mode 100644
index 0000000..d42a22f
--- /dev/null
+++ b/ngspice/.simple_por.spice.swp
Binary files differ
diff --git a/ngspice/simple_por.spice b/ngspice/simple_por.spice
index 91dc66f..18065b8 100644
--- a/ngspice/simple_por.spice
+++ b/ngspice/simple_por.spice
@@ -24,49 +24,49 @@
 * Actual response of this circuit by ngspice simulation is 15ms.
 *-------------------------------------------------------------------
 
-.subckt simple_por vdda vccd vss por_h por_l porb_l
+.subckt simple_por vdd3v3 vdd1v8 vss porb_h por_l porb_l
 
 Xcap1 vcap vss sky130_fd_pr__cap_mim_m3_1 l=30 w=30
-Xcap2 vcap vss sky130_fd_pr__cap_mim_m3_2 l=30 w=30
+Xcap2 vss vcap sky130_fd_pr__cap_mim_m3_2 l=30 w=30
 
 * Note: 20 resistors of length 25um connected in series
-Xres1 vdda vin vss sky130_fd_pr__res_xhigh_po_0p69 l=500
+Xres1 vdd3v3 vin vss sky130_fd_pr__res_xhigh_po_0p69 l=500
 * Note: 6 resistors of length 25um connected in series
 Xres2 vin vss vss sky130_fd_pr__res_xhigh_po_0p69 l=150
 * Note: 2 dummy resistors of length 25um
 Xres3 vss vss vss sky130_fd_pr__res_xhigh_po_0p69 l=50
 
 * Triple current mirror, ratios 8:1, 7:1, and 7:1, with p-cascodes
-*   D     G     S     B
-Xm1 casc1 vin   vss   vss  sky130_fd_pr__nfet_g5v0d10v5 w=2 l=0.8 m=1
-Xc1 mir1  casc1 casc1 vdda sky130_fd_pr__pfet_g5v0d10v5 w=2 l=0.8 m=1
-Xm2 mir1  mir1  vdda  vdda sky130_fd_pr__pfet_g5v0d10v5 w=2 l=0.8 m=8
-Xm3 mir2  mir1  vdda  vdda sky130_fd_pr__pfet_g5v0d10v5 w=2 l=0.8 m=1
-Xc2 casc2 casc1 mir2  vdda sky130_fd_pr__pfet_g5v0d10v5 w=2 l=0.8 m=1 
-Xm4 casc2 casc2 vss   vss  sky130_fd_pr__nfet_g5v0d10v5 w=2 l=0.8 m=7
-Xm5 casc3 casc2 vss   vss  sky130_fd_pr__nfet_g5v0d10v5 w=2 l=0.8 m=1
-Xc3 mir3  casc3 casc3 vdda sky130_fd_pr__pfet_g5v0d10v5 w=2 l=0.8 m=1  
-Xm6 mir3  mir3  vdda  vdda sky130_fd_pr__pfet_g5v0d10v5 w=2 l=0.8 m=7
-Xm7 mir4  mir3  vdda  vdda sky130_fd_pr__pfet_g5v0d10v5 w=2 l=0.8 m=1
-Xc4 vcap  casc3 mir4  vdda sky130_fd_pr__pfet_g5v0d10v5 w=2 l=0.8 m=1 
+*   D     G     S      B
+Xm1 casc1 vin   vss    vss    sky130_fd_pr__nfet_g5v0d10v5 w=2 l=0.8 m=1
+Xc1 mir1  casc1 casc1  vdd3v3 sky130_fd_pr__pfet_g5v0d10v5 w=2 l=0.8 m=1
+Xm2 mir1  mir1  vdd3v3 vdd3v3 sky130_fd_pr__pfet_g5v0d10v5 w=2 l=0.8 m=8
+Xm3 mir2  mir1  vdd3v3 vdd3v3 sky130_fd_pr__pfet_g5v0d10v5 w=2 l=0.8 m=1
+Xc2 casc2 casc1 mir2   vdd3v3 sky130_fd_pr__pfet_g5v0d10v5 w=2 l=0.8 m=1 
+Xm4 casc2 casc2 vss    vss    sky130_fd_pr__nfet_g5v0d10v5 w=2 l=0.8 m=7
+Xm5 casc3 casc2 vss    vss    sky130_fd_pr__nfet_g5v0d10v5 w=2 l=0.8 m=1
+Xc3 mir3  casc3 casc3  vdd3v3 sky130_fd_pr__pfet_g5v0d10v5 w=2 l=0.8 m=1  
+Xm6 mir3  mir3  vdd3v3 vdd3v3 sky130_fd_pr__pfet_g5v0d10v5 w=2 l=0.8 m=7
+Xm7 mir4  mir3  vdd3v3 vdd3v3 sky130_fd_pr__pfet_g5v0d10v5 w=2 l=0.8 m=1
+Xc4 vcap  casc3 mir4   vdd3v3 sky130_fd_pr__pfet_g5v0d10v5 w=2 l=0.8 m=1 
 
 * Buffered with schmitt trigger buffer
-Xtrig vcap vss vss vdda vdda out sky130_fd_sc_hvl__schmittbuf_1
+Xtrig vcap vss vss vdd3v3 vdd3v3 out sky130_fd_sc_hvl__schmittbuf_1
 
 * High voltage output (buffer)
-Xbuf out vss vss vdda vdda por_h sky130_fd_sc_hvl__buf_8
+Xbuf out vss vss vdd3v3 vdd3v3 porb_h sky130_fd_sc_hvl__buf_8
 
 * Level shift down (buffer)
-Xlv1 out vss vss vccd vccd por_l sky130_fd_sc_hvl__buf_8
+Xlv1 out vss vss vdd1v8 vdd1v8 porb_l sky130_fd_sc_hvl__buf_8
 
 * Level shift down (inverter)
-Xlv2 out vss vss vccd vccd porb_l sky130_fd_sc_hvl__inv_8
+Xlv2 out vss vss vdd1v8 vdd1v8 por_l sky130_fd_sc_hvl__inv_8
 
 * Fill cell
-Xfill vss vss vccd vccd sky130_fd_sc_hvl__fill_4
+Xfill vss vss vdd3v3 vdd3v3 sky130_fd_sc_hvl__fill_4
 
 * No tap cell in library?
-* Xtap vdda vss sky130_fd_sc_hvl__tapvpwrvgnd_1
+* Xtap vdd3v3 vss sky130_fd_sc_hvl__tapvpwrvgnd_1
 
 .ends
 
diff --git a/ngspice/simple_por_tb.spice b/ngspice/simple_por_tb.spice
index 815f4fa..f982387 100644
--- a/ngspice/simple_por_tb.spice
+++ b/ngspice/simple_por_tb.spice
@@ -16,10 +16,10 @@
 Vpwr vdda vss DC=0 PWL(0.0 0 100u 0 5m 3.3)
 Vdig vccd vss DC=0 PWL(0.0 0 300u 0 5.3m 1.8)
 Rgnd vss 0 0.01
-Cload1 por_h  vss 1E-12
+Cload1 porb_h  vss 1E-12
 Cload2 por_l  vss 1E-12
 Cload3 porb_l vss 1E-12
-Xpor vdda vccd vss por_h por_l porb_l simple_por
+Xpor vdda vccd vss porb_h por_l porb_l simple_por
 *----------------------------
 
 *----------------------------
@@ -27,7 +27,7 @@
 *----------------------------
 .control
 tran 10u 20m
-plot por_h
+plot porb_h
 plot por_l
 plot porb_l
 .endc