Did most of the floorplanning work on the POR circuit;  only needs routing.
diff --git a/mag/simple_por.mag b/mag/simple_por.mag
index 2c8ff09..e7fb76c 100644
--- a/mag/simple_por.mag
+++ b/mag/simple_por.mag
@@ -1,951 +1,201 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1605064459
+timestamp 1605927685
+<< error_s >>
+rect -592 8620 -576 8678
+rect 26 8666 30 8678
+rect 38 8632 42 8666
+rect -404 8054 -392 8100
+rect -125 8074 -121 8108
+<< pwell >>
+rect -5312 7347 -5094 7557
+<< locali >>
+rect -7906 8982 -7699 9791
+rect -1438 8986 -1313 9791
+rect -4804 8982 -1313 8986
+rect -7906 8906 -1313 8982
+rect -7906 8836 -787 8906
+rect -4804 8732 -787 8836
+rect -7912 7919 -7737 8709
+rect -4804 8536 -1313 8732
+rect -4940 7919 -1313 8369
+rect -7912 7674 2913 7919
+rect -7912 7344 -7258 7674
+rect -6941 7344 -6554 7560
+rect -6169 7344 -5782 7560
+rect -5397 7546 -5010 7560
+rect -5397 7360 -5299 7546
+rect -5107 7360 -5010 7546
+rect -5397 7344 -5010 7360
+rect -4625 7344 -4238 7560
+rect -3853 7344 -3466 7560
+rect -3081 7344 -2694 7560
+rect -2309 7344 -1922 7560
+rect -1537 7344 -1150 7560
+rect -765 7344 -378 7560
+rect 7 7344 394 7560
+rect 779 7344 1166 7560
+rect 1551 7344 1938 7560
+rect 2709 7344 2890 7560
+rect -7896 1696 -7713 1912
+rect -7328 1696 -6941 1912
+rect -6556 1696 -6169 1912
+rect -5784 1696 -5397 1912
+rect -5012 1696 -4625 1912
+rect -4240 1696 -3853 1912
+rect -3468 1696 -3081 1912
+rect -2696 1696 -2309 1912
+rect -1924 1696 -1537 1912
+rect -1152 1696 -765 1912
+rect -380 1696 7 1912
+rect 392 1696 779 1912
+rect 1164 1696 1551 1912
+rect 1936 1696 2323 1912
+rect 2708 1696 2888 1912
 << viali >>
-rect 1931 5496 1965 5530
-rect 1547 5274 1581 5308
-rect 1931 4682 1965 4716
-rect 1547 4460 1581 4494
-rect 1451 3868 1485 3902
+rect -5299 7360 -5107 7546
+rect 2254 7128 2392 7560
 << metal1 >>
-rect 556 5724 3148 5749
-rect 556 5672 1298 5724
-rect 1350 5672 1362 5724
-rect 1414 5672 1426 5724
-rect 1478 5672 1490 5724
-rect 1542 5672 2162 5724
-rect 2214 5672 2226 5724
-rect 2278 5672 2290 5724
-rect 2342 5672 2354 5724
-rect 2406 5672 3148 5724
-rect 556 5647 3148 5672
-rect 764 5487 770 5539
-rect 822 5527 828 5539
-rect 1919 5530 1977 5536
-rect 1919 5527 1931 5530
-rect 822 5499 1931 5527
-rect 822 5487 828 5499
-rect 1919 5496 1931 5499
-rect 1965 5496 1977 5530
-rect 1919 5490 1977 5496
-rect 1532 5305 1538 5317
-rect 1493 5277 1538 5305
-rect 1532 5265 1538 5277
-rect 1590 5265 1596 5317
-rect 556 4910 3148 4935
-rect 556 4858 866 4910
-rect 918 4858 930 4910
-rect 982 4858 994 4910
-rect 1046 4858 1058 4910
-rect 1110 4858 1730 4910
-rect 1782 4858 1794 4910
-rect 1846 4858 1858 4910
-rect 1910 4858 1922 4910
-rect 1974 4858 2594 4910
-rect 2646 4858 2658 4910
-rect 2710 4858 2722 4910
-rect 2774 4858 2786 4910
-rect 2838 4858 3148 4910
-rect 556 4833 3148 4858
-rect 1532 4673 1538 4725
-rect 1590 4713 1596 4725
-rect 1919 4716 1977 4722
-rect 1919 4713 1931 4716
-rect 1590 4685 1931 4713
-rect 1590 4673 1596 4685
-rect 1919 4682 1931 4685
-rect 1965 4682 1977 4716
-rect 1919 4676 1977 4682
-rect 1535 4494 1593 4500
-rect 1535 4460 1547 4494
-rect 1581 4491 1593 4494
-rect 1628 4491 1634 4503
-rect 1581 4463 1634 4491
-rect 1581 4460 1593 4463
-rect 1535 4454 1593 4460
-rect 1628 4451 1634 4463
-rect 1686 4451 1692 4503
-rect 556 4096 3148 4121
-rect 556 4044 1298 4096
-rect 1350 4044 1362 4096
-rect 1414 4044 1426 4096
-rect 1478 4044 1490 4096
-rect 1542 4044 2162 4096
-rect 2214 4044 2226 4096
-rect 2278 4044 2290 4096
-rect 2342 4044 2354 4096
-rect 2406 4044 3148 4096
-rect 556 4019 3148 4044
-rect 1439 3902 1497 3908
-rect 1439 3868 1451 3902
-rect 1485 3899 1497 3902
-rect 1628 3899 1634 3911
-rect 1485 3871 1634 3899
-rect 1485 3868 1497 3871
-rect 1439 3862 1497 3868
-rect 1628 3859 1634 3871
-rect 1686 3859 1692 3911
-rect 556 3282 3148 3307
-rect 556 3230 866 3282
-rect 918 3230 930 3282
-rect 982 3230 994 3282
-rect 1046 3230 1058 3282
-rect 1110 3230 1730 3282
-rect 1782 3230 1794 3282
-rect 1846 3230 1858 3282
-rect 1910 3230 1922 3282
-rect 1974 3230 2594 3282
-rect 2646 3230 2658 3282
-rect 2710 3230 2722 3282
-rect 2774 3230 2786 3282
-rect 2838 3230 3148 3282
-rect 556 3205 3148 3230
-<< via1 >>
-rect 1298 5672 1350 5724
-rect 1362 5672 1414 5724
-rect 1426 5672 1478 5724
-rect 1490 5672 1542 5724
-rect 2162 5672 2214 5724
-rect 2226 5672 2278 5724
-rect 2290 5672 2342 5724
-rect 2354 5672 2406 5724
-rect 770 5487 822 5539
-rect 1538 5308 1590 5317
-rect 1538 5274 1547 5308
-rect 1547 5274 1581 5308
-rect 1581 5274 1590 5308
-rect 1538 5265 1590 5274
-rect 866 4858 918 4910
-rect 930 4858 982 4910
-rect 994 4858 1046 4910
-rect 1058 4858 1110 4910
-rect 1730 4858 1782 4910
-rect 1794 4858 1846 4910
-rect 1858 4858 1910 4910
-rect 1922 4858 1974 4910
-rect 2594 4858 2646 4910
-rect 2658 4858 2710 4910
-rect 2722 4858 2774 4910
-rect 2786 4858 2838 4910
-rect 1538 4673 1590 4725
-rect 1634 4451 1686 4503
-rect 1298 4044 1350 4096
-rect 1362 4044 1414 4096
-rect 1426 4044 1478 4096
-rect 1490 4044 1542 4096
-rect 2162 4044 2214 4096
-rect 2226 4044 2278 4096
-rect 2290 4044 2342 4096
-rect 2354 4044 2406 4096
-rect 1634 3859 1686 3911
-rect 866 3230 918 3282
-rect 930 3230 982 3282
-rect 994 3230 1046 3282
-rect 1058 3230 1110 3282
-rect 1730 3230 1782 3282
-rect 1794 3230 1846 3282
-rect 1858 3230 1910 3282
-rect 1922 3230 1974 3282
-rect 2594 3230 2646 3282
-rect 2658 3230 2710 3282
-rect 2722 3230 2774 3282
-rect 2786 3230 2838 3282
+rect -1167 9603 2564 9740
+rect -1167 9579 -783 9603
+rect -7662 9555 -7565 9557
+rect -7662 9170 -7534 9555
+rect -7220 9423 -5026 9559
+rect -7345 9184 -5310 9319
+rect -4854 9189 -4547 9335
+rect -7662 8091 -7565 9170
+rect -4361 8875 -4291 9566
+rect -5465 8805 -4291 8875
+rect -7502 7475 -7448 8564
+rect -7384 8276 -7213 8503
+rect -5465 8483 -5395 8805
+rect -4361 8804 -4291 8805
+rect -7126 8346 -5395 8483
+rect -5091 8696 -5021 8704
+rect -4148 8696 -4066 9575
+rect -3738 9403 -1776 9564
+rect -3881 9176 -2045 9336
+rect -5091 8605 -4066 8696
+rect -7384 8110 -5287 8276
+rect -7384 7864 -7213 8110
+rect -5091 8104 -5005 8605
+rect -1598 8249 -1516 9575
+rect -1167 7664 -943 9579
+rect -789 8765 2570 9020
+rect -789 8632 2734 8765
+rect 2569 8624 2734 8632
+rect -794 7916 2562 8053
+rect 2274 7801 2368 7805
+rect 2640 7801 2734 8624
+rect 2274 7707 2734 7801
+rect 2274 7576 2368 7707
+rect 2240 7560 2406 7576
+rect -5312 7546 -5094 7557
+rect -5312 7475 -5299 7546
+rect -7502 7421 -5299 7475
+rect -5312 7360 -5299 7421
+rect -5107 7360 -5094 7546
+rect -5312 7347 -5094 7360
+rect 2240 7128 2254 7560
+rect 2392 7128 2406 7560
+rect 2240 7113 2406 7128
 << metal2 >>
-rect 768 8364 824 9164
-rect 782 5545 810 8364
-rect 1272 5726 1568 5749
-rect 1328 5724 1352 5726
-rect 1408 5724 1432 5726
-rect 1488 5724 1512 5726
-rect 1350 5672 1352 5724
-rect 1414 5672 1426 5724
-rect 1488 5672 1490 5724
-rect 1328 5670 1352 5672
-rect 1408 5670 1432 5672
-rect 1488 5670 1512 5672
-rect 1272 5647 1568 5670
-rect 2136 5726 2432 5749
-rect 2192 5724 2216 5726
-rect 2272 5724 2296 5726
-rect 2352 5724 2376 5726
-rect 2214 5672 2216 5724
-rect 2278 5672 2290 5724
-rect 2352 5672 2354 5724
-rect 2192 5670 2216 5672
-rect 2272 5670 2296 5672
-rect 2352 5670 2376 5672
-rect 2136 5647 2432 5670
-rect 770 5539 822 5545
-rect 770 5481 822 5487
-rect 1538 5317 1590 5323
-rect 1538 5259 1590 5265
-rect 840 4912 1136 4935
-rect 896 4910 920 4912
-rect 976 4910 1000 4912
-rect 1056 4910 1080 4912
-rect 918 4858 920 4910
-rect 982 4858 994 4910
-rect 1056 4858 1058 4910
-rect 896 4856 920 4858
-rect 976 4856 1000 4858
-rect 1056 4856 1080 4858
-rect 840 4833 1136 4856
-rect 1550 4731 1578 5259
-rect 1704 4912 2000 4935
-rect 1760 4910 1784 4912
-rect 1840 4910 1864 4912
-rect 1920 4910 1944 4912
-rect 1782 4858 1784 4910
-rect 1846 4858 1858 4910
-rect 1920 4858 1922 4910
-rect 1760 4856 1784 4858
-rect 1840 4856 1864 4858
-rect 1920 4856 1944 4858
-rect 1704 4833 2000 4856
-rect 2568 4912 2864 4935
-rect 2624 4910 2648 4912
-rect 2704 4910 2728 4912
-rect 2784 4910 2808 4912
-rect 2646 4858 2648 4910
-rect 2710 4858 2722 4910
-rect 2784 4858 2786 4910
-rect 2624 4856 2648 4858
-rect 2704 4856 2728 4858
-rect 2784 4856 2808 4858
-rect 2568 4833 2864 4856
-rect 1538 4725 1590 4731
-rect 1538 4667 1590 4673
-rect 1634 4503 1686 4509
-rect 1634 4445 1686 4451
-rect 1272 4098 1568 4121
-rect 1328 4096 1352 4098
-rect 1408 4096 1432 4098
-rect 1488 4096 1512 4098
-rect 1350 4044 1352 4096
-rect 1414 4044 1426 4096
-rect 1488 4044 1490 4096
-rect 1328 4042 1352 4044
-rect 1408 4042 1432 4044
-rect 1488 4042 1512 4044
-rect 1272 4019 1568 4042
-rect 1646 3917 1674 4445
-rect 2136 4098 2432 4121
-rect 2192 4096 2216 4098
-rect 2272 4096 2296 4098
-rect 2352 4096 2376 4098
-rect 2214 4044 2216 4096
-rect 2278 4044 2290 4096
-rect 2352 4044 2354 4096
-rect 2192 4042 2216 4044
-rect 2272 4042 2296 4044
-rect 2352 4042 2376 4044
-rect 2136 4019 2432 4042
-rect 1634 3911 1686 3917
-rect 1634 3853 1686 3859
-rect 840 3284 1136 3307
-rect 896 3282 920 3284
-rect 976 3282 1000 3284
-rect 1056 3282 1080 3284
-rect 918 3230 920 3282
-rect 982 3230 994 3282
-rect 1056 3230 1058 3282
-rect 896 3228 920 3230
-rect 976 3228 1000 3230
-rect 1056 3228 1080 3230
-rect 840 3205 1136 3228
-rect 1704 3284 2000 3307
-rect 1760 3282 1784 3284
-rect 1840 3282 1864 3284
-rect 1920 3282 1944 3284
-rect 1782 3230 1784 3282
-rect 1846 3230 1858 3282
-rect 1920 3230 1922 3282
-rect 1760 3228 1784 3230
-rect 1840 3228 1864 3230
-rect 1920 3228 1944 3230
-rect 1704 3205 2000 3228
-rect 2568 3284 2864 3307
-rect 2624 3282 2648 3284
-rect 2704 3282 2728 3284
-rect 2784 3282 2808 3284
-rect 2646 3230 2648 3282
-rect 2710 3230 2722 3282
-rect 2784 3230 2786 3282
-rect 2624 3228 2648 3230
-rect 2704 3228 2728 3230
-rect 2784 3228 2808 3230
-rect 2568 3205 2864 3228
-rect 0 0 56 800
-<< via2 >>
-rect 1272 5724 1328 5726
-rect 1352 5724 1408 5726
-rect 1432 5724 1488 5726
-rect 1512 5724 1568 5726
-rect 1272 5672 1298 5724
-rect 1298 5672 1328 5724
-rect 1352 5672 1362 5724
-rect 1362 5672 1408 5724
-rect 1432 5672 1478 5724
-rect 1478 5672 1488 5724
-rect 1512 5672 1542 5724
-rect 1542 5672 1568 5724
-rect 1272 5670 1328 5672
-rect 1352 5670 1408 5672
-rect 1432 5670 1488 5672
-rect 1512 5670 1568 5672
-rect 2136 5724 2192 5726
-rect 2216 5724 2272 5726
-rect 2296 5724 2352 5726
-rect 2376 5724 2432 5726
-rect 2136 5672 2162 5724
-rect 2162 5672 2192 5724
-rect 2216 5672 2226 5724
-rect 2226 5672 2272 5724
-rect 2296 5672 2342 5724
-rect 2342 5672 2352 5724
-rect 2376 5672 2406 5724
-rect 2406 5672 2432 5724
-rect 2136 5670 2192 5672
-rect 2216 5670 2272 5672
-rect 2296 5670 2352 5672
-rect 2376 5670 2432 5672
-rect 840 4910 896 4912
-rect 920 4910 976 4912
-rect 1000 4910 1056 4912
-rect 1080 4910 1136 4912
-rect 840 4858 866 4910
-rect 866 4858 896 4910
-rect 920 4858 930 4910
-rect 930 4858 976 4910
-rect 1000 4858 1046 4910
-rect 1046 4858 1056 4910
-rect 1080 4858 1110 4910
-rect 1110 4858 1136 4910
-rect 840 4856 896 4858
-rect 920 4856 976 4858
-rect 1000 4856 1056 4858
-rect 1080 4856 1136 4858
-rect 1704 4910 1760 4912
-rect 1784 4910 1840 4912
-rect 1864 4910 1920 4912
-rect 1944 4910 2000 4912
-rect 1704 4858 1730 4910
-rect 1730 4858 1760 4910
-rect 1784 4858 1794 4910
-rect 1794 4858 1840 4910
-rect 1864 4858 1910 4910
-rect 1910 4858 1920 4910
-rect 1944 4858 1974 4910
-rect 1974 4858 2000 4910
-rect 1704 4856 1760 4858
-rect 1784 4856 1840 4858
-rect 1864 4856 1920 4858
-rect 1944 4856 2000 4858
-rect 2568 4910 2624 4912
-rect 2648 4910 2704 4912
-rect 2728 4910 2784 4912
-rect 2808 4910 2864 4912
-rect 2568 4858 2594 4910
-rect 2594 4858 2624 4910
-rect 2648 4858 2658 4910
-rect 2658 4858 2704 4910
-rect 2728 4858 2774 4910
-rect 2774 4858 2784 4910
-rect 2808 4858 2838 4910
-rect 2838 4858 2864 4910
-rect 2568 4856 2624 4858
-rect 2648 4856 2704 4858
-rect 2728 4856 2784 4858
-rect 2808 4856 2864 4858
-rect 1272 4096 1328 4098
-rect 1352 4096 1408 4098
-rect 1432 4096 1488 4098
-rect 1512 4096 1568 4098
-rect 1272 4044 1298 4096
-rect 1298 4044 1328 4096
-rect 1352 4044 1362 4096
-rect 1362 4044 1408 4096
-rect 1432 4044 1478 4096
-rect 1478 4044 1488 4096
-rect 1512 4044 1542 4096
-rect 1542 4044 1568 4096
-rect 1272 4042 1328 4044
-rect 1352 4042 1408 4044
-rect 1432 4042 1488 4044
-rect 1512 4042 1568 4044
-rect 2136 4096 2192 4098
-rect 2216 4096 2272 4098
-rect 2296 4096 2352 4098
-rect 2376 4096 2432 4098
-rect 2136 4044 2162 4096
-rect 2162 4044 2192 4096
-rect 2216 4044 2226 4096
-rect 2226 4044 2272 4096
-rect 2296 4044 2342 4096
-rect 2342 4044 2352 4096
-rect 2376 4044 2406 4096
-rect 2406 4044 2432 4096
-rect 2136 4042 2192 4044
-rect 2216 4042 2272 4044
-rect 2296 4042 2352 4044
-rect 2376 4042 2432 4044
-rect 840 3282 896 3284
-rect 920 3282 976 3284
-rect 1000 3282 1056 3284
-rect 1080 3282 1136 3284
-rect 840 3230 866 3282
-rect 866 3230 896 3282
-rect 920 3230 930 3282
-rect 930 3230 976 3282
-rect 1000 3230 1046 3282
-rect 1046 3230 1056 3282
-rect 1080 3230 1110 3282
-rect 1110 3230 1136 3282
-rect 840 3228 896 3230
-rect 920 3228 976 3230
-rect 1000 3228 1056 3230
-rect 1080 3228 1136 3230
-rect 1704 3282 1760 3284
-rect 1784 3282 1840 3284
-rect 1864 3282 1920 3284
-rect 1944 3282 2000 3284
-rect 1704 3230 1730 3282
-rect 1730 3230 1760 3282
-rect 1784 3230 1794 3282
-rect 1794 3230 1840 3282
-rect 1864 3230 1910 3282
-rect 1910 3230 1920 3282
-rect 1944 3230 1974 3282
-rect 1974 3230 2000 3282
-rect 1704 3228 1760 3230
-rect 1784 3228 1840 3230
-rect 1864 3228 1920 3230
-rect 1944 3228 2000 3230
-rect 2568 3282 2624 3284
-rect 2648 3282 2704 3284
-rect 2728 3282 2784 3284
-rect 2808 3282 2864 3284
-rect 2568 3230 2594 3282
-rect 2594 3230 2624 3282
-rect 2648 3230 2658 3282
-rect 2658 3230 2704 3282
-rect 2728 3230 2774 3282
-rect 2774 3230 2784 3282
-rect 2808 3230 2838 3282
-rect 2838 3230 2864 3282
-rect 2568 3228 2624 3230
-rect 2648 3228 2704 3230
-rect 2728 3228 2784 3230
-rect 2808 3228 2864 3230
+rect 2824 8538 2880 9338
+rect 3028 8538 3084 9338
+rect 3232 8538 3288 9338
+rect 2838 8440 2866 8538
+rect 3042 8440 3070 8538
+rect 3246 8440 3274 8538
+rect 15 581 71 1381
+rect 219 581 275 1381
 << metal3 >>
-rect 1260 5730 1580 5731
-rect 1260 5666 1268 5730
-rect 1332 5666 1348 5730
-rect 1412 5666 1428 5730
-rect 1492 5666 1508 5730
-rect 1572 5666 1580 5730
-rect 1260 5665 1580 5666
-rect 2124 5730 2444 5731
-rect 2124 5666 2132 5730
-rect 2196 5666 2212 5730
-rect 2276 5666 2292 5730
-rect 2356 5666 2372 5730
-rect 2436 5666 2444 5730
-rect 2124 5665 2444 5666
-rect 828 4916 1148 4917
-rect 828 4852 836 4916
-rect 900 4852 916 4916
-rect 980 4852 996 4916
-rect 1060 4852 1076 4916
-rect 1140 4852 1148 4916
-rect 828 4851 1148 4852
-rect 1692 4916 2012 4917
-rect 1692 4852 1700 4916
-rect 1764 4852 1780 4916
-rect 1844 4852 1860 4916
-rect 1924 4852 1940 4916
-rect 2004 4852 2012 4916
-rect 1692 4851 2012 4852
-rect 2556 4916 2876 4917
-rect 2556 4852 2564 4916
-rect 2628 4852 2644 4916
-rect 2708 4852 2724 4916
-rect 2788 4852 2804 4916
-rect 2868 4852 2876 4916
-rect 2556 4851 2876 4852
-rect 1260 4102 1580 4103
-rect 1260 4038 1268 4102
-rect 1332 4038 1348 4102
-rect 1412 4038 1428 4102
-rect 1492 4038 1508 4102
-rect 1572 4038 1580 4102
-rect 1260 4037 1580 4038
-rect 2124 4102 2444 4103
-rect 2124 4038 2132 4102
-rect 2196 4038 2212 4102
-rect 2276 4038 2292 4102
-rect 2356 4038 2372 4102
-rect 2436 4038 2444 4102
-rect 2124 4037 2444 4038
 rect 3560 3862 4360 3982
-rect 828 3288 1148 3289
-rect 828 3224 836 3288
-rect 900 3224 916 3288
-rect 980 3224 996 3288
-rect 1060 3224 1076 3288
-rect 1140 3224 1148 3288
-rect 828 3223 1148 3224
-rect 1692 3288 2012 3289
-rect 1692 3224 1700 3288
-rect 1764 3224 1780 3288
-rect 1844 3224 1860 3288
-rect 1924 3224 1940 3288
-rect 2004 3224 2012 3288
-rect 1692 3223 2012 3224
-rect 2556 3288 2876 3289
-rect 2556 3224 2564 3288
-rect 2628 3224 2644 3288
-rect 2708 3224 2724 3288
-rect 2788 3224 2804 3288
-rect 2868 3224 2876 3288
-rect 2556 3223 2876 3224
-<< via3 >>
-rect 1268 5726 1332 5730
-rect 1268 5670 1272 5726
-rect 1272 5670 1328 5726
-rect 1328 5670 1332 5726
-rect 1268 5666 1332 5670
-rect 1348 5726 1412 5730
-rect 1348 5670 1352 5726
-rect 1352 5670 1408 5726
-rect 1408 5670 1412 5726
-rect 1348 5666 1412 5670
-rect 1428 5726 1492 5730
-rect 1428 5670 1432 5726
-rect 1432 5670 1488 5726
-rect 1488 5670 1492 5726
-rect 1428 5666 1492 5670
-rect 1508 5726 1572 5730
-rect 1508 5670 1512 5726
-rect 1512 5670 1568 5726
-rect 1568 5670 1572 5726
-rect 1508 5666 1572 5670
-rect 2132 5726 2196 5730
-rect 2132 5670 2136 5726
-rect 2136 5670 2192 5726
-rect 2192 5670 2196 5726
-rect 2132 5666 2196 5670
-rect 2212 5726 2276 5730
-rect 2212 5670 2216 5726
-rect 2216 5670 2272 5726
-rect 2272 5670 2276 5726
-rect 2212 5666 2276 5670
-rect 2292 5726 2356 5730
-rect 2292 5670 2296 5726
-rect 2296 5670 2352 5726
-rect 2352 5670 2356 5726
-rect 2292 5666 2356 5670
-rect 2372 5726 2436 5730
-rect 2372 5670 2376 5726
-rect 2376 5670 2432 5726
-rect 2432 5670 2436 5726
-rect 2372 5666 2436 5670
-rect 836 4912 900 4916
-rect 836 4856 840 4912
-rect 840 4856 896 4912
-rect 896 4856 900 4912
-rect 836 4852 900 4856
-rect 916 4912 980 4916
-rect 916 4856 920 4912
-rect 920 4856 976 4912
-rect 976 4856 980 4912
-rect 916 4852 980 4856
-rect 996 4912 1060 4916
-rect 996 4856 1000 4912
-rect 1000 4856 1056 4912
-rect 1056 4856 1060 4912
-rect 996 4852 1060 4856
-rect 1076 4912 1140 4916
-rect 1076 4856 1080 4912
-rect 1080 4856 1136 4912
-rect 1136 4856 1140 4912
-rect 1076 4852 1140 4856
-rect 1700 4912 1764 4916
-rect 1700 4856 1704 4912
-rect 1704 4856 1760 4912
-rect 1760 4856 1764 4912
-rect 1700 4852 1764 4856
-rect 1780 4912 1844 4916
-rect 1780 4856 1784 4912
-rect 1784 4856 1840 4912
-rect 1840 4856 1844 4912
-rect 1780 4852 1844 4856
-rect 1860 4912 1924 4916
-rect 1860 4856 1864 4912
-rect 1864 4856 1920 4912
-rect 1920 4856 1924 4912
-rect 1860 4852 1924 4856
-rect 1940 4912 2004 4916
-rect 1940 4856 1944 4912
-rect 1944 4856 2000 4912
-rect 2000 4856 2004 4912
-rect 1940 4852 2004 4856
-rect 2564 4912 2628 4916
-rect 2564 4856 2568 4912
-rect 2568 4856 2624 4912
-rect 2624 4856 2628 4912
-rect 2564 4852 2628 4856
-rect 2644 4912 2708 4916
-rect 2644 4856 2648 4912
-rect 2648 4856 2704 4912
-rect 2704 4856 2708 4912
-rect 2644 4852 2708 4856
-rect 2724 4912 2788 4916
-rect 2724 4856 2728 4912
-rect 2728 4856 2784 4912
-rect 2784 4856 2788 4912
-rect 2724 4852 2788 4856
-rect 2804 4912 2868 4916
-rect 2804 4856 2808 4912
-rect 2808 4856 2864 4912
-rect 2864 4856 2868 4912
-rect 2804 4852 2868 4856
-rect 1268 4098 1332 4102
-rect 1268 4042 1272 4098
-rect 1272 4042 1328 4098
-rect 1328 4042 1332 4098
-rect 1268 4038 1332 4042
-rect 1348 4098 1412 4102
-rect 1348 4042 1352 4098
-rect 1352 4042 1408 4098
-rect 1408 4042 1412 4098
-rect 1348 4038 1412 4042
-rect 1428 4098 1492 4102
-rect 1428 4042 1432 4098
-rect 1432 4042 1488 4098
-rect 1488 4042 1492 4098
-rect 1428 4038 1492 4042
-rect 1508 4098 1572 4102
-rect 1508 4042 1512 4098
-rect 1512 4042 1568 4098
-rect 1568 4042 1572 4098
-rect 1508 4038 1572 4042
-rect 2132 4098 2196 4102
-rect 2132 4042 2136 4098
-rect 2136 4042 2192 4098
-rect 2192 4042 2196 4098
-rect 2132 4038 2196 4042
-rect 2212 4098 2276 4102
-rect 2212 4042 2216 4098
-rect 2216 4042 2272 4098
-rect 2272 4042 2276 4098
-rect 2212 4038 2276 4042
-rect 2292 4098 2356 4102
-rect 2292 4042 2296 4098
-rect 2296 4042 2352 4098
-rect 2352 4042 2356 4098
-rect 2292 4038 2356 4042
-rect 2372 4098 2436 4102
-rect 2372 4042 2376 4098
-rect 2376 4042 2432 4098
-rect 2432 4042 2436 4098
-rect 2372 4038 2436 4042
-rect 836 3284 900 3288
-rect 836 3228 840 3284
-rect 840 3228 896 3284
-rect 896 3228 900 3284
-rect 836 3224 900 3228
-rect 916 3284 980 3288
-rect 916 3228 920 3284
-rect 920 3228 976 3284
-rect 976 3228 980 3284
-rect 916 3224 980 3228
-rect 996 3284 1060 3288
-rect 996 3228 1000 3284
-rect 1000 3228 1056 3284
-rect 1056 3228 1060 3284
-rect 996 3224 1060 3228
-rect 1076 3284 1140 3288
-rect 1076 3228 1080 3284
-rect 1080 3228 1136 3284
-rect 1136 3228 1140 3284
-rect 1076 3224 1140 3228
-rect 1700 3284 1764 3288
-rect 1700 3228 1704 3284
-rect 1704 3228 1760 3284
-rect 1760 3228 1764 3284
-rect 1700 3224 1764 3228
-rect 1780 3284 1844 3288
-rect 1780 3228 1784 3284
-rect 1784 3228 1840 3284
-rect 1840 3228 1844 3284
-rect 1780 3224 1844 3228
-rect 1860 3284 1924 3288
-rect 1860 3228 1864 3284
-rect 1864 3228 1920 3284
-rect 1920 3228 1924 3284
-rect 1860 3224 1924 3228
-rect 1940 3284 2004 3288
-rect 1940 3228 1944 3284
-rect 1944 3228 2000 3284
-rect 2000 3228 2004 3284
-rect 1940 3224 2004 3228
-rect 2564 3284 2628 3288
-rect 2564 3228 2568 3284
-rect 2568 3228 2624 3284
-rect 2624 3228 2628 3284
-rect 2564 3224 2628 3228
-rect 2644 3284 2708 3288
-rect 2644 3228 2648 3284
-rect 2648 3228 2704 3284
-rect 2704 3228 2708 3284
-rect 2644 3224 2708 3228
-rect 2724 3284 2788 3288
-rect 2724 3228 2728 3284
-rect 2728 3228 2784 3284
-rect 2784 3228 2788 3284
-rect 2724 3224 2788 3228
-rect 2804 3284 2868 3288
-rect 2804 3228 2808 3284
-rect 2808 3228 2864 3284
-rect 2864 3228 2868 3284
-rect 2804 3224 2868 3228
-<< metal4 >>
-rect 828 5358 1148 5749
-rect 828 5122 870 5358
-rect 1106 5122 1148 5358
-rect 828 4916 1148 5122
-rect 828 4852 836 4916
-rect 900 4852 916 4916
-rect 980 4852 996 4916
-rect 1060 4852 1076 4916
-rect 1140 4852 1148 4916
-rect 828 4544 1148 4852
-rect 828 4308 870 4544
-rect 1106 4308 1148 4544
-rect 828 3730 1148 4308
-rect 828 3494 870 3730
-rect 1106 3494 1148 3730
-rect 828 3288 1148 3494
-rect 828 3224 836 3288
-rect 900 3224 916 3288
-rect 980 3224 996 3288
-rect 1060 3224 1076 3288
-rect 1140 3224 1148 3288
-rect 828 3205 1148 3224
-rect 1260 5730 1580 5749
-rect 1260 5666 1268 5730
-rect 1332 5666 1348 5730
-rect 1412 5666 1428 5730
-rect 1492 5666 1508 5730
-rect 1572 5666 1580 5730
-rect 1260 4951 1580 5666
-rect 1260 4715 1302 4951
-rect 1538 4715 1580 4951
-rect 1260 4137 1580 4715
-rect 1260 4102 1302 4137
-rect 1538 4102 1580 4137
-rect 1260 4038 1268 4102
-rect 1572 4038 1580 4102
-rect 1260 3901 1302 4038
-rect 1538 3901 1580 4038
-rect 1260 3205 1580 3901
-rect 1692 5358 2012 5749
-rect 1692 5122 1734 5358
-rect 1970 5122 2012 5358
-rect 1692 4916 2012 5122
-rect 1692 4852 1700 4916
-rect 1764 4852 1780 4916
-rect 1844 4852 1860 4916
-rect 1924 4852 1940 4916
-rect 2004 4852 2012 4916
-rect 1692 4544 2012 4852
-rect 1692 4308 1734 4544
-rect 1970 4308 2012 4544
-rect 1692 3730 2012 4308
-rect 1692 3494 1734 3730
-rect 1970 3494 2012 3730
-rect 1692 3288 2012 3494
-rect 1692 3224 1700 3288
-rect 1764 3224 1780 3288
-rect 1844 3224 1860 3288
-rect 1924 3224 1940 3288
-rect 2004 3224 2012 3288
-rect 1692 3205 2012 3224
-rect 2124 5730 2444 5749
-rect 2124 5666 2132 5730
-rect 2196 5666 2212 5730
-rect 2276 5666 2292 5730
-rect 2356 5666 2372 5730
-rect 2436 5666 2444 5730
-rect 2124 4951 2444 5666
-rect 2124 4715 2166 4951
-rect 2402 4715 2444 4951
-rect 2124 4137 2444 4715
-rect 2124 4102 2166 4137
-rect 2402 4102 2444 4137
-rect 2124 4038 2132 4102
-rect 2436 4038 2444 4102
-rect 2124 3901 2166 4038
-rect 2402 3901 2444 4038
-rect 2124 3205 2444 3901
-rect 2556 5358 2876 5749
-rect 2556 5122 2598 5358
-rect 2834 5122 2876 5358
-rect 2556 4916 2876 5122
-rect 2556 4852 2564 4916
-rect 2628 4852 2644 4916
-rect 2708 4852 2724 4916
-rect 2788 4852 2804 4916
-rect 2868 4852 2876 4916
-rect 2556 4544 2876 4852
-rect 2556 4308 2598 4544
-rect 2834 4308 2876 4544
-rect 2556 3730 2876 4308
-rect 2556 3494 2598 3730
-rect 2834 3494 2876 3730
-rect 2556 3288 2876 3494
-rect 2556 3224 2564 3288
-rect 2628 3224 2644 3288
-rect 2708 3224 2724 3288
-rect 2788 3224 2804 3288
-rect 2868 3224 2876 3288
-rect 2556 3205 2876 3224
-<< via4 >>
-rect 870 5122 1106 5358
-rect 870 4308 1106 4544
-rect 870 3494 1106 3730
-rect 1302 4715 1538 4951
-rect 1302 4102 1538 4137
-rect 1302 4038 1332 4102
-rect 1332 4038 1348 4102
-rect 1348 4038 1412 4102
-rect 1412 4038 1428 4102
-rect 1428 4038 1492 4102
-rect 1492 4038 1508 4102
-rect 1508 4038 1538 4102
-rect 1302 3901 1538 4038
-rect 1734 5122 1970 5358
-rect 1734 4308 1970 4544
-rect 1734 3494 1970 3730
-rect 2166 4715 2402 4951
-rect 2166 4102 2402 4137
-rect 2166 4038 2196 4102
-rect 2196 4038 2212 4102
-rect 2212 4038 2276 4102
-rect 2276 4038 2292 4102
-rect 2292 4038 2356 4102
-rect 2356 4038 2372 4102
-rect 2372 4038 2402 4102
-rect 2166 3901 2402 4038
-rect 2598 5122 2834 5358
-rect 2598 4308 2834 4544
-rect 2598 3494 2834 3730
-<< metal5 >>
-rect 556 5358 3148 5400
-rect 556 5122 870 5358
-rect 1106 5122 1734 5358
-rect 1970 5122 2598 5358
-rect 2834 5122 3148 5358
-rect 556 5080 3148 5122
-rect 556 4951 3148 4993
-rect 556 4715 1302 4951
-rect 1538 4715 2166 4951
-rect 2402 4715 3148 4951
-rect 556 4673 3148 4715
-rect 556 4544 3148 4586
-rect 556 4308 870 4544
-rect 1106 4308 1734 4544
-rect 1970 4308 2598 4544
-rect 2834 4308 3148 4544
-rect 556 4266 3148 4308
-rect 556 4137 3148 4179
-rect 556 3901 1302 4137
-rect 1538 3901 2166 4137
-rect 2402 3901 3148 4137
-rect 556 3859 3148 3901
-rect 556 3730 3148 3772
-rect 556 3494 870 3730
-rect 1106 3494 1734 3730
-rect 1970 3494 2598 3730
-rect 2834 3494 3148 3730
-rect 556 3452 3148 3494
-use sky130_fd_sc_hvl__conb_1  _1_ /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1604489734
-transform 1 0 1228 0 -1 4070
-box -66 -23 546 897
-use sky130_fd_sc_hvl__schmittbuf_1  hystbuf1 /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1604489734
-transform 1 0 940 0 1 4070
+use sky130_fd_pr__nfet_g5v0d10v5_BN2NTK  sky130_fd_pr__nfet_g5v0d10v5_BN2NTK_0
+timestamp 1605923309
+transform 1 0 -5189 0 1 8299
+box -308 -458 308 458
+use sky130_fd_pr__nfet_g5v0d10v5_BN2NTK  sky130_fd_pr__nfet_g5v0d10v5_BN2NTK_1
+timestamp 1605923309
+transform -1 0 -7477 0 1 8299
+box -308 -458 308 458
+use sky130_fd_pr__nfet_g5v0d10v5_BGRBXK  sky130_fd_pr__nfet_g5v0d10v5_BGRBXK_0
+timestamp 1605923309
+transform 1 0 -6333 0 1 8299
+box -962 -458 962 458
+use sky130_fd_sc_hvl__schmittbuf_1  sky130_fd_sc_hvl__schmittbuf_1_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_sc_hvl/mag
+timestamp 1605926584
+transform 1 0 -790 0 1 7935
 box -66 -23 1122 897
-use sky130_fd_sc_hvl__decap_4  FILLER_0_0 /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1604489734
-transform 1 0 556 0 -1 4070
+use sky130_fd_sc_hvl__buf_8  sky130_fd_sc_hvl__buf_8_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_sc_hvl/mag
+timestamp 1605926584
+transform 1 0 266 0 1 7935
+box -66 -23 1986 897
+use sky130_fd_sc_hvl__fill_4  sky130_fd_sc_hvl__fill_4_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_sc_hvl/mag
+timestamp 1605926584
+transform 1 0 2186 0 1 7935
 box -66 -23 450 897
-use sky130_fd_sc_hvl__fill_2  FILLER_0_4 /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1604489734
-transform 1 0 940 0 -1 4070
-box -66 -23 258 897
-use sky130_fd_sc_hvl__fill_1  FILLER_0_6 /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1604489734
-transform 1 0 1132 0 -1 4070
-box -66 -23 162 897
-use sky130_fd_sc_hvl__decap_8  FILLER_0_12 /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1604489734
-transform 1 0 1708 0 -1 4070
-box -66 -23 834 897
-use sky130_fd_sc_hvl__decap_4  FILLER_1_0
-timestamp 1604489734
-transform 1 0 556 0 1 4070
-box -66 -23 450 897
-use sky130_fd_sc_hvl__decap_4  FILLER_0_20
-timestamp 1604489734
-transform 1 0 2476 0 -1 4070
-box -66 -23 450 897
-use sky130_fd_sc_hvl__fill_2  FILLER_0_24
-timestamp 1604489734
-transform 1 0 2860 0 -1 4070
-box -66 -23 258 897
-use sky130_fd_sc_hvl__decap_8  FILLER_1_15
-timestamp 1604489734
-transform 1 0 1996 0 1 4070
-box -66 -23 834 897
-use sky130_fd_sc_hvl__decap_4  FILLER_1_23
-timestamp 1604489734
-transform 1 0 2764 0 1 4070
-box -66 -23 450 897
-use sky130_fd_sc_hvl__fill_1  FILLER_0_26
-timestamp 1604489734
-transform 1 0 3052 0 -1 4070
-box -66 -23 162 897
-use sky130_fd_sc_hvl__schmittbuf_1  hystbuf2
-timestamp 1604489734
-transform 1 0 940 0 -1 5698
-box -66 -23 1122 897
-use sky130_fd_sc_hvl__decap_4  FILLER_2_0
-timestamp 1604489734
-transform 1 0 556 0 -1 5698
-box -66 -23 450 897
-use sky130_fd_sc_hvl__decap_8  FILLER_2_15
-timestamp 1604489734
-transform 1 0 1996 0 -1 5698
-box -66 -23 834 897
-use sky130_fd_sc_hvl__decap_4  FILLER_2_23
-timestamp 1604489734
-transform 1 0 2764 0 -1 5698
-box -66 -23 450 897
+use sky130_fd_pr__pfet_g5v0d10v5_625PAY  sky130_fd_pr__pfet_g5v0d10v5_625PAY_3
+timestamp 1605926584
+transform 1 0 -4941 0 1 9372
+box -338 -497 338 497
+use sky130_fd_pr__pfet_g5v0d10v5_625PDX  sky130_fd_pr__pfet_g5v0d10v5_625PDX_0
+timestamp 1605926584
+transform 1 0 -6190 0 1 9372
+box -1101 -497 1101 497
+use sky130_fd_pr__pfet_g5v0d10v5_625PAY  sky130_fd_pr__pfet_g5v0d10v5_625PAY_4
+timestamp 1605926584
+transform 1 0 -7439 0 1 9372
+box -338 -497 338 497
+use sky130_fd_pr__pfet_g5v0d10v5_625P8Z  sky130_fd_pr__pfet_g5v0d10v5_625P8Z_0
+timestamp 1605926584
+transform 1 0 -2829 0 1 9372
+box -992 -497 992 497
+use sky130_fd_pr__pfet_g5v0d10v5_625PAY  sky130_fd_pr__pfet_g5v0d10v5_625PAY_0
+timestamp 1605926584
+transform 1 0 -3969 0 1 9372
+box -338 -497 338 497
+use sky130_fd_pr__pfet_g5v0d10v5_625PAY  sky130_fd_pr__pfet_g5v0d10v5_625PAY_2
+timestamp 1605926584
+transform 1 0 -4455 0 1 9372
+box -338 -497 338 497
+use sky130_fd_pr__pfet_g5v0d10v5_625PAY  sky130_fd_pr__pfet_g5v0d10v5_625PAY_1
+timestamp 1605926584
+transform 1 0 -1689 0 1 9372
+box -338 -497 338 497
+use sky130_fd_sc_hvl__buf_8  sky130_fd_sc_hvl__buf_8_1
+timestamp 1605926584
+transform 1 0 -790 0 -1 9703
+box -66 -23 1986 897
+use sky130_fd_sc_hvl__inv_8  sky130_fd_sc_hvl__inv_8_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_sc_hvl/mag
+timestamp 1605926584
+transform 1 0 1130 0 -1 9703
+box -66 -23 1506 897
+use sky130_fd_pr__res_xhigh_po_0p69_LV2JUS  sky130_fd_pr__res_xhigh_po_0p69_LV2JUS_0
+timestamp 1605923309
+transform 1 0 -2502 0 1 4628
+box -5446 -3098 5446 3098
+use sky130_fd_pr__cap_mim_m3_2_N249RX  sky130_fd_pr__cap_mim_m3_2_N249RX_0
+timestamp 1605923309
+transform 1 0 -77 0 1 4682
+box -3379 -3101 3401 3101
+use sky130_fd_pr__cap_mim_m3_1_N249RX  sky130_fd_pr__cap_mim_m3_1_N249RX_0
+timestamp 1605923309
+transform -1 0 -450 0 1 4682
+box -3186 -3100 3186 3100
 << labels >>
-rlabel metal2 s 768 8364 824 9164 6 porb_h
-port 0 nsew default tristate
-rlabel metal2 s 0 0 56 800 6 vdd3v3
-port 1 nsew default input
 rlabel metal3 s 3560 3862 4360 3982 6 vss
 port 2 nsew default input
-rlabel metal5 s 556 3452 3148 3772 6 VPWR
-port 3 nsew default input
-rlabel metal5 s 556 3859 3148 4179 6 VGND
-port 4 nsew default input
+rlabel metal2 s 2824 8538 2880 9338 6 porb_h
+port 0 nsew default tristate
+rlabel metal2 s 3028 8538 3084 9338 6 porb_l
+port 0 nsew default tristate
+rlabel metal2 s 3232 8538 3288 9338 6 por_l
+port 0 nsew default tristate
+rlabel metal2 s 15 581 71 1381 6 vdd3v3
+port 1 nsew default input
+rlabel metal2 s 219 581 275 1381 6 vdd1v8
+port 1 nsew default input
 << properties >>
 string FIXED_BBOX 0 0 4360 9164
 << end >>
diff --git a/mag/sky130_fd_pr__cap_mim_m3_1_N249RX.mag b/mag/sky130_fd_pr__cap_mim_m3_1_N249RX.mag
new file mode 100644
index 0000000..790d2b3
--- /dev/null
+++ b/mag/sky130_fd_pr__cap_mim_m3_1_N249RX.mag
@@ -0,0 +1,33 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1605923309
+<< metal3 >>
+rect -3186 3072 3186 3100
+rect -3186 -3072 3102 3072
+rect 3166 -3072 3186 3072
+rect -3186 -3100 3186 -3072
+<< via3 >>
+rect 3102 -3072 3166 3072
+<< mimcap >>
+rect -3086 2960 2914 3000
+rect -3086 -2960 -3046 2960
+rect 2874 -2960 2914 2960
+rect -3086 -3000 2914 -2960
+<< mimcapcontact >>
+rect -3046 -2960 2874 2960
+<< metal4 >>
+rect 3086 3072 3182 3088
+rect -3047 2960 2875 2961
+rect -3047 -2960 -3046 2960
+rect 2874 -2960 2875 2960
+rect -3047 -2961 2875 -2960
+rect 3086 -3072 3102 3072
+rect 3166 -3072 3182 3072
+rect 3086 -3088 3182 -3072
+<< properties >>
+string gencell sky130_fd_pr__cap_mim_m3_1
+string FIXED_BBOX -3186 -3100 3014 3100
+string parameters w 30.00 l 30.00 val 920.4 carea 1.00 cperi 0.17 nx 1 ny 1 dummy 0 square 0 lmin 2.00 wmin 2.00 lmax 30.0 wmax 30.0 dc 0 bconnect 1 tconnect 1
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__cap_mim_m3_2_N249RX.mag b/mag/sky130_fd_pr__cap_mim_m3_2_N249RX.mag
new file mode 100644
index 0000000..164a799
--- /dev/null
+++ b/mag/sky130_fd_pr__cap_mim_m3_2_N249RX.mag
@@ -0,0 +1,33 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1605923309
+<< metal4 >>
+rect -3379 3059 3379 3100
+rect -3379 -3059 3123 3059
+rect 3359 -3059 3379 3059
+rect -3379 -3100 3379 -3059
+<< via4 >>
+rect 3123 -3059 3359 3059
+<< mimcap2 >>
+rect -3279 2960 2721 3000
+rect -3279 -2960 -3239 2960
+rect 2681 -2960 2721 2960
+rect -3279 -3000 2721 -2960
+<< mimcap2contact >>
+rect -3239 -2960 2681 2960
+<< metal5 >>
+rect 3081 3059 3401 3101
+rect -3263 2960 2705 2984
+rect -3263 -2960 -3239 2960
+rect 2681 -2960 2705 2960
+rect -3263 -2984 2705 -2960
+rect 3081 -3059 3123 3059
+rect 3359 -3059 3401 3059
+rect 3081 -3101 3401 -3059
+<< properties >>
+string gencell sky130_fd_pr__cap_mim_m3_2
+string FIXED_BBOX -3379 -3100 2821 3100
+string parameters w 30.00 l 30.00 val 920.4 carea 1.00 cperi 0.17 nx 1 ny 1 dummy 0 square 0 lmin 2.00 wmin 2.00 lmax 30.0 wmax 30.0 dc 0 bconnect 1 tconnect 1
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_1kamgc.mag b/mag/sky130_fd_pr__nfet_01v8_1kamgc.mag
deleted file mode 100644
index 5f8ae05..0000000
--- a/mag/sky130_fd_pr__nfet_01v8_1kamgc.mag
+++ /dev/null
@@ -1,80 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1603919870
-<< error_p >>
-rect -79 208 79 224
-rect -79 182 79 190
-rect -175 -120 -167 120
-rect -149 -120 -133 120
-rect 141 -120 149 120
-rect 167 -120 183 120
-rect -79 -190 79 -174
-rect -79 -216 79 -208
-<< pwell >>
-rect -211 -252 211 252
-<< nmos >>
-rect -15 -42 15 42
-<< ndiff >>
-rect -73 30 -15 42
-rect -73 -30 -61 30
-rect -27 -30 -15 30
-rect -73 -42 -15 -30
-rect 15 30 73 42
-rect 15 -30 27 30
-rect 61 -30 73 30
-rect 15 -42 73 -30
-<< ndiffc >>
-rect -61 -30 -27 30
-rect 27 -30 61 30
-<< psubdiff >>
-rect -175 182 -79 216
-rect 79 182 175 216
-rect -175 120 -141 182
-rect 141 120 175 182
-rect -175 -182 -141 -120
-rect 141 -182 175 -120
-rect -175 -216 -79 -182
-rect 79 -216 175 -182
-<< psubdiffcont >>
-rect -79 182 79 216
-rect -175 -120 -141 120
-rect 141 -120 175 120
-rect -79 -216 79 -182
-<< poly >>
-rect -33 114 33 130
-rect -33 80 -17 114
-rect 17 80 33 114
-rect -33 64 33 80
-rect -15 42 15 64
-rect -15 -64 15 -42
-rect -33 -80 33 -64
-rect -33 -114 -17 -80
-rect 17 -114 33 -80
-rect -33 -130 33 -114
-<< polycont >>
-rect -17 80 17 114
-rect -17 -114 17 -80
-<< locali >>
-rect -175 182 -79 216
-rect 79 182 175 216
-rect -175 120 -141 182
-rect 141 120 175 182
-rect -33 80 -17 114
-rect 17 80 33 114
-rect -61 30 -27 46
-rect -61 -46 -27 -30
-rect 27 30 61 46
-rect 27 -46 61 -30
-rect -33 -114 -17 -80
-rect 17 -114 33 -80
-rect -175 -182 -141 -120
-rect 141 -182 175 -120
-rect -175 -216 -79 -182
-rect 79 -216 175 -182
-<< properties >>
-string gencell sky130_fd_pr__nfet_01v8
-string FIXED_BBOX -158 -199 158 199
-string parameters w 0.420 l 0.150 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt} full_metal 1
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__nfet_g5v0d10v5_BGRBXK.mag b/mag/sky130_fd_pr__nfet_g5v0d10v5_BGRBXK.mag
new file mode 100644
index 0000000..3f28e05
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_g5v0d10v5_BGRBXK.mag
@@ -0,0 +1,208 @@
+magic
+tech sky130A
+timestamp 1605923309
+<< pwell >>
+rect -481 -229 481 229
+<< mvnmos >>
+rect -367 -100 -287 100
+rect -258 -100 -178 100
+rect -149 -100 -69 100
+rect -40 -100 40 100
+rect 69 -100 149 100
+rect 178 -100 258 100
+rect 287 -100 367 100
+<< mvndiff >>
+rect -396 94 -367 100
+rect -396 -94 -390 94
+rect -373 -94 -367 94
+rect -396 -100 -367 -94
+rect -287 94 -258 100
+rect -287 -94 -281 94
+rect -264 -94 -258 94
+rect -287 -100 -258 -94
+rect -178 94 -149 100
+rect -178 -94 -172 94
+rect -155 -94 -149 94
+rect -178 -100 -149 -94
+rect -69 94 -40 100
+rect -69 -94 -63 94
+rect -46 -94 -40 94
+rect -69 -100 -40 -94
+rect 40 94 69 100
+rect 40 -94 46 94
+rect 63 -94 69 94
+rect 40 -100 69 -94
+rect 149 94 178 100
+rect 149 -94 155 94
+rect 172 -94 178 94
+rect 149 -100 178 -94
+rect 258 94 287 100
+rect 258 -94 264 94
+rect 281 -94 287 94
+rect 258 -100 287 -94
+rect 367 94 396 100
+rect 367 -94 373 94
+rect 390 -94 396 94
+rect 367 -100 396 -94
+<< mvndiffc >>
+rect -390 -94 -373 94
+rect -281 -94 -264 94
+rect -172 -94 -155 94
+rect -63 -94 -46 94
+rect 46 -94 63 94
+rect 155 -94 172 94
+rect 264 -94 281 94
+rect 373 -94 390 94
+<< mvpsubdiff >>
+rect -463 205 463 211
+rect -463 188 -409 205
+rect 409 188 463 205
+rect -463 182 463 188
+rect -463 157 -434 182
+rect -463 -157 -457 157
+rect -440 -157 -434 157
+rect 434 157 463 182
+rect -463 -182 -434 -157
+rect 434 -157 440 157
+rect 457 -157 463 157
+rect 434 -182 463 -157
+rect -463 -188 463 -182
+rect -463 -205 -409 -188
+rect 409 -205 463 -188
+rect -463 -211 463 -205
+<< mvpsubdiffcont >>
+rect -409 188 409 205
+rect -457 -157 -440 157
+rect 440 -157 457 157
+rect -409 -205 409 -188
+<< poly >>
+rect -367 136 -287 144
+rect -367 119 -359 136
+rect -295 119 -287 136
+rect -367 100 -287 119
+rect -258 136 -178 144
+rect -258 119 -250 136
+rect -186 119 -178 136
+rect -258 100 -178 119
+rect -149 136 -69 144
+rect -149 119 -141 136
+rect -77 119 -69 136
+rect -149 100 -69 119
+rect -40 136 40 144
+rect -40 119 -32 136
+rect 32 119 40 136
+rect -40 100 40 119
+rect 69 136 149 144
+rect 69 119 77 136
+rect 141 119 149 136
+rect 69 100 149 119
+rect 178 136 258 144
+rect 178 119 186 136
+rect 250 119 258 136
+rect 178 100 258 119
+rect 287 136 367 144
+rect 287 119 295 136
+rect 359 119 367 136
+rect 287 100 367 119
+rect -367 -119 -287 -100
+rect -367 -136 -359 -119
+rect -295 -136 -287 -119
+rect -367 -144 -287 -136
+rect -258 -119 -178 -100
+rect -258 -136 -250 -119
+rect -186 -136 -178 -119
+rect -258 -144 -178 -136
+rect -149 -119 -69 -100
+rect -149 -136 -141 -119
+rect -77 -136 -69 -119
+rect -149 -144 -69 -136
+rect -40 -119 40 -100
+rect -40 -136 -32 -119
+rect 32 -136 40 -119
+rect -40 -144 40 -136
+rect 69 -119 149 -100
+rect 69 -136 77 -119
+rect 141 -136 149 -119
+rect 69 -144 149 -136
+rect 178 -119 258 -100
+rect 178 -136 186 -119
+rect 250 -136 258 -119
+rect 178 -144 258 -136
+rect 287 -119 367 -100
+rect 287 -136 295 -119
+rect 359 -136 367 -119
+rect 287 -144 367 -136
+<< polycont >>
+rect -359 119 -295 136
+rect -250 119 -186 136
+rect -141 119 -77 136
+rect -32 119 32 136
+rect 77 119 141 136
+rect 186 119 250 136
+rect 295 119 359 136
+rect -359 -136 -295 -119
+rect -250 -136 -186 -119
+rect -141 -136 -77 -119
+rect -32 -136 32 -119
+rect 77 -136 141 -119
+rect 186 -136 250 -119
+rect 295 -136 359 -119
+<< locali >>
+rect -457 188 -409 205
+rect 409 188 457 205
+rect -457 157 -440 188
+rect 440 157 457 188
+rect -367 119 -359 136
+rect -295 119 -287 136
+rect -258 119 -250 136
+rect -186 119 -178 136
+rect -149 119 -141 136
+rect -77 119 -69 136
+rect -40 119 -32 136
+rect 32 119 40 136
+rect 69 119 77 136
+rect 141 119 149 136
+rect 178 119 186 136
+rect 250 119 258 136
+rect 287 119 295 136
+rect 359 119 367 136
+rect -390 94 -373 102
+rect -390 -102 -373 -94
+rect -281 94 -264 102
+rect -281 -102 -264 -94
+rect -172 94 -155 102
+rect -172 -102 -155 -94
+rect -63 94 -46 102
+rect -63 -102 -46 -94
+rect 46 94 63 102
+rect 46 -102 63 -94
+rect 155 94 172 102
+rect 155 -102 172 -94
+rect 264 94 281 102
+rect 264 -102 281 -94
+rect 373 94 390 102
+rect 373 -102 390 -94
+rect -367 -136 -359 -119
+rect -295 -136 -287 -119
+rect -258 -136 -250 -119
+rect -186 -136 -178 -119
+rect -149 -136 -141 -119
+rect -77 -136 -69 -119
+rect -40 -136 -32 -119
+rect 32 -136 40 -119
+rect 69 -136 77 -119
+rect 141 -136 149 -119
+rect 178 -136 186 -119
+rect 250 -136 258 -119
+rect 287 -136 295 -119
+rect 359 -136 367 -119
+rect -457 -188 -440 -157
+rect 440 -188 457 -157
+rect -457 -205 -409 -188
+rect 409 -205 457 -188
+<< properties >>
+string gencell sky130_fd_pr__nfet_g5v0d10v5
+string FIXED_BBOX -448 -196 448 196
+string parameters w 2.00 l 0.80 m 1 nf 7 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt} full_metal 1
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_g5v0d10v5_BN2NTK.mag b/mag/sky130_fd_pr__nfet_g5v0d10v5_BN2NTK.mag
new file mode 100644
index 0000000..2537bce
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_g5v0d10v5_BN2NTK.mag
@@ -0,0 +1,71 @@
+magic
+tech sky130A
+timestamp 1605923309
+<< pwell >>
+rect -154 -229 154 229
+<< mvnmos >>
+rect -40 -100 40 100
+<< mvndiff >>
+rect -69 94 -40 100
+rect -69 -94 -63 94
+rect -46 -94 -40 94
+rect -69 -100 -40 -94
+rect 40 94 69 100
+rect 40 -94 46 94
+rect 63 -94 69 94
+rect 40 -100 69 -94
+<< mvndiffc >>
+rect -63 -94 -46 94
+rect 46 -94 63 94
+<< mvpsubdiff >>
+rect -136 205 136 211
+rect -136 188 -82 205
+rect 82 188 136 205
+rect -136 182 136 188
+rect -136 -182 -107 182
+rect 107 157 136 182
+rect 107 -157 113 157
+rect 130 -157 136 157
+rect 107 -182 136 -157
+rect -136 -188 136 -182
+rect -136 -205 -82 -188
+rect 82 -205 136 -188
+rect -136 -211 136 -205
+<< mvpsubdiffcont >>
+rect -82 188 82 205
+rect 113 -157 130 157
+rect -82 -205 82 -188
+<< poly >>
+rect -40 136 40 144
+rect -40 119 -32 136
+rect 32 119 40 136
+rect -40 100 40 119
+rect -40 -119 40 -100
+rect -40 -136 -32 -119
+rect 32 -136 40 -119
+rect -40 -144 40 -136
+<< polycont >>
+rect -32 119 32 136
+rect -32 -136 32 -119
+<< locali >>
+rect -130 188 -82 205
+rect 82 188 130 205
+rect -130 -188 -113 188
+rect 113 157 130 188
+rect -40 119 -32 136
+rect 32 119 40 136
+rect -63 94 -46 102
+rect -63 -102 -46 -94
+rect 46 94 63 102
+rect 46 -102 63 -94
+rect -40 -136 -32 -119
+rect 32 -136 40 -119
+rect 113 -188 130 -157
+rect -130 -205 -82 -188
+rect 82 -205 130 -188
+<< properties >>
+string gencell sky130_fd_pr__nfet_g5v0d10v5
+string FIXED_BBOX -121 -196 121 196
+string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 0 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt} full_metal 1
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_625P8Z.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_625P8Z.mag
new file mode 100644
index 0000000..1c670e6
--- /dev/null
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_625P8Z.mag
@@ -0,0 +1,209 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1605926584
+<< nwell >>
+rect -992 -497 992 497
+<< mvpmos >>
+rect -734 -200 -574 200
+rect -516 -200 -356 200
+rect -298 -200 -138 200
+rect -80 -200 80 200
+rect 138 -200 298 200
+rect 356 -200 516 200
+rect 574 -200 734 200
+<< mvpdiff >>
+rect -792 188 -734 200
+rect -792 -188 -780 188
+rect -746 -188 -734 188
+rect -792 -200 -734 -188
+rect -574 188 -516 200
+rect -574 -188 -562 188
+rect -528 -188 -516 188
+rect -574 -200 -516 -188
+rect -356 188 -298 200
+rect -356 -188 -344 188
+rect -310 -188 -298 188
+rect -356 -200 -298 -188
+rect -138 188 -80 200
+rect -138 -188 -126 188
+rect -92 -188 -80 188
+rect -138 -200 -80 -188
+rect 80 188 138 200
+rect 80 -188 92 188
+rect 126 -188 138 188
+rect 80 -200 138 -188
+rect 298 188 356 200
+rect 298 -188 310 188
+rect 344 -188 356 188
+rect 298 -200 356 -188
+rect 516 188 574 200
+rect 516 -188 528 188
+rect 562 -188 574 188
+rect 516 -200 574 -188
+rect 734 188 792 200
+rect 734 -188 746 188
+rect 780 -188 792 188
+rect 734 -200 792 -188
+<< mvpdiffc >>
+rect -780 -188 -746 188
+rect -562 -188 -528 188
+rect -344 -188 -310 188
+rect -126 -188 -92 188
+rect 92 -188 126 188
+rect 310 -188 344 188
+rect 528 -188 562 188
+rect 746 -188 780 188
+<< mvnsubdiff >>
+rect -926 419 926 431
+rect -926 385 -818 419
+rect 818 385 926 419
+rect -926 373 926 385
+rect -926 323 -868 373
+rect -926 -323 -914 323
+rect -880 -323 -868 323
+rect 868 323 926 373
+rect -926 -373 -868 -323
+rect 868 -323 880 323
+rect 914 -323 926 323
+rect 868 -373 926 -323
+rect -926 -385 926 -373
+rect -926 -419 -818 -385
+rect 818 -419 926 -385
+rect -926 -431 926 -419
+<< mvnsubdiffcont >>
+rect -818 385 818 419
+rect -914 -323 -880 323
+rect 880 -323 914 323
+rect -818 -419 818 -385
+<< poly >>
+rect -734 281 -574 297
+rect -734 247 -718 281
+rect -590 247 -574 281
+rect -734 200 -574 247
+rect -516 281 -356 297
+rect -516 247 -500 281
+rect -372 247 -356 281
+rect -516 200 -356 247
+rect -298 281 -138 297
+rect -298 247 -282 281
+rect -154 247 -138 281
+rect -298 200 -138 247
+rect -80 281 80 297
+rect -80 247 -64 281
+rect 64 247 80 281
+rect -80 200 80 247
+rect 138 281 298 297
+rect 138 247 154 281
+rect 282 247 298 281
+rect 138 200 298 247
+rect 356 281 516 297
+rect 356 247 372 281
+rect 500 247 516 281
+rect 356 200 516 247
+rect 574 281 734 297
+rect 574 247 590 281
+rect 718 247 734 281
+rect 574 200 734 247
+rect -734 -247 -574 -200
+rect -734 -281 -718 -247
+rect -590 -281 -574 -247
+rect -734 -297 -574 -281
+rect -516 -247 -356 -200
+rect -516 -281 -500 -247
+rect -372 -281 -356 -247
+rect -516 -297 -356 -281
+rect -298 -247 -138 -200
+rect -298 -281 -282 -247
+rect -154 -281 -138 -247
+rect -298 -297 -138 -281
+rect -80 -247 80 -200
+rect -80 -281 -64 -247
+rect 64 -281 80 -247
+rect -80 -297 80 -281
+rect 138 -247 298 -200
+rect 138 -281 154 -247
+rect 282 -281 298 -247
+rect 138 -297 298 -281
+rect 356 -247 516 -200
+rect 356 -281 372 -247
+rect 500 -281 516 -247
+rect 356 -297 516 -281
+rect 574 -247 734 -200
+rect 574 -281 590 -247
+rect 718 -281 734 -247
+rect 574 -297 734 -281
+<< polycont >>
+rect -718 247 -590 281
+rect -500 247 -372 281
+rect -282 247 -154 281
+rect -64 247 64 281
+rect 154 247 282 281
+rect 372 247 500 281
+rect 590 247 718 281
+rect -718 -281 -590 -247
+rect -500 -281 -372 -247
+rect -282 -281 -154 -247
+rect -64 -281 64 -247
+rect 154 -281 282 -247
+rect 372 -281 500 -247
+rect 590 -281 718 -247
+<< locali >>
+rect -914 385 -818 419
+rect 818 385 914 419
+rect -914 323 -880 385
+rect 880 323 914 385
+rect -734 247 -718 281
+rect -590 247 -574 281
+rect -516 247 -500 281
+rect -372 247 -356 281
+rect -298 247 -282 281
+rect -154 247 -138 281
+rect -80 247 -64 281
+rect 64 247 80 281
+rect 138 247 154 281
+rect 282 247 298 281
+rect 356 247 372 281
+rect 500 247 516 281
+rect 574 247 590 281
+rect 718 247 734 281
+rect -780 188 -746 204
+rect -780 -204 -746 -188
+rect -562 188 -528 204
+rect -562 -204 -528 -188
+rect -344 188 -310 204
+rect -344 -204 -310 -188
+rect -126 188 -92 204
+rect -126 -204 -92 -188
+rect 92 188 126 204
+rect 92 -204 126 -188
+rect 310 188 344 204
+rect 310 -204 344 -188
+rect 528 188 562 204
+rect 528 -204 562 -188
+rect 746 188 780 204
+rect 746 -204 780 -188
+rect -734 -281 -718 -247
+rect -590 -281 -574 -247
+rect -516 -281 -500 -247
+rect -372 -281 -356 -247
+rect -298 -281 -282 -247
+rect -154 -281 -138 -247
+rect -80 -281 -64 -247
+rect 64 -281 80 -247
+rect 138 -281 154 -247
+rect 282 -281 298 -247
+rect 356 -281 372 -247
+rect 500 -281 516 -247
+rect 574 -281 590 -247
+rect 718 -281 734 -247
+rect -914 -385 -880 -323
+rect 880 -385 914 -323
+rect -914 -419 -818 -385
+rect 818 -419 914 -385
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string FIXED_BBOX -897 -402 897 402
+string parameters w 2.00 l 0.80 m 1 nf 7 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_625PAY.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_625PAY.mag
new file mode 100644
index 0000000..a96f4d8
--- /dev/null
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_625PAY.mag
@@ -0,0 +1,77 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1605926584
+<< nwell >>
+rect -338 -497 338 497
+<< mvpmos >>
+rect -80 -200 80 200
+<< mvpdiff >>
+rect -138 188 -80 200
+rect -138 -188 -126 188
+rect -92 -188 -80 188
+rect -138 -200 -80 -188
+rect 80 188 138 200
+rect 80 -188 92 188
+rect 126 -188 138 188
+rect 80 -200 138 -188
+<< mvpdiffc >>
+rect -126 -188 -92 188
+rect 92 -188 126 188
+<< mvnsubdiff >>
+rect -272 419 272 431
+rect -272 385 -164 419
+rect 164 385 272 419
+rect -272 373 272 385
+rect -272 323 -214 373
+rect -272 -323 -260 323
+rect -226 -323 -214 323
+rect 214 323 272 373
+rect -272 -373 -214 -323
+rect 214 -323 226 323
+rect 260 -323 272 323
+rect 214 -373 272 -323
+rect -272 -385 272 -373
+rect -272 -419 -164 -385
+rect 164 -419 272 -385
+rect -272 -431 272 -419
+<< mvnsubdiffcont >>
+rect -164 385 164 419
+rect -260 -323 -226 323
+rect 226 -323 260 323
+rect -164 -419 164 -385
+<< poly >>
+rect -80 281 80 297
+rect -80 247 -64 281
+rect 64 247 80 281
+rect -80 200 80 247
+rect -80 -247 80 -200
+rect -80 -281 -64 -247
+rect 64 -281 80 -247
+rect -80 -297 80 -281
+<< polycont >>
+rect -64 247 64 281
+rect -64 -281 64 -247
+<< locali >>
+rect -260 385 -164 419
+rect 164 385 260 419
+rect -260 323 -226 385
+rect 226 323 260 385
+rect -80 247 -64 281
+rect 64 247 80 281
+rect -126 188 -92 204
+rect -126 -204 -92 -188
+rect 92 188 126 204
+rect 92 -204 126 -188
+rect -80 -281 -64 -247
+rect 64 -281 80 -247
+rect -260 -385 -226 -323
+rect 226 -385 260 -323
+rect -260 -419 -164 -385
+rect 164 -419 260 -385
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string FIXED_BBOX -243 -402 243 402
+string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_625PDX.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_625PDX.mag
new file mode 100644
index 0000000..252456c
--- /dev/null
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_625PDX.mag
@@ -0,0 +1,231 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1605926584
+<< nwell >>
+rect -1101 -497 1101 497
+<< mvpmos >>
+rect -843 -200 -683 200
+rect -625 -200 -465 200
+rect -407 -200 -247 200
+rect -189 -200 -29 200
+rect 29 -200 189 200
+rect 247 -200 407 200
+rect 465 -200 625 200
+rect 683 -200 843 200
+<< mvpdiff >>
+rect -901 188 -843 200
+rect -901 -188 -889 188
+rect -855 -188 -843 188
+rect -901 -200 -843 -188
+rect -683 188 -625 200
+rect -683 -188 -671 188
+rect -637 -188 -625 188
+rect -683 -200 -625 -188
+rect -465 188 -407 200
+rect -465 -188 -453 188
+rect -419 -188 -407 188
+rect -465 -200 -407 -188
+rect -247 188 -189 200
+rect -247 -188 -235 188
+rect -201 -188 -189 188
+rect -247 -200 -189 -188
+rect -29 188 29 200
+rect -29 -188 -17 188
+rect 17 -188 29 188
+rect -29 -200 29 -188
+rect 189 188 247 200
+rect 189 -188 201 188
+rect 235 -188 247 188
+rect 189 -200 247 -188
+rect 407 188 465 200
+rect 407 -188 419 188
+rect 453 -188 465 188
+rect 407 -200 465 -188
+rect 625 188 683 200
+rect 625 -188 637 188
+rect 671 -188 683 188
+rect 625 -200 683 -188
+rect 843 188 901 200
+rect 843 -188 855 188
+rect 889 -188 901 188
+rect 843 -200 901 -188
+<< mvpdiffc >>
+rect -889 -188 -855 188
+rect -671 -188 -637 188
+rect -453 -188 -419 188
+rect -235 -188 -201 188
+rect -17 -188 17 188
+rect 201 -188 235 188
+rect 419 -188 453 188
+rect 637 -188 671 188
+rect 855 -188 889 188
+<< mvnsubdiff >>
+rect -1035 419 1035 431
+rect -1035 385 -927 419
+rect 927 385 1035 419
+rect -1035 373 1035 385
+rect -1035 323 -977 373
+rect -1035 -323 -1023 323
+rect -989 -323 -977 323
+rect 977 323 1035 373
+rect -1035 -373 -977 -323
+rect 977 -323 989 323
+rect 1023 -323 1035 323
+rect 977 -373 1035 -323
+rect -1035 -385 1035 -373
+rect -1035 -419 -927 -385
+rect 927 -419 1035 -385
+rect -1035 -431 1035 -419
+<< mvnsubdiffcont >>
+rect -927 385 927 419
+rect -1023 -323 -989 323
+rect 989 -323 1023 323
+rect -927 -419 927 -385
+<< poly >>
+rect -843 281 -683 297
+rect -843 247 -827 281
+rect -699 247 -683 281
+rect -843 200 -683 247
+rect -625 281 -465 297
+rect -625 247 -609 281
+rect -481 247 -465 281
+rect -625 200 -465 247
+rect -407 281 -247 297
+rect -407 247 -391 281
+rect -263 247 -247 281
+rect -407 200 -247 247
+rect -189 281 -29 297
+rect -189 247 -173 281
+rect -45 247 -29 281
+rect -189 200 -29 247
+rect 29 281 189 297
+rect 29 247 45 281
+rect 173 247 189 281
+rect 29 200 189 247
+rect 247 281 407 297
+rect 247 247 263 281
+rect 391 247 407 281
+rect 247 200 407 247
+rect 465 281 625 297
+rect 465 247 481 281
+rect 609 247 625 281
+rect 465 200 625 247
+rect 683 281 843 297
+rect 683 247 699 281
+rect 827 247 843 281
+rect 683 200 843 247
+rect -843 -247 -683 -200
+rect -843 -281 -827 -247
+rect -699 -281 -683 -247
+rect -843 -297 -683 -281
+rect -625 -247 -465 -200
+rect -625 -281 -609 -247
+rect -481 -281 -465 -247
+rect -625 -297 -465 -281
+rect -407 -247 -247 -200
+rect -407 -281 -391 -247
+rect -263 -281 -247 -247
+rect -407 -297 -247 -281
+rect -189 -247 -29 -200
+rect -189 -281 -173 -247
+rect -45 -281 -29 -247
+rect -189 -297 -29 -281
+rect 29 -247 189 -200
+rect 29 -281 45 -247
+rect 173 -281 189 -247
+rect 29 -297 189 -281
+rect 247 -247 407 -200
+rect 247 -281 263 -247
+rect 391 -281 407 -247
+rect 247 -297 407 -281
+rect 465 -247 625 -200
+rect 465 -281 481 -247
+rect 609 -281 625 -247
+rect 465 -297 625 -281
+rect 683 -247 843 -200
+rect 683 -281 699 -247
+rect 827 -281 843 -247
+rect 683 -297 843 -281
+<< polycont >>
+rect -827 247 -699 281
+rect -609 247 -481 281
+rect -391 247 -263 281
+rect -173 247 -45 281
+rect 45 247 173 281
+rect 263 247 391 281
+rect 481 247 609 281
+rect 699 247 827 281
+rect -827 -281 -699 -247
+rect -609 -281 -481 -247
+rect -391 -281 -263 -247
+rect -173 -281 -45 -247
+rect 45 -281 173 -247
+rect 263 -281 391 -247
+rect 481 -281 609 -247
+rect 699 -281 827 -247
+<< locali >>
+rect -1023 385 -927 419
+rect 927 385 1023 419
+rect -1023 323 -989 385
+rect 989 323 1023 385
+rect -843 247 -827 281
+rect -699 247 -683 281
+rect -625 247 -609 281
+rect -481 247 -465 281
+rect -407 247 -391 281
+rect -263 247 -247 281
+rect -189 247 -173 281
+rect -45 247 -29 281
+rect 29 247 45 281
+rect 173 247 189 281
+rect 247 247 263 281
+rect 391 247 407 281
+rect 465 247 481 281
+rect 609 247 625 281
+rect 683 247 699 281
+rect 827 247 843 281
+rect -889 188 -855 204
+rect -889 -204 -855 -188
+rect -671 188 -637 204
+rect -671 -204 -637 -188
+rect -453 188 -419 204
+rect -453 -204 -419 -188
+rect -235 188 -201 204
+rect -235 -204 -201 -188
+rect -17 188 17 204
+rect -17 -204 17 -188
+rect 201 188 235 204
+rect 201 -204 235 -188
+rect 419 188 453 204
+rect 419 -204 453 -188
+rect 637 188 671 204
+rect 637 -204 671 -188
+rect 855 188 889 204
+rect 855 -204 889 -188
+rect -843 -281 -827 -247
+rect -699 -281 -683 -247
+rect -625 -281 -609 -247
+rect -481 -281 -465 -247
+rect -407 -281 -391 -247
+rect -263 -281 -247 -247
+rect -189 -281 -173 -247
+rect -45 -281 -29 -247
+rect 29 -281 45 -247
+rect 173 -281 189 -247
+rect 247 -281 263 -247
+rect 391 -281 407 -247
+rect 465 -281 481 -247
+rect 609 -281 625 -247
+rect 683 -281 699 -247
+rect 827 -281 843 -247
+rect -1023 -385 -989 -323
+rect 989 -385 1023 -323
+rect -1023 -419 -927 -385
+rect 927 -419 1023 -385
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string FIXED_BBOX -1006 -402 1006 402
+string parameters w 2.00 l 0.80 m 1 nf 8 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__res_xhigh_po_0p69_LV2JUS.mag b/mag/sky130_fd_pr__res_xhigh_po_0p69_LV2JUS.mag
new file mode 100644
index 0000000..89f269c
--- /dev/null
+++ b/mag/sky130_fd_pr__res_xhigh_po_0p69_LV2JUS.mag
@@ -0,0 +1,149 @@
+magic
+tech sky130A
+timestamp 1605923309
+<< pwell >>
+rect -2723 -1549 2723 1549
+<< psubdiff >>
+rect -2705 1514 -2657 1531
+rect 2657 1514 2705 1531
+rect -2705 1483 -2688 1514
+rect 2688 1483 2705 1514
+rect -2705 -1514 -2688 -1483
+rect 2688 -1514 2705 -1483
+rect -2705 -1531 -2657 -1514
+rect 2657 -1531 2705 -1514
+<< psubdiffcont >>
+rect -2657 1514 2657 1531
+rect -2705 -1483 -2688 1483
+rect 2688 -1483 2705 1483
+rect -2657 -1531 2657 -1514
+<< xpolycontact >>
+rect -2640 1250 -2571 1466
+rect -2640 -1466 -2571 -1250
+rect -2447 1250 -2378 1466
+rect -2447 -1466 -2378 -1250
+rect -2254 1250 -2185 1466
+rect -2254 -1466 -2185 -1250
+rect -2061 1250 -1992 1466
+rect -2061 -1466 -1992 -1250
+rect -1868 1250 -1799 1466
+rect -1868 -1466 -1799 -1250
+rect -1675 1250 -1606 1466
+rect -1675 -1466 -1606 -1250
+rect -1482 1250 -1413 1466
+rect -1482 -1466 -1413 -1250
+rect -1289 1250 -1220 1466
+rect -1289 -1466 -1220 -1250
+rect -1096 1250 -1027 1466
+rect -1096 -1466 -1027 -1250
+rect -903 1250 -834 1466
+rect -903 -1466 -834 -1250
+rect -710 1250 -641 1466
+rect -710 -1466 -641 -1250
+rect -517 1250 -448 1466
+rect -517 -1466 -448 -1250
+rect -324 1250 -255 1466
+rect -324 -1466 -255 -1250
+rect -131 1250 -62 1466
+rect -131 -1466 -62 -1250
+rect 62 1250 131 1466
+rect 62 -1466 131 -1250
+rect 255 1250 324 1466
+rect 255 -1466 324 -1250
+rect 448 1250 517 1466
+rect 448 -1466 517 -1250
+rect 641 1250 710 1466
+rect 641 -1466 710 -1250
+rect 834 1250 903 1466
+rect 834 -1466 903 -1250
+rect 1027 1250 1096 1466
+rect 1027 -1466 1096 -1250
+rect 1220 1250 1289 1466
+rect 1220 -1466 1289 -1250
+rect 1413 1250 1482 1466
+rect 1413 -1466 1482 -1250
+rect 1606 1250 1675 1466
+rect 1606 -1466 1675 -1250
+rect 1799 1250 1868 1466
+rect 1799 -1466 1868 -1250
+rect 1992 1250 2061 1466
+rect 1992 -1466 2061 -1250
+rect 2185 1250 2254 1466
+rect 2185 -1466 2254 -1250
+rect 2378 1250 2447 1466
+rect 2378 -1466 2447 -1250
+rect 2571 1250 2640 1466
+rect 2571 -1466 2640 -1250
+<< xpolyres >>
+rect -2640 -1250 -2571 1250
+rect -2447 -1250 -2378 1250
+rect -2254 -1250 -2185 1250
+rect -2061 -1250 -1992 1250
+rect -1868 -1250 -1799 1250
+rect -1675 -1250 -1606 1250
+rect -1482 -1250 -1413 1250
+rect -1289 -1250 -1220 1250
+rect -1096 -1250 -1027 1250
+rect -903 -1250 -834 1250
+rect -710 -1250 -641 1250
+rect -517 -1250 -448 1250
+rect -324 -1250 -255 1250
+rect -131 -1250 -62 1250
+rect 62 -1250 131 1250
+rect 255 -1250 324 1250
+rect 448 -1250 517 1250
+rect 641 -1250 710 1250
+rect 834 -1250 903 1250
+rect 1027 -1250 1096 1250
+rect 1220 -1250 1289 1250
+rect 1413 -1250 1482 1250
+rect 1606 -1250 1675 1250
+rect 1799 -1250 1868 1250
+rect 1992 -1250 2061 1250
+rect 2185 -1250 2254 1250
+rect 2378 -1250 2447 1250
+rect 2571 -1250 2640 1250
+<< locali >>
+rect -2705 1514 -2657 1531
+rect 2657 1514 2705 1531
+rect -2705 1483 -2688 1514
+rect 2688 1483 2705 1514
+rect -2705 -1514 -2688 -1483
+rect 2688 -1514 2705 -1483
+rect -2705 -1531 -2657 -1514
+rect 2657 -1531 2705 -1514
+<< res0p69 >>
+rect -2641 -1251 -2570 1251
+rect -2448 -1251 -2377 1251
+rect -2255 -1251 -2184 1251
+rect -2062 -1251 -1991 1251
+rect -1869 -1251 -1798 1251
+rect -1676 -1251 -1605 1251
+rect -1483 -1251 -1412 1251
+rect -1290 -1251 -1219 1251
+rect -1097 -1251 -1026 1251
+rect -904 -1251 -833 1251
+rect -711 -1251 -640 1251
+rect -518 -1251 -447 1251
+rect -325 -1251 -254 1251
+rect -132 -1251 -61 1251
+rect 61 -1251 132 1251
+rect 254 -1251 325 1251
+rect 447 -1251 518 1251
+rect 640 -1251 711 1251
+rect 833 -1251 904 1251
+rect 1026 -1251 1097 1251
+rect 1219 -1251 1290 1251
+rect 1412 -1251 1483 1251
+rect 1605 -1251 1676 1251
+rect 1798 -1251 1869 1251
+rect 1991 -1251 2062 1251
+rect 2184 -1251 2255 1251
+rect 2377 -1251 2448 1251
+rect 2570 -1251 2641 1251
+<< properties >>
+string gencell sky130_fd_pr__res_xhigh_po_0p69
+string FIXED_BBOX -2696 -1522 2696 1522
+string parameters w 0.69 l 25.0 m 1 nx 28 wmin 0.690 lmin 0.50 rho 2000 val 72.811k dummy 0 dw 0.0 term 120 sterm 0.0 caplen 0 wmax 0.690 guard 1 glc 1 grc 1 gtc 1 gbc 1 compatible {sky130_fd_pr__res_xhigh_po_0p35  sky130_fd_pr__res_xhigh_po_0p69 sky130_fd_pr__res_xhigh_po_1p41  sky130_fd_pr__res_xhigh_po_2p85 sky130_fd_pr__res_xhigh_po_5p73} full_metal 1
+string library sky130
+<< end >>
diff --git a/ngspice/bsim4v5.out b/ngspice/bsim4v5.out
new file mode 100644
index 0000000..25ac36c
--- /dev/null
+++ b/ngspice/bsim4v5.out
@@ -0,0 +1,5 @@
+BSIM4v5: Berkeley Short Channel IGFET Model-4
+Developed by Xuemei (Jane) Xi, Mohan Dunga, Prof. Ali Niknejad and Prof. Chenming Hu in 2003.
+
+++++++++++ BSIM4v5 PARAMETER CHECKING BELOW ++++++++++
+Model = xpor.xm1:sky130_fd_pr__nfet_g5v0d10v5__model.53
diff --git a/ngspice/simple_por.spice b/ngspice/simple_por.spice
index e4fd85e..91dc66f 100644
--- a/ngspice/simple_por.spice
+++ b/ngspice/simple_por.spice
@@ -31,7 +31,10 @@
 
 * Note: 20 resistors of length 25um connected in series
 Xres1 vdda vin vss sky130_fd_pr__res_xhigh_po_0p69 l=500
-Xres2 vin vss vss sky130_fd_pr__res_xhigh_po_0p69 l=149
+* Note: 6 resistors of length 25um connected in series
+Xres2 vin vss vss sky130_fd_pr__res_xhigh_po_0p69 l=150
+* Note: 2 dummy resistors of length 25um
+Xres3 vss vss vss sky130_fd_pr__res_xhigh_po_0p69 l=50
 
 * Triple current mirror, ratios 8:1, 7:1, and 7:1, with p-cascodes
 *   D     G     S     B
@@ -59,6 +62,9 @@
 * Level shift down (inverter)
 Xlv2 out vss vss vccd vccd porb_l sky130_fd_sc_hvl__inv_8
 
+* Fill cell
+Xfill vss vss vccd vccd sky130_fd_sc_hvl__fill_4
+
 * No tap cell in library?
 * Xtap vdda vss sky130_fd_sc_hvl__tapvpwrvgnd_1