blob: 4148e8d77399e3a8e97af250bc73b662956b32b1 [file] [log] [blame]
/----------------------------------------------------------------------------\
| |
| yosys -- Yosys Open SYnthesis Suite |
| |
| Copyright (C) 2012 - 2020 Claire Wolf <claire@symbioticeda.com> |
| |
| Permission to use, copy, modify, and/or distribute this software for any |
| purpose with or without fee is hereby granted, provided that the above |
| copyright notice and this permission notice appear in all copies. |
| |
| THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES |
| WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF |
| MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR |
| ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES |
| WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN |
| ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF |
| OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. |
| |
\----------------------------------------------------------------------------/
Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
[TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `exec' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `trace' -> skip.
1. Executing Verilog-2005 frontend: /project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v
Parsing Verilog input from `/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v' to AST representation.
Generating RTLIL representation for module `\ycell'.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:50: Warning: Identifier `\hfsm.lmatch[1]' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:51: Warning: Identifier `\hfsm.lmatch[0]' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:52: Warning: Identifier `\_041_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:57: Warning: Identifier `\hfsm.lin[1]' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:58: Warning: Identifier `\hfsm.lin[0]' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:60: Warning: Identifier `\_042_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:65: Warning: Identifier `\hfsm.nlmempty' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:67: Warning: Identifier `\_043_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:72: Warning: Identifier `\cfg.cnfg[1]' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:73: Warning: Identifier `\_044_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:78: Warning: Identifier `\cfg.cnfg[0]' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:79: Warning: Identifier `\_045_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:86: Warning: Identifier `\_046_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:92: Warning: Identifier `\_047_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:99: Warning: Identifier `\_048_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:106: Warning: Identifier `\_049_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:113: Warning: Identifier `\_050_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:119: Warning: Identifier `\_051_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:125: Warning: Identifier `\_052_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:133: Warning: Identifier `\_053_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:147: Warning: Identifier `\_054_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:153: Warning: Identifier `\_055_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:159: Warning: Identifier `\_056_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:166: Warning: Identifier `\_057_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:172: Warning: Identifier `\_058_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:178: Warning: Identifier `\_059_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:183: Warning: Identifier `\vfsm.lmatch[1]' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:184: Warning: Identifier `\vfsm.lin[1]' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:186: Warning: Identifier `\vfsm.in[1]' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:205: Warning: Identifier `\_060_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:211: Warning: Identifier `\_061_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:218: Warning: Identifier `\_062_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:225: Warning: Identifier `\_063_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:231: Warning: Identifier `\vfsm.lin[0]' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:232: Warning: Identifier `\_064_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:239: Warning: Identifier `\vfsm.lmatch[0]' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:240: Warning: Identifier `\_065_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:248: Warning: Identifier `\_066_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:255: Warning: Identifier `\_067_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:268: Warning: Identifier `\_068_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:275: Warning: Identifier `\_069_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:289: Warning: Identifier `\_070_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:296: Warning: Identifier `\_071_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:303: Warning: Identifier `\_072_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:310: Warning: Identifier `\_073_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:318: Warning: Identifier `\_074_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:332: Warning: Identifier `\_075_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:337: Warning: Identifier `\vfsm.clear' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:344: Warning: Identifier `\vfsm.nlmempty' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:345: Warning: Identifier `\_076_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:352: Warning: Identifier `\_077_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:359: Warning: Identifier `\_078_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:367: Warning: Identifier `\_000_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:381: Warning: Identifier `\_001_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:387: Warning: Identifier `\_002_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:393: Warning: Identifier `\_003_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:401: Warning: Identifier `\hfsm.in[1]' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:418: Warning: Identifier `\_004_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:426: Warning: Identifier `\_005_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:433: Warning: Identifier `\_006_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:440: Warning: Identifier `\_007_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:454: Warning: Identifier `\_008_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:467: Warning: Identifier `\_009_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:474: Warning: Identifier `\_010_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:481: Warning: Identifier `\_011_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:488: Warning: Identifier `\_012_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:496: Warning: Identifier `\_013_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:504: Warning: Identifier `\_014_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:511: Warning: Identifier `\_015_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:524: Warning: Identifier `\_016_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:531: Warning: Identifier `\_017_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:538: Warning: Identifier `\_018_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:547: Warning: Identifier `\_019_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:554: Warning: Identifier `\_020_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:561: Warning: Identifier `\_021_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:574: Warning: Identifier `\_022_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:581: Warning: Identifier `\_023_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:588: Warning: Identifier `\_024_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:593: Warning: Identifier `\hfsm.clear' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:602: Warning: Identifier `\_025_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:610: Warning: Identifier `\_026_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:624: Warning: Identifier `\_027_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:631: Warning: Identifier `\_028_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:645: Warning: Identifier `\_029_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:659: Warning: Identifier `\_030_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:674: Warning: Identifier `\_031_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:688: Warning: Identifier `\_032_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:702: Warning: Identifier `\_033_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:711: Warning: Identifier `\_034_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:727: Warning: Identifier `\_035_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:735: Warning: Identifier `\_036_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:742: Warning: Identifier `\_037_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:750: Warning: Identifier `\_038_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:764: Warning: Identifier `\_039_' is implicitly declared.
/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:773: Warning: Identifier `\_040_' is implicitly declared.
Successfully finished Verilog frontend.
2. Executing Verilog backend.
Dumping module `\ycell'.
Warnings: 95 unique messages, 95 total
End of script. Logfile hash: 855787357e, CPU: user 0.29s system 0.00s, MEM: 11.35 MB peak
Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
Time spent: 77% 2x write_verilog (0 sec), 22% 2x read_verilog (0 sec)