blob: ebedcf38c9e4bd0acc4a50de7a00881c2acc2aef [file] [log] [blame]
OpenSTA 2.2.0 0d73b5b65a Copyright (c) 2019, Parallax Software, Inc.
License GPLv3: GNU GPL version 3 <http://gnu.org/licenses/gpl.html>
This is free software, and you are free to change and redistribute it
under certain conditions; type `show_copying' for details.
This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.
Warning: /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib, line 31 default_operating_condition ff_n40C_1v95 not found.
Warning: /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib, line 32 default_operating_condition ss_100C_1v60 not found.
Warning: /project/openlane/user_id_programming/runs/user_id_programming/results/synthesis/user_id_programming.synthesis_preroute.v, line 94 module sky130_fd_sc_hd__tapvpwrvgnd_1 not found. Creating black box for PHY_18.
Warning: /project/openlane/user_id_programming/runs/user_id_programming/results/synthesis/user_id_programming.synthesis_preroute.v, line 102 module sky130_fd_sc_hd__fill_2 not found. Creating black box for FILLER_0_29.
Warning: /project/openlane/user_id_programming/runs/user_id_programming/results/synthesis/user_id_programming.synthesis_preroute.v, line 107 module sky130_fd_sc_hd__fill_1 not found. Creating black box for FILLER_1_10.
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD)
Error: base.sdc, 1 can't read "::env(CLOCK_PORT)": no such variable
tns 0.00
wns 0.00