blob: b8547b7278b35e17c176416da200ecba0e4ab9bd [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_proj_example ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 250000 250000 ) ;
ROW ROW_0 unithd 5520 10880 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 FS DO 519 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 N DO 519 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 FS DO 519 BY 1 STEP 460 0 ;
TRACKS X 230 DO 543 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 735 STEP 340 LAYER li1 ;
TRACKS X 170 DO 735 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 735 STEP 340 LAYER met1 ;
TRACKS X 230 DO 543 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 543 STEP 460 LAYER met2 ;
TRACKS X 340 DO 368 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 368 STEP 680 LAYER met3 ;
TRACKS X 460 DO 272 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 272 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 74 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 74 STEP 3400 LAYER met5 ;
COMPONENTS 672 ;
- _0402_ sky130_fd_sc_hd__inv_2 ;
- _0403_ sky130_fd_sc_hd__o22a_4 ;
- _0404_ sky130_fd_sc_hd__buf_2 ;
- _0405_ sky130_fd_sc_hd__buf_2 ;
- _0406_ sky130_fd_sc_hd__inv_2 ;
- _0407_ sky130_fd_sc_hd__buf_2 ;
- _0408_ sky130_fd_sc_hd__and2_4 ;
- _0409_ sky130_fd_sc_hd__buf_2 ;
- _0410_ sky130_fd_sc_hd__buf_2 ;
- _0411_ sky130_fd_sc_hd__buf_2 ;
- _0412_ sky130_fd_sc_hd__inv_2 ;
- _0413_ sky130_fd_sc_hd__inv_2 ;
- _0414_ sky130_fd_sc_hd__inv_2 ;
- _0415_ sky130_fd_sc_hd__or4_4 ;
- _0416_ sky130_fd_sc_hd__buf_2 ;
- _0417_ sky130_fd_sc_hd__or2_4 ;
- _0418_ sky130_fd_sc_hd__inv_2 ;
- _0419_ sky130_fd_sc_hd__inv_2 ;
- _0420_ sky130_fd_sc_hd__inv_2 ;
- _0421_ sky130_fd_sc_hd__inv_2 ;
- _0422_ sky130_fd_sc_hd__inv_2 ;
- _0423_ sky130_fd_sc_hd__inv_2 ;
- _0424_ sky130_fd_sc_hd__inv_2 ;
- _0425_ sky130_fd_sc_hd__or2_4 ;
- _0426_ sky130_fd_sc_hd__inv_2 ;
- _0427_ sky130_fd_sc_hd__inv_2 ;
- _0428_ sky130_fd_sc_hd__inv_2 ;
- _0429_ sky130_fd_sc_hd__inv_2 ;
- _0430_ sky130_fd_sc_hd__or4_4 ;
- _0431_ sky130_fd_sc_hd__inv_2 ;
- _0432_ sky130_fd_sc_hd__inv_2 ;
- _0433_ sky130_fd_sc_hd__inv_2 ;
- _0434_ sky130_fd_sc_hd__inv_2 ;
- _0435_ sky130_fd_sc_hd__or4_4 ;
- _0436_ sky130_fd_sc_hd__inv_2 ;
- _0437_ sky130_fd_sc_hd__inv_2 ;
- _0438_ sky130_fd_sc_hd__inv_2 ;
- _0439_ sky130_fd_sc_hd__inv_2 ;
- _0440_ sky130_fd_sc_hd__or2_4 ;
- _0441_ sky130_fd_sc_hd__inv_2 ;
- _0442_ sky130_fd_sc_hd__inv_2 ;
- _0443_ sky130_fd_sc_hd__inv_2 ;
- _0444_ sky130_fd_sc_hd__inv_2 ;
- _0445_ sky130_fd_sc_hd__or4_4 ;
- _0446_ sky130_fd_sc_hd__or4_4 ;
- _0447_ sky130_fd_sc_hd__inv_2 ;
- _0448_ sky130_fd_sc_hd__inv_2 ;
- _0449_ sky130_fd_sc_hd__inv_2 ;
- _0450_ sky130_fd_sc_hd__or2_4 ;
- _0451_ sky130_fd_sc_hd__inv_2 ;
- _0452_ sky130_fd_sc_hd__inv_2 ;
- _0453_ sky130_fd_sc_hd__inv_2 ;
- _0454_ sky130_fd_sc_hd__inv_2 ;
- _0455_ sky130_fd_sc_hd__inv_2 ;
- _0456_ sky130_fd_sc_hd__or4_4 ;
- _0457_ sky130_fd_sc_hd__or4_4 ;
- _0458_ sky130_fd_sc_hd__or2_4 ;
- _0459_ sky130_fd_sc_hd__nor2_4 ;
- _0460_ sky130_fd_sc_hd__nor2_4 ;
- _0461_ sky130_fd_sc_hd__buf_2 ;
- _0462_ sky130_fd_sc_hd__nor2_4 ;
- _0463_ sky130_fd_sc_hd__buf_2 ;
- _0464_ sky130_fd_sc_hd__nor2_4 ;
- _0465_ sky130_fd_sc_hd__or4_4 ;
- _0466_ sky130_fd_sc_hd__nor2_4 ;
- _0467_ sky130_fd_sc_hd__nor2_4 ;
- _0468_ sky130_fd_sc_hd__buf_2 ;
- _0469_ sky130_fd_sc_hd__nor2_4 ;
- _0470_ sky130_fd_sc_hd__nor2_4 ;
- _0471_ sky130_fd_sc_hd__or4_4 ;
- _0472_ sky130_fd_sc_hd__nor2_4 ;
- _0473_ sky130_fd_sc_hd__nor2_4 ;
- _0474_ sky130_fd_sc_hd__buf_2 ;
- _0475_ sky130_fd_sc_hd__nor2_4 ;
- _0476_ sky130_fd_sc_hd__buf_2 ;
- _0477_ sky130_fd_sc_hd__nor2_4 ;
- _0478_ sky130_fd_sc_hd__or4_4 ;
- _0479_ sky130_fd_sc_hd__nor2_4 ;
- _0480_ sky130_fd_sc_hd__nor2_4 ;
- _0481_ sky130_fd_sc_hd__nor2_4 ;
- _0482_ sky130_fd_sc_hd__nor2_4 ;
- _0483_ sky130_fd_sc_hd__or4_4 ;
- _0484_ sky130_fd_sc_hd__or4_4 ;
- _0485_ sky130_fd_sc_hd__nor2_4 ;
- _0486_ sky130_fd_sc_hd__nor2_4 ;
- _0487_ sky130_fd_sc_hd__nor2_4 ;
- _0488_ sky130_fd_sc_hd__nor2_4 ;
- _0489_ sky130_fd_sc_hd__or4_4 ;
- _0490_ sky130_fd_sc_hd__nor2_4 ;
- _0491_ sky130_fd_sc_hd__nor2_4 ;
- _0492_ sky130_fd_sc_hd__nor2_4 ;
- _0493_ sky130_fd_sc_hd__nor2_4 ;
- _0494_ sky130_fd_sc_hd__or4_4 ;
- _0495_ sky130_fd_sc_hd__nor2_4 ;
- _0496_ sky130_fd_sc_hd__nor2_4 ;
- _0497_ sky130_fd_sc_hd__nor2_4 ;
- _0498_ sky130_fd_sc_hd__nor2_4 ;
- _0499_ sky130_fd_sc_hd__or4_4 ;
- _0500_ sky130_fd_sc_hd__nor2_4 ;
- _0501_ sky130_fd_sc_hd__nor2_4 ;
- _0502_ sky130_fd_sc_hd__nor2_4 ;
- _0503_ sky130_fd_sc_hd__nor2_4 ;
- _0504_ sky130_fd_sc_hd__or4_4 ;
- _0505_ sky130_fd_sc_hd__or4_4 ;
- _0506_ sky130_fd_sc_hd__or2_4 ;
- _0507_ sky130_fd_sc_hd__or4_4 ;
- _0508_ sky130_fd_sc_hd__or4_4 ;
- _0509_ sky130_fd_sc_hd__or3_4 ;
- _0510_ sky130_fd_sc_hd__nand2_4 ;
- _0511_ sky130_fd_sc_hd__or2_4 ;
- _0512_ sky130_fd_sc_hd__buf_2 ;
- _0513_ sky130_fd_sc_hd__inv_2 ;
- _0514_ sky130_fd_sc_hd__a21o_4 ;
- _0515_ sky130_fd_sc_hd__and3_4 ;
- _0516_ sky130_fd_sc_hd__buf_2 ;
- _0517_ sky130_fd_sc_hd__nor2_4 ;
- _0518_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0519_ sky130_fd_sc_hd__nor2_4 ;
- _0520_ sky130_fd_sc_hd__a211o_4 ;
- _0521_ sky130_fd_sc_hd__inv_2 ;
- _0522_ sky130_fd_sc_hd__or2_4 ;
- _0523_ sky130_fd_sc_hd__and2_4 ;
- _0524_ sky130_fd_sc_hd__o21ai_4 ;
- _0525_ sky130_fd_sc_hd__and3_4 ;
- _0526_ sky130_fd_sc_hd__or3_4 ;
- _0527_ sky130_fd_sc_hd__inv_2 ;
- _0528_ sky130_fd_sc_hd__or2_4 ;
- _0529_ sky130_fd_sc_hd__a32o_4 ;
- _0530_ sky130_fd_sc_hd__nor2_4 ;
- _0531_ sky130_fd_sc_hd__a211o_4 ;
- _0532_ sky130_fd_sc_hd__inv_2 ;
- _0533_ sky130_fd_sc_hd__buf_2 ;
- _0534_ sky130_fd_sc_hd__or2_4 ;
- _0535_ sky130_fd_sc_hd__a32o_4 ;
- _0536_ sky130_fd_sc_hd__and2_4 ;
- _0537_ sky130_fd_sc_hd__nor2_4 ;
- _0538_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0539_ sky130_fd_sc_hd__nor2_4 ;
- _0540_ sky130_fd_sc_hd__a211o_4 ;
- _0541_ sky130_fd_sc_hd__inv_2 ;
- _0542_ sky130_fd_sc_hd__or2_4 ;
- _0543_ sky130_fd_sc_hd__and2_4 ;
- _0544_ sky130_fd_sc_hd__o21ai_4 ;
- _0545_ sky130_fd_sc_hd__and3_4 ;
- _0546_ sky130_fd_sc_hd__inv_2 ;
- _0547_ sky130_fd_sc_hd__or4_4 ;
- _0548_ sky130_fd_sc_hd__buf_2 ;
- _0549_ sky130_fd_sc_hd__or2_4 ;
- _0550_ sky130_fd_sc_hd__or2_4 ;
- _0551_ sky130_fd_sc_hd__or2_4 ;
- _0552_ sky130_fd_sc_hd__or3_4 ;
- _0553_ sky130_fd_sc_hd__or2_4 ;
- _0554_ sky130_fd_sc_hd__buf_2 ;
- _0555_ sky130_fd_sc_hd__inv_2 ;
- _0556_ sky130_fd_sc_hd__nor2_4 ;
- _0557_ sky130_fd_sc_hd__a211o_4 ;
- _0558_ sky130_fd_sc_hd__and3_4 ;
- _0559_ sky130_fd_sc_hd__or2_4 ;
- _0560_ sky130_fd_sc_hd__nand2_4 ;
- _0561_ sky130_fd_sc_hd__a21o_4 ;
- _0562_ sky130_fd_sc_hd__and3_4 ;
- _0563_ sky130_fd_sc_hd__buf_2 ;
- _0564_ sky130_fd_sc_hd__nor2_4 ;
- _0565_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0566_ sky130_fd_sc_hd__nor2_4 ;
- _0567_ sky130_fd_sc_hd__a211o_4 ;
- _0568_ sky130_fd_sc_hd__inv_2 ;
- _0569_ sky130_fd_sc_hd__buf_2 ;
- _0570_ sky130_fd_sc_hd__or2_4 ;
- _0571_ sky130_fd_sc_hd__and2_4 ;
- _0572_ sky130_fd_sc_hd__o21ai_4 ;
- _0573_ sky130_fd_sc_hd__and3_4 ;
- _0574_ sky130_fd_sc_hd__buf_2 ;
- _0575_ sky130_fd_sc_hd__or4_4 ;
- _0576_ sky130_fd_sc_hd__inv_2 ;
- _0577_ sky130_fd_sc_hd__or2_4 ;
- _0578_ sky130_fd_sc_hd__a32o_4 ;
- _0579_ sky130_fd_sc_hd__buf_2 ;
- _0580_ sky130_fd_sc_hd__nor2_4 ;
- _0581_ sky130_fd_sc_hd__a211o_4 ;
- _0582_ sky130_fd_sc_hd__inv_2 ;
- _0583_ sky130_fd_sc_hd__or2_4 ;
- _0584_ sky130_fd_sc_hd__a32o_4 ;
- _0585_ sky130_fd_sc_hd__and2_4 ;
- _0586_ sky130_fd_sc_hd__nor2_4 ;
- _0587_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0588_ sky130_fd_sc_hd__nor2_4 ;
- _0589_ sky130_fd_sc_hd__a211o_4 ;
- _0590_ sky130_fd_sc_hd__inv_2 ;
- _0591_ sky130_fd_sc_hd__or2_4 ;
- _0592_ sky130_fd_sc_hd__and2_4 ;
- _0593_ sky130_fd_sc_hd__o21ai_4 ;
- _0594_ sky130_fd_sc_hd__and3_4 ;
- _0595_ sky130_fd_sc_hd__inv_2 ;
- _0596_ sky130_fd_sc_hd__or4_4 ;
- _0597_ sky130_fd_sc_hd__buf_2 ;
- _0598_ sky130_fd_sc_hd__or2_4 ;
- _0599_ sky130_fd_sc_hd__buf_2 ;
- _0600_ sky130_fd_sc_hd__inv_2 ;
- _0601_ sky130_fd_sc_hd__or2_4 ;
- _0602_ sky130_fd_sc_hd__or2_4 ;
- _0603_ sky130_fd_sc_hd__or4_4 ;
- _0604_ sky130_fd_sc_hd__inv_2 ;
- _0605_ sky130_fd_sc_hd__o22a_4 ;
- _0606_ sky130_fd_sc_hd__or2_4 ;
- _0607_ sky130_fd_sc_hd__and3_4 ;
- _0608_ sky130_fd_sc_hd__buf_2 ;
- _0609_ sky130_fd_sc_hd__or2_4 ;
- _0610_ sky130_fd_sc_hd__or2_4 ;
- _0611_ sky130_fd_sc_hd__a21o_4 ;
- _0612_ sky130_fd_sc_hd__nor2_4 ;
- _0613_ sky130_fd_sc_hd__a211o_4 ;
- _0614_ sky130_fd_sc_hd__inv_2 ;
- _0615_ sky130_fd_sc_hd__inv_2 ;
- _0616_ sky130_fd_sc_hd__or2_4 ;
- _0617_ sky130_fd_sc_hd__a32o_4 ;
- _0618_ sky130_fd_sc_hd__and2_4 ;
- _0619_ sky130_fd_sc_hd__or2_4 ;
- _0620_ sky130_fd_sc_hd__and2_4 ;
- _0621_ sky130_fd_sc_hd__o21ai_4 ;
- _0622_ sky130_fd_sc_hd__and3_4 ;
- _0623_ sky130_fd_sc_hd__or4_4 ;
- _0624_ sky130_fd_sc_hd__inv_2 ;
- _0625_ sky130_fd_sc_hd__or2_4 ;
- _0626_ sky130_fd_sc_hd__a32o_4 ;
- _0627_ sky130_fd_sc_hd__nor2_4 ;
- _0628_ sky130_fd_sc_hd__a211o_4 ;
- _0629_ sky130_fd_sc_hd__inv_2 ;
- _0630_ sky130_fd_sc_hd__or2_4 ;
- _0631_ sky130_fd_sc_hd__a32o_4 ;
- _0632_ sky130_fd_sc_hd__and2_4 ;
- _0633_ sky130_fd_sc_hd__nor2_4 ;
- _0634_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0635_ sky130_fd_sc_hd__nor2_4 ;
- _0636_ sky130_fd_sc_hd__a211o_4 ;
- _0637_ sky130_fd_sc_hd__inv_2 ;
- _0638_ sky130_fd_sc_hd__or2_4 ;
- _0639_ sky130_fd_sc_hd__and2_4 ;
- _0640_ sky130_fd_sc_hd__o21ai_4 ;
- _0641_ sky130_fd_sc_hd__and3_4 ;
- _0642_ sky130_fd_sc_hd__buf_2 ;
- _0643_ sky130_fd_sc_hd__inv_2 ;
- _0644_ sky130_fd_sc_hd__or4_4 ;
- _0645_ sky130_fd_sc_hd__buf_2 ;
- _0646_ sky130_fd_sc_hd__or2_4 ;
- _0647_ sky130_fd_sc_hd__or2_4 ;
- _0648_ sky130_fd_sc_hd__or2_4 ;
- _0649_ sky130_fd_sc_hd__or2_4 ;
- _0650_ sky130_fd_sc_hd__or2_4 ;
- _0651_ sky130_fd_sc_hd__inv_2 ;
- _0652_ sky130_fd_sc_hd__nor2_4 ;
- _0653_ sky130_fd_sc_hd__a211o_4 ;
- _0654_ sky130_fd_sc_hd__and3_4 ;
- _0655_ sky130_fd_sc_hd__or2_4 ;
- _0656_ sky130_fd_sc_hd__nand2_4 ;
- _0657_ sky130_fd_sc_hd__a21o_4 ;
- _0658_ sky130_fd_sc_hd__and3_4 ;
- _0659_ sky130_fd_sc_hd__or2_4 ;
- _0660_ sky130_fd_sc_hd__nand2_4 ;
- _0661_ sky130_fd_sc_hd__a21o_4 ;
- _0662_ sky130_fd_sc_hd__and3_4 ;
- _0663_ sky130_fd_sc_hd__or2_4 ;
- _0664_ sky130_fd_sc_hd__nand2_4 ;
- _0665_ sky130_fd_sc_hd__a21o_4 ;
- _0666_ sky130_fd_sc_hd__and3_4 ;
- _0667_ sky130_fd_sc_hd__or2_4 ;
- _0668_ sky130_fd_sc_hd__or2_4 ;
- _0669_ sky130_fd_sc_hd__inv_2 ;
- _0670_ sky130_fd_sc_hd__a21o_4 ;
- _0671_ sky130_fd_sc_hd__a32o_4 ;
- _0672_ sky130_fd_sc_hd__and2_4 ;
- _0673_ sky130_fd_sc_hd__buf_2 ;
- _0674_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0675_ sky130_fd_sc_hd__nor2_4 ;
- _0676_ sky130_fd_sc_hd__a211o_4 ;
- _0677_ sky130_fd_sc_hd__inv_2 ;
- _0678_ sky130_fd_sc_hd__or2_4 ;
- _0679_ sky130_fd_sc_hd__and2_4 ;
- _0680_ sky130_fd_sc_hd__o21ai_4 ;
- _0681_ sky130_fd_sc_hd__and3_4 ;
- _0682_ sky130_fd_sc_hd__a21bo_4 ;
- _0683_ sky130_fd_sc_hd__nor2_4 ;
- _0684_ sky130_fd_sc_hd__a211o_4 ;
- _0685_ sky130_fd_sc_hd__inv_2 ;
- _0686_ sky130_fd_sc_hd__or3_4 ;
- _0687_ sky130_fd_sc_hd__inv_2 ;
- _0688_ sky130_fd_sc_hd__buf_2 ;
- _0689_ sky130_fd_sc_hd__inv_2 ;
- _0690_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0691_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0692_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0693_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0694_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0695_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0696_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0697_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0698_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0699_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0700_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0701_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0702_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0703_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0704_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0705_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0706_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0707_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0708_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0709_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0710_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0711_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0712_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0713_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0714_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0715_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0716_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0717_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0718_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0719_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0720_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0721_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0722_ sky130_fd_sc_hd__inv_2 ;
- _0723_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0724_ sky130_fd_sc_hd__inv_2 ;
- _0725_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0726_ sky130_fd_sc_hd__inv_2 ;
- _0727_ sky130_fd_sc_hd__buf_2 ;
- _0728_ sky130_fd_sc_hd__buf_2 ;
- _0729_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0730_ sky130_fd_sc_hd__inv_2 ;
- _0731_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0732_ sky130_fd_sc_hd__inv_2 ;
- _0733_ sky130_fd_sc_hd__buf_2 ;
- _0734_ sky130_fd_sc_hd__buf_2 ;
- _0735_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0736_ sky130_fd_sc_hd__inv_2 ;
- _0737_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0738_ sky130_fd_sc_hd__inv_2 ;
- _0739_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0740_ sky130_fd_sc_hd__inv_2 ;
- _0741_ sky130_fd_sc_hd__buf_2 ;
- _0742_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0743_ sky130_fd_sc_hd__inv_2 ;
- _0744_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0745_ sky130_fd_sc_hd__inv_2 ;
- _0746_ sky130_fd_sc_hd__buf_2 ;
- _0747_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0748_ sky130_fd_sc_hd__inv_2 ;
- _0749_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0750_ sky130_fd_sc_hd__inv_2 ;
- _0751_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0752_ sky130_fd_sc_hd__inv_2 ;
- _0753_ sky130_fd_sc_hd__buf_2 ;
- _0754_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0755_ sky130_fd_sc_hd__inv_2 ;
- _0756_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0757_ sky130_fd_sc_hd__inv_2 ;
- _0758_ sky130_fd_sc_hd__buf_2 ;
- _0759_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0760_ sky130_fd_sc_hd__inv_2 ;
- _0761_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0762_ sky130_fd_sc_hd__inv_2 ;
- _0763_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0764_ sky130_fd_sc_hd__inv_2 ;
- _0765_ sky130_fd_sc_hd__buf_2 ;
- _0766_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0767_ sky130_fd_sc_hd__inv_2 ;
- _0768_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0769_ sky130_fd_sc_hd__inv_2 ;
- _0770_ sky130_fd_sc_hd__buf_2 ;
- _0771_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0772_ sky130_fd_sc_hd__inv_2 ;
- _0773_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0774_ sky130_fd_sc_hd__inv_2 ;
- _0775_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0776_ sky130_fd_sc_hd__inv_2 ;
- _0777_ sky130_fd_sc_hd__buf_2 ;
- _0778_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0779_ sky130_fd_sc_hd__inv_2 ;
- _0780_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0781_ sky130_fd_sc_hd__inv_2 ;
- _0782_ sky130_fd_sc_hd__buf_2 ;
- _0783_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0784_ sky130_fd_sc_hd__inv_2 ;
- _0785_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0786_ sky130_fd_sc_hd__inv_2 ;
- _0787_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0788_ sky130_fd_sc_hd__inv_2 ;
- _0789_ sky130_fd_sc_hd__buf_2 ;
- _0790_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0791_ sky130_fd_sc_hd__inv_2 ;
- _0792_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0793_ sky130_fd_sc_hd__inv_2 ;
- _0794_ sky130_fd_sc_hd__buf_2 ;
- _0795_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0796_ sky130_fd_sc_hd__inv_2 ;
- _0797_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0798_ sky130_fd_sc_hd__inv_2 ;
- _0799_ sky130_fd_sc_hd__a2bb2o_4 ;
- _0800_ sky130_fd_sc_hd__inv_2 ;
- _0801_ sky130_fd_sc_hd__o22a_4 ;
- _0802_ sky130_fd_sc_hd__or2_4 ;
- _0803_ sky130_fd_sc_hd__nor2_4 ;
- _0804_ sky130_fd_sc_hd__a211o_4 ;
- _0805_ sky130_fd_sc_hd__and3_4 ;
- _0806_ sky130_fd_sc_hd__conb_1 ;
- _0807_ sky130_fd_sc_hd__conb_1 ;
- _0808_ sky130_fd_sc_hd__conb_1 ;
- _0809_ sky130_fd_sc_hd__conb_1 ;
- _0810_ sky130_fd_sc_hd__conb_1 ;
- _0811_ sky130_fd_sc_hd__conb_1 ;
- _0812_ sky130_fd_sc_hd__conb_1 ;
- _0813_ sky130_fd_sc_hd__conb_1 ;
- _0814_ sky130_fd_sc_hd__conb_1 ;
- _0815_ sky130_fd_sc_hd__conb_1 ;
- _0816_ sky130_fd_sc_hd__conb_1 ;
- _0817_ sky130_fd_sc_hd__conb_1 ;
- _0818_ sky130_fd_sc_hd__conb_1 ;
- _0819_ sky130_fd_sc_hd__conb_1 ;
- _0820_ sky130_fd_sc_hd__conb_1 ;
- _0821_ sky130_fd_sc_hd__conb_1 ;
- _0822_ sky130_fd_sc_hd__conb_1 ;
- _0823_ sky130_fd_sc_hd__conb_1 ;
- _0824_ sky130_fd_sc_hd__conb_1 ;
- _0825_ sky130_fd_sc_hd__conb_1 ;
- _0826_ sky130_fd_sc_hd__conb_1 ;
- _0827_ sky130_fd_sc_hd__conb_1 ;
- _0828_ sky130_fd_sc_hd__conb_1 ;
- _0829_ sky130_fd_sc_hd__conb_1 ;
- _0830_ sky130_fd_sc_hd__conb_1 ;
- _0831_ sky130_fd_sc_hd__conb_1 ;
- _0832_ sky130_fd_sc_hd__conb_1 ;
- _0833_ sky130_fd_sc_hd__conb_1 ;
- _0834_ sky130_fd_sc_hd__conb_1 ;
- _0835_ sky130_fd_sc_hd__conb_1 ;
- _0836_ sky130_fd_sc_hd__conb_1 ;
- _0837_ sky130_fd_sc_hd__conb_1 ;
- _0838_ sky130_fd_sc_hd__conb_1 ;
- _0839_ sky130_fd_sc_hd__conb_1 ;
- _0840_ sky130_fd_sc_hd__conb_1 ;
- _0841_ sky130_fd_sc_hd__conb_1 ;
- _0842_ sky130_fd_sc_hd__conb_1 ;
- _0843_ sky130_fd_sc_hd__conb_1 ;
- _0844_ sky130_fd_sc_hd__conb_1 ;
- _0845_ sky130_fd_sc_hd__conb_1 ;
- _0846_ sky130_fd_sc_hd__conb_1 ;
- _0847_ sky130_fd_sc_hd__conb_1 ;
- _0848_ sky130_fd_sc_hd__conb_1 ;
- _0849_ sky130_fd_sc_hd__conb_1 ;
- _0850_ sky130_fd_sc_hd__conb_1 ;
- _0851_ sky130_fd_sc_hd__conb_1 ;
- _0852_ sky130_fd_sc_hd__conb_1 ;
- _0853_ sky130_fd_sc_hd__conb_1 ;
- _0854_ sky130_fd_sc_hd__conb_1 ;
- _0855_ sky130_fd_sc_hd__conb_1 ;
- _0856_ sky130_fd_sc_hd__conb_1 ;
- _0857_ sky130_fd_sc_hd__conb_1 ;
- _0858_ sky130_fd_sc_hd__conb_1 ;
- _0859_ sky130_fd_sc_hd__conb_1 ;
- _0860_ sky130_fd_sc_hd__conb_1 ;
- _0861_ sky130_fd_sc_hd__conb_1 ;
- _0862_ sky130_fd_sc_hd__conb_1 ;
- _0863_ sky130_fd_sc_hd__conb_1 ;
- _0864_ sky130_fd_sc_hd__conb_1 ;
- _0865_ sky130_fd_sc_hd__conb_1 ;
- _0866_ sky130_fd_sc_hd__conb_1 ;
- _0867_ sky130_fd_sc_hd__conb_1 ;
- _0868_ sky130_fd_sc_hd__conb_1 ;
- _0869_ sky130_fd_sc_hd__conb_1 ;
- _0870_ sky130_fd_sc_hd__conb_1 ;
- _0871_ sky130_fd_sc_hd__conb_1 ;
- _0872_ sky130_fd_sc_hd__conb_1 ;
- _0873_ sky130_fd_sc_hd__conb_1 ;
- _0874_ sky130_fd_sc_hd__conb_1 ;
- _0875_ sky130_fd_sc_hd__conb_1 ;
- _0876_ sky130_fd_sc_hd__conb_1 ;
- _0877_ sky130_fd_sc_hd__conb_1 ;
- _0878_ sky130_fd_sc_hd__conb_1 ;
- _0879_ sky130_fd_sc_hd__conb_1 ;
- _0880_ sky130_fd_sc_hd__conb_1 ;
- _0881_ sky130_fd_sc_hd__conb_1 ;
- _0882_ sky130_fd_sc_hd__conb_1 ;
- _0883_ sky130_fd_sc_hd__conb_1 ;
- _0884_ sky130_fd_sc_hd__conb_1 ;
- _0885_ sky130_fd_sc_hd__conb_1 ;
- _0886_ sky130_fd_sc_hd__conb_1 ;
- _0887_ sky130_fd_sc_hd__conb_1 ;
- _0888_ sky130_fd_sc_hd__conb_1 ;
- _0889_ sky130_fd_sc_hd__conb_1 ;
- _0890_ sky130_fd_sc_hd__conb_1 ;
- _0891_ sky130_fd_sc_hd__conb_1 ;
- _0892_ sky130_fd_sc_hd__conb_1 ;
- _0893_ sky130_fd_sc_hd__conb_1 ;
- _0894_ sky130_fd_sc_hd__conb_1 ;
- _0895_ sky130_fd_sc_hd__conb_1 ;
- _0896_ sky130_fd_sc_hd__conb_1 ;
- _0897_ sky130_fd_sc_hd__conb_1 ;
- _0898_ sky130_fd_sc_hd__conb_1 ;
- _0899_ sky130_fd_sc_hd__conb_1 ;
- _0900_ sky130_fd_sc_hd__conb_1 ;
- _0901_ sky130_fd_sc_hd__conb_1 ;
- _0902_ sky130_fd_sc_hd__conb_1 ;
- _0903_ sky130_fd_sc_hd__conb_1 ;
- _0904_ sky130_fd_sc_hd__conb_1 ;
- _0905_ sky130_fd_sc_hd__conb_1 ;
- _0906_ sky130_fd_sc_hd__conb_1 ;
- _0907_ sky130_fd_sc_hd__conb_1 ;
- _0908_ sky130_fd_sc_hd__conb_1 ;
- _0909_ sky130_fd_sc_hd__buf_2 ;
- _0910_ sky130_fd_sc_hd__buf_2 ;
- _0911_ sky130_fd_sc_hd__buf_2 ;
- _0912_ sky130_fd_sc_hd__buf_2 ;
- _0913_ sky130_fd_sc_hd__buf_2 ;
- _0914_ sky130_fd_sc_hd__buf_2 ;
- _0915_ sky130_fd_sc_hd__buf_2 ;
- _0916_ sky130_fd_sc_hd__buf_2 ;
- _0917_ sky130_fd_sc_hd__buf_2 ;
- _0918_ sky130_fd_sc_hd__buf_2 ;
- _0919_ sky130_fd_sc_hd__buf_2 ;
- _0920_ sky130_fd_sc_hd__buf_2 ;
- _0921_ sky130_fd_sc_hd__buf_2 ;
- _0922_ sky130_fd_sc_hd__buf_2 ;
- _0923_ sky130_fd_sc_hd__buf_2 ;
- _0924_ sky130_fd_sc_hd__buf_2 ;
- _0925_ sky130_fd_sc_hd__buf_2 ;
- _0926_ sky130_fd_sc_hd__buf_2 ;
- _0927_ sky130_fd_sc_hd__buf_2 ;
- _0928_ sky130_fd_sc_hd__buf_2 ;
- _0929_ sky130_fd_sc_hd__buf_2 ;
- _0930_ sky130_fd_sc_hd__buf_2 ;
- _0931_ sky130_fd_sc_hd__buf_2 ;
- _0932_ sky130_fd_sc_hd__buf_2 ;
- _0933_ sky130_fd_sc_hd__buf_2 ;
- _0934_ sky130_fd_sc_hd__buf_2 ;
- _0935_ sky130_fd_sc_hd__buf_2 ;
- _0936_ sky130_fd_sc_hd__buf_2 ;
- _0937_ sky130_fd_sc_hd__buf_2 ;
- _0938_ sky130_fd_sc_hd__buf_2 ;
- _0939_ sky130_fd_sc_hd__buf_2 ;
- _0940_ sky130_fd_sc_hd__buf_2 ;
- _0941_ sky130_fd_sc_hd__buf_2 ;
- _0942_ sky130_fd_sc_hd__buf_2 ;
- _0943_ sky130_fd_sc_hd__buf_2 ;
- _0944_ sky130_fd_sc_hd__buf_2 ;
- _0945_ sky130_fd_sc_hd__buf_2 ;
- _0946_ sky130_fd_sc_hd__buf_2 ;
- _0947_ sky130_fd_sc_hd__buf_2 ;
- _0948_ sky130_fd_sc_hd__buf_2 ;
- _0949_ sky130_fd_sc_hd__buf_2 ;
- _0950_ sky130_fd_sc_hd__buf_2 ;
- _0951_ sky130_fd_sc_hd__buf_2 ;
- _0952_ sky130_fd_sc_hd__buf_2 ;
- _0953_ sky130_fd_sc_hd__buf_2 ;
- _0954_ sky130_fd_sc_hd__buf_2 ;
- _0955_ sky130_fd_sc_hd__buf_2 ;
- _0956_ sky130_fd_sc_hd__buf_2 ;
- _0957_ sky130_fd_sc_hd__buf_2 ;
- _0958_ sky130_fd_sc_hd__buf_2 ;
- _0959_ sky130_fd_sc_hd__buf_2 ;
- _0960_ sky130_fd_sc_hd__buf_2 ;
- _0961_ sky130_fd_sc_hd__buf_2 ;
- _0962_ sky130_fd_sc_hd__buf_2 ;
- _0963_ sky130_fd_sc_hd__buf_2 ;
- _0964_ sky130_fd_sc_hd__buf_2 ;
- _0965_ sky130_fd_sc_hd__buf_2 ;
- _0966_ sky130_fd_sc_hd__buf_2 ;
- _0967_ sky130_fd_sc_hd__buf_2 ;
- _0968_ sky130_fd_sc_hd__buf_2 ;
- _0969_ sky130_fd_sc_hd__buf_2 ;
- _0970_ sky130_fd_sc_hd__buf_2 ;
- _0971_ sky130_fd_sc_hd__buf_2 ;
- _0972_ sky130_fd_sc_hd__buf_2 ;
- _0973_ sky130_fd_sc_hd__buf_2 ;
- _0974_ sky130_fd_sc_hd__buf_2 ;
- _0975_ sky130_fd_sc_hd__buf_2 ;
- _0976_ sky130_fd_sc_hd__buf_2 ;
- _0977_ sky130_fd_sc_hd__dfxtp_4 ;
- _0978_ sky130_fd_sc_hd__dfxtp_4 ;
- _0979_ sky130_fd_sc_hd__dfxtp_4 ;
- _0980_ sky130_fd_sc_hd__dfxtp_4 ;
- _0981_ sky130_fd_sc_hd__dfxtp_4 ;
- _0982_ sky130_fd_sc_hd__dfxtp_4 ;
- _0983_ sky130_fd_sc_hd__dfxtp_4 ;
- _0984_ sky130_fd_sc_hd__dfxtp_4 ;
- _0985_ sky130_fd_sc_hd__dfxtp_4 ;
- _0986_ sky130_fd_sc_hd__dfxtp_4 ;
- _0987_ sky130_fd_sc_hd__dfxtp_4 ;
- _0988_ sky130_fd_sc_hd__dfxtp_4 ;
- _0989_ sky130_fd_sc_hd__dfxtp_4 ;
- _0990_ sky130_fd_sc_hd__dfxtp_4 ;
- _0991_ sky130_fd_sc_hd__dfxtp_4 ;
- _0992_ sky130_fd_sc_hd__dfxtp_4 ;
- _0993_ sky130_fd_sc_hd__dfxtp_4 ;
- _0994_ sky130_fd_sc_hd__dfxtp_4 ;
- _0995_ sky130_fd_sc_hd__dfxtp_4 ;
- _0996_ sky130_fd_sc_hd__dfxtp_4 ;
- _0997_ sky130_fd_sc_hd__dfxtp_4 ;
- _0998_ sky130_fd_sc_hd__dfxtp_4 ;
- _0999_ sky130_fd_sc_hd__dfxtp_4 ;
- _1000_ sky130_fd_sc_hd__dfxtp_4 ;
- _1001_ sky130_fd_sc_hd__dfxtp_4 ;
- _1002_ sky130_fd_sc_hd__dfxtp_4 ;
- _1003_ sky130_fd_sc_hd__dfxtp_4 ;
- _1004_ sky130_fd_sc_hd__dfxtp_4 ;
- _1005_ sky130_fd_sc_hd__dfxtp_4 ;
- _1006_ sky130_fd_sc_hd__dfxtp_4 ;
- _1007_ sky130_fd_sc_hd__dfxtp_4 ;
- _1008_ sky130_fd_sc_hd__dfxtp_4 ;
- _1009_ sky130_fd_sc_hd__dfxtp_4 ;
- _1010_ sky130_fd_sc_hd__dfxtp_4 ;
- _1011_ sky130_fd_sc_hd__dfxtp_4 ;
- _1012_ sky130_fd_sc_hd__dfxtp_4 ;
- _1013_ sky130_fd_sc_hd__dfxtp_4 ;
- _1014_ sky130_fd_sc_hd__dfxtp_4 ;
- _1015_ sky130_fd_sc_hd__dfxtp_4 ;
- _1016_ sky130_fd_sc_hd__dfxtp_4 ;
- _1017_ sky130_fd_sc_hd__dfxtp_4 ;
- _1018_ sky130_fd_sc_hd__dfxtp_4 ;
- _1019_ sky130_fd_sc_hd__dfxtp_4 ;
- _1020_ sky130_fd_sc_hd__dfxtp_4 ;
- _1021_ sky130_fd_sc_hd__dfxtp_4 ;
- _1022_ sky130_fd_sc_hd__dfxtp_4 ;
- _1023_ sky130_fd_sc_hd__dfxtp_4 ;
- _1024_ sky130_fd_sc_hd__dfxtp_4 ;
- _1025_ sky130_fd_sc_hd__dfxtp_4 ;
- _1026_ sky130_fd_sc_hd__dfxtp_4 ;
- _1027_ sky130_fd_sc_hd__dfxtp_4 ;
- _1028_ sky130_fd_sc_hd__dfxtp_4 ;
- _1029_ sky130_fd_sc_hd__dfxtp_4 ;
- _1030_ sky130_fd_sc_hd__dfxtp_4 ;
- _1031_ sky130_fd_sc_hd__dfxtp_4 ;
- _1032_ sky130_fd_sc_hd__dfxtp_4 ;
- _1033_ sky130_fd_sc_hd__dfxtp_4 ;
- _1034_ sky130_fd_sc_hd__dfxtp_4 ;
- _1035_ sky130_fd_sc_hd__dfxtp_4 ;
- _1036_ sky130_fd_sc_hd__dfxtp_4 ;
- _1037_ sky130_fd_sc_hd__dfxtp_4 ;
- _1038_ sky130_fd_sc_hd__dfxtp_4 ;
- _1039_ sky130_fd_sc_hd__dfxtp_4 ;
- _1040_ sky130_fd_sc_hd__dfxtp_4 ;
- _1041_ sky130_fd_sc_hd__dfxtp_4 ;
- _1042_ sky130_fd_sc_hd__dfxtp_4 ;
- _1043_ sky130_fd_sc_hd__dfxtp_4 ;
- _1044_ sky130_fd_sc_hd__dfxtp_4 ;
- _1045_ sky130_fd_sc_hd__dfxtp_4 ;
- _1046_ sky130_fd_sc_hd__dfxtp_4 ;
- _1047_ sky130_fd_sc_hd__dfxtp_4 ;
- _1048_ sky130_fd_sc_hd__dfxtp_4 ;
- _1049_ sky130_fd_sc_hd__dfxtp_4 ;
- _1050_ sky130_fd_sc_hd__dfxtp_4 ;
- _1051_ sky130_fd_sc_hd__dfxtp_4 ;
- _1052_ sky130_fd_sc_hd__dfxtp_4 ;
- _1053_ sky130_fd_sc_hd__dfxtp_4 ;
- _1054_ sky130_fd_sc_hd__dfxtp_4 ;
- _1055_ sky130_fd_sc_hd__dfxtp_4 ;
- _1056_ sky130_fd_sc_hd__dfxtp_4 ;
- _1057_ sky130_fd_sc_hd__dfxtp_4 ;
- _1058_ sky130_fd_sc_hd__dfxtp_4 ;
- _1059_ sky130_fd_sc_hd__dfxtp_4 ;
- _1060_ sky130_fd_sc_hd__dfxtp_4 ;
- _1061_ sky130_fd_sc_hd__dfxtp_4 ;
- _1062_ sky130_fd_sc_hd__dfxtp_4 ;
- _1063_ sky130_fd_sc_hd__dfxtp_4 ;
- _1064_ sky130_fd_sc_hd__dfxtp_4 ;
- _1065_ sky130_fd_sc_hd__dfxtp_4 ;
- _1066_ sky130_fd_sc_hd__dfxtp_4 ;
- _1067_ sky130_fd_sc_hd__dfxtp_4 ;
- _1068_ sky130_fd_sc_hd__dfxtp_4 ;
- _1069_ sky130_fd_sc_hd__dfxtp_4 ;
- _1070_ sky130_fd_sc_hd__dfxtp_4 ;
- _1071_ sky130_fd_sc_hd__dfxtp_4 ;
- _1072_ sky130_fd_sc_hd__dfxtp_4 ;
- _1073_ sky130_fd_sc_hd__dfxtp_4 ;
END COMPONENTS
PINS 612 ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 32430 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 86020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 196190 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 179630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 154020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 58820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 45310 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 50830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 153870 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 214590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 116150 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 46580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 18630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 118660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 46230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 192510 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 233910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 90100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 27830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 122740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 186660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 54510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 11270 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 210910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 115230 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 37060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 125350 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 109710 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 111550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 192100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 240350 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 130900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 90390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 37030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 238340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 150190 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 40710 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 70150 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 129540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 213860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 102340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 83300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 71990 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 45310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 12580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 68340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 98260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 175030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 34270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 82110 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 209780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 183310 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 7140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 32980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 125460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 181470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 145590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 242420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 225630 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 217350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 144670 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 120020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 131790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 38870 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 18630 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 38870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 136340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28750 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 139060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 71060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 236670 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 89470 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 246790 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 116150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 204470 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 76590 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65550 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 60950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 167670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 175950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 124100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 220660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 234260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 4830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 25070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 159390 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 62900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 136390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 29670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 58190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 196190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 145860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 202980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 77510 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 53590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 137700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 230180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 123510 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 32980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 164910 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 113390 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 59110 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 45220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 163540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 226100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 168590 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 76500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 151300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209990 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 62790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 134550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 47150 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 117070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 26180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 237590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 91310 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 221950 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 172270 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 187910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 115940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 60180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 234830 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 100980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 197540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 6670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 98260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 213670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 94070 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 103700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 39790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 189750 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 154790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 16790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 20470 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 79220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 220660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 211140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 91460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 84660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 231150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 185300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 26910 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 243780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 63710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 60030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 108790 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 18020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 19550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 73830 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 219190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 208150 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 42500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 157550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 22310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 222020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 117300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 156740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 96830 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 167620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 41140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 86710 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 186660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 74750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 227460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 94180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 41140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 181220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 178710 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 219300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 6670 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 198900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 168980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 21390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 224740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 160310 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 31620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3910 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 34340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 163070 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 17710 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 75670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 190670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 181470 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 174420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 106420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 15870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 113220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 188830 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 237590 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 216580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 224740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 205390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 226550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 172270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 96900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 193430 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 243110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 168980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 186990 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 46580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 152950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 87380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 8510 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 207060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 234260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 162150 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 192510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 132260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 35190 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 62790 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 93150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 72420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 11220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 94180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 211830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 137700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 189750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 232070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 217940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 124430 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 13110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 139150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 236980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 88740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 186990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 74750 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 223790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 104190 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 215510 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 80580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 149940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 95910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 61870 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 38420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 216580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 165830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 143140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 159460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 114310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 216430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 240350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 31510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 107780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 57460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 77510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 238340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 230180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 223380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 231150 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 124430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23230 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 143750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 171700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 220110 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 231540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 163990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 73780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 105060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 39780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 189380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 106950 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 50830 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 86020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 78430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 176870 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 37060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 118910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 201710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 24150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 151110 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 171350 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 94990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 220110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 80270 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 173190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 178500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 133630 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 202980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 83030 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 181220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 64260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 133620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 212500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 133620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 183940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 84660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 42550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 81940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 185300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 22100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 191590 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 235750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 47940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 20740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 122590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 164900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 44390 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 15870 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 97750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 198950 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 184230 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 134980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 98670 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 80270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 114580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 135470 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 244950 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 53590 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 45220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 111860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 245140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 99590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 196180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 64260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 210910 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 166750 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 68340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 109710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 66980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 182580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 222870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 156630 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 111860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 68310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 195270 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 24820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 158470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 83030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 163070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 184230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 129950 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 5780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 115940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 207230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 244030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 221030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 94990 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 239430 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 101430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 193430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 12190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 52020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 53380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 81190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 149270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 203550 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 145860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 121380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 152030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 212500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 169510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 15300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 5750 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14030 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 49300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 103700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 100510 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 99620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 225630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 52670 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 9430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 232990 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 224710 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 24150 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 208420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 177140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 132710 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 84870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 92230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 126820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 90100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 10350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 105110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 188020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 120750 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 148580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 121670 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 180550 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 160310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 110630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2990 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 35700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 92230 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 142830 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 54740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 102340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 79350 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 174110 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 50660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 246790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 9860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 229310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 235620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 23460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 36110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 110500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 206310 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 56100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 65620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 173060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 152030 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 54740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 162180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 106950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 120020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 148350 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 30260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 204340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 163540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 104190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 69230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 241270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 125350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 16660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 126270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 166750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 208150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 234830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 68310 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 49910 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 25990 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 194820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 141780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 166260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 204340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 219190 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 170340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 12190 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 7140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 212750 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 155380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 69700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 139150 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 154790 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 101430 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 207230 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 113390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 141780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 60030 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 242190 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 56350 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 186070 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 226100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 124100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 194820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 64630 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 228820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 128110 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 130870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 80580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 182390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 57270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 222870 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 173060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 158100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 208420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 76500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 232900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 177790 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 216430 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 242420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 58820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 71990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 102350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 71070 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 138230 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 152660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 55430 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 241060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 29670 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 28900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 157550 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 61540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 62900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 190740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 243110 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 8500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 190740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 245870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 110630 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 19380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 51750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 129540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 200790 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 204470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 169510 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 238510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 177140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 161230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 89470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 14950 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 112470 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 2000 140420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 248000 205700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 137310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 56350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 146510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 41630 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 21390 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 141910 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 227470 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 175030 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 50660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 83950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 128180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 155380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 107780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 130870 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 233910 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 164900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 27540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 4420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 160820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 127190 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 85790 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 159460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 170430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 71070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 23460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 86710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 136390 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 194350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 77860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 43860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 151110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 118910 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 128110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 148350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 41630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 75140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 178710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 48070 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 107870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 147220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 230230 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 19380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 228390 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 239700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 201710 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 87630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 42550 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 215220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 145590 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 147220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 151300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 36110 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 72910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 15300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 24820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 185150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 30590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 125460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 140070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 98670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 140990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 201620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 202630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 147430 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 106030 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 213670 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 175780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 117990 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 144500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 228390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 119830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 92820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 37950 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 245870 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 133630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 26910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 218270 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 67390 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 97750 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 33350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 13940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 66470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 155710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 177790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 193460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 83950 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 11220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 198900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 248000 200260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 103270 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 7590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 72420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 30590 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 88550 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 198030 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 165830 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 57270 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 142830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 129030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 9430 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 179860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 109140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 43470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 140070 248000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 248000 95540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 28900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
END PINS
NETS 1015 ;
- io_in[0] ( PIN io_in[0] ) + USE SIGNAL ;
- io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) + USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) + USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) + USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) + USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) + USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) + USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) + USE SIGNAL ;
- io_in[1] ( PIN io_in[1] ) + USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) + USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) + USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) + USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) + USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) + USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) + USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) + USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) + USE SIGNAL ;
- io_in[28] ( PIN io_in[28] ) + USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) + USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) + USE SIGNAL ;
- io_in[32] ( PIN io_in[32] ) + USE SIGNAL ;
- io_in[33] ( PIN io_in[33] ) + USE SIGNAL ;
- io_in[34] ( PIN io_in[34] ) + USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) + USE SIGNAL ;
- io_in[36] ( PIN io_in[36] ) + USE SIGNAL ;
- io_in[37] ( PIN io_in[37] ) + USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) + USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) + USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) + USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
- io_oeb[0] ( PIN io_oeb[0] ) ( _0909_ X ) + USE SIGNAL ;
- io_oeb[10] ( PIN io_oeb[10] ) ( _0919_ X ) + USE SIGNAL ;
- io_oeb[11] ( PIN io_oeb[11] ) ( _0920_ X ) + USE SIGNAL ;
- io_oeb[12] ( PIN io_oeb[12] ) ( _0921_ X ) + USE SIGNAL ;
- io_oeb[13] ( PIN io_oeb[13] ) ( _0922_ X ) + USE SIGNAL ;
- io_oeb[14] ( PIN io_oeb[14] ) ( _0923_ X ) + USE SIGNAL ;
- io_oeb[15] ( PIN io_oeb[15] ) ( _0924_ X ) + USE SIGNAL ;
- io_oeb[16] ( PIN io_oeb[16] ) ( _0925_ X ) + USE SIGNAL ;
- io_oeb[17] ( PIN io_oeb[17] ) ( _0926_ X ) + USE SIGNAL ;
- io_oeb[18] ( PIN io_oeb[18] ) ( _0927_ X ) + USE SIGNAL ;
- io_oeb[19] ( PIN io_oeb[19] ) ( _0928_ X ) + USE SIGNAL ;
- io_oeb[1] ( PIN io_oeb[1] ) ( _0910_ X ) + USE SIGNAL ;
- io_oeb[20] ( PIN io_oeb[20] ) ( _0929_ X ) + USE SIGNAL ;
- io_oeb[21] ( PIN io_oeb[21] ) ( _0930_ X ) + USE SIGNAL ;
- io_oeb[22] ( PIN io_oeb[22] ) ( _0931_ X ) + USE SIGNAL ;
- io_oeb[23] ( PIN io_oeb[23] ) ( _0932_ X ) + USE SIGNAL ;
- io_oeb[24] ( PIN io_oeb[24] ) ( _0933_ X ) + USE SIGNAL ;
- io_oeb[25] ( PIN io_oeb[25] ) ( _0934_ X ) + USE SIGNAL ;
- io_oeb[26] ( PIN io_oeb[26] ) ( _0935_ X ) + USE SIGNAL ;
- io_oeb[27] ( PIN io_oeb[27] ) ( _0936_ X ) + USE SIGNAL ;
- io_oeb[28] ( PIN io_oeb[28] ) ( _0937_ X ) + USE SIGNAL ;
- io_oeb[29] ( PIN io_oeb[29] ) ( _0938_ X ) + USE SIGNAL ;
- io_oeb[2] ( PIN io_oeb[2] ) ( _0911_ X ) + USE SIGNAL ;
- io_oeb[30] ( PIN io_oeb[30] ) ( _0939_ X ) + USE SIGNAL ;
- io_oeb[31] ( PIN io_oeb[31] ) ( _0940_ X ) + USE SIGNAL ;
- io_oeb[32] ( PIN io_oeb[32] ) ( _0941_ X ) + USE SIGNAL ;
- io_oeb[33] ( PIN io_oeb[33] ) ( _0942_ X ) + USE SIGNAL ;
- io_oeb[34] ( PIN io_oeb[34] ) ( _0943_ X ) + USE SIGNAL ;
- io_oeb[35] ( PIN io_oeb[35] ) ( _0944_ X ) + USE SIGNAL ;
- io_oeb[36] ( PIN io_oeb[36] ) ( _0944_ A ) ( _0943_ A ) ( _0942_ A ) ( _0941_ A ) ( _0940_ A ) ( _0939_ A )
( _0938_ A ) ( _0937_ A ) ( _0936_ A ) ( _0935_ A ) ( _0934_ A ) ( _0933_ A ) ( _0932_ A ) ( _0931_ A )
( _0930_ A ) ( _0929_ A ) ( _0928_ A ) ( _0927_ A ) ( _0926_ A ) ( _0925_ A ) ( _0924_ A ) ( _0923_ A )
( _0922_ A ) ( _0921_ A ) ( _0920_ A ) ( _0919_ A ) ( _0918_ A ) ( _0917_ A ) ( _0916_ A ) ( _0915_ A )
( _0914_ A ) ( _0913_ A ) ( _0912_ A ) ( _0911_ A ) ( _0910_ A ) ( _0909_ A ) ( _0567_ B1 ) ( _0540_ B1 )
( _0531_ B1 ) ( _0520_ B1 ) ( _0405_ X ) + USE SIGNAL ;
- io_oeb[37] ( PIN io_oeb[37] ) ( _0806_ LO ) + USE SIGNAL ;
- io_oeb[3] ( PIN io_oeb[3] ) ( _0912_ X ) + USE SIGNAL ;
- io_oeb[4] ( PIN io_oeb[4] ) ( _0913_ X ) + USE SIGNAL ;
- io_oeb[5] ( PIN io_oeb[5] ) ( _0914_ X ) + USE SIGNAL ;
- io_oeb[6] ( PIN io_oeb[6] ) ( _0915_ X ) + USE SIGNAL ;
- io_oeb[7] ( PIN io_oeb[7] ) ( _0916_ X ) + USE SIGNAL ;
- io_oeb[8] ( PIN io_oeb[8] ) ( _0917_ X ) + USE SIGNAL ;
- io_oeb[9] ( PIN io_oeb[9] ) ( _0918_ X ) + USE SIGNAL ;
- io_out[0] ( PIN io_out[0] ) ( _1042_ Q ) ( _1009_ Q ) ( _0945_ A ) ( _0799_ B1 ) ( _0444_ A ) + USE SIGNAL ;
- io_out[10] ( PIN io_out[10] ) ( _1052_ Q ) ( _1019_ Q ) ( _0955_ A ) ( _0775_ B1 ) ( _0630_ A ) ( _0626_ A1 )
( _0455_ A ) + USE SIGNAL ;
- io_out[11] ( PIN io_out[11] ) ( _1053_ Q ) ( _1020_ Q ) ( _0956_ A ) ( _0773_ B1 ) ( _0626_ A3 ) ( _0454_ A ) + USE SIGNAL ;
- io_out[12] ( PIN io_out[12] ) ( _1054_ Q ) ( _1021_ Q ) ( _0957_ A ) ( _0771_ B1 ) ( _0447_ A ) + USE SIGNAL ;
- io_out[13] ( PIN io_out[13] ) ( _1055_ Q ) ( _1022_ Q ) ( _0958_ A ) ( _0768_ B1 ) ( _0616_ A ) ( _0449_ A ) + USE SIGNAL ;
- io_out[14] ( PIN io_out[14] ) ( _1056_ Q ) ( _1023_ Q ) ( _0959_ A ) ( _0766_ B1 ) ( _0448_ A ) + USE SIGNAL ;
- io_out[15] ( PIN io_out[15] ) ( _1057_ Q ) ( _1024_ Q ) ( _0960_ A ) ( _0763_ B1 ) ( _0605_ A1 ) ( _0451_ A ) + USE SIGNAL ;
- io_out[16] ( PIN io_out[16] ) ( _1058_ Q ) ( _1025_ Q ) ( _0961_ A ) ( _0761_ B1 ) ( _0427_ A ) + USE SIGNAL ;
- io_out[17] ( PIN io_out[17] ) ( _1059_ Q ) ( _1026_ Q ) ( _0962_ A ) ( _0759_ B1 ) ( _0587_ B1 ) ( _0587_ A1_N )
( _0426_ A ) + USE SIGNAL ;
- io_out[18] ( PIN io_out[18] ) ( _1060_ Q ) ( _1027_ Q ) ( _0963_ A ) ( _0756_ B1 ) ( _0583_ A ) ( _0578_ A1 )
( _0429_ A ) + USE SIGNAL ;
- io_out[19] ( PIN io_out[19] ) ( _1061_ Q ) ( _1028_ Q ) ( _0964_ A ) ( _0754_ B1 ) ( _0578_ A3 ) ( _0428_ A ) + USE SIGNAL ;
- io_out[1] ( PIN io_out[1] ) ( _1043_ Q ) ( _1010_ Q ) ( _0946_ A ) ( _0797_ B1 ) ( _0443_ A ) + USE SIGNAL ;
- io_out[20] ( PIN io_out[20] ) ( _1062_ Q ) ( _1029_ Q ) ( _0965_ A ) ( _0751_ B1 ) ( _0432_ A ) + USE SIGNAL ;
- io_out[21] ( PIN io_out[21] ) ( _1063_ Q ) ( _1030_ Q ) ( _0966_ A ) ( _0749_ B1 ) ( _0565_ B1 ) ( _0565_ A1_N )
( _0431_ A ) + USE SIGNAL ;
- io_out[22] ( PIN io_out[22] ) ( _1064_ Q ) ( _1031_ Q ) ( _0967_ A ) ( _0747_ B1 ) ( _0434_ A ) + USE SIGNAL ;
- io_out[23] ( PIN io_out[23] ) ( _1065_ Q ) ( _1032_ Q ) ( _0968_ A ) ( _0744_ B1 ) ( _0557_ A1 ) ( _0556_ A )
( _0433_ A ) + USE SIGNAL ;
- io_out[24] ( PIN io_out[24] ) ( _1066_ Q ) ( _1033_ Q ) ( _0969_ A ) ( _0742_ B1 ) ( _0422_ A ) + USE SIGNAL ;
- io_out[25] ( PIN io_out[25] ) ( _1067_ Q ) ( _1034_ Q ) ( _0970_ A ) ( _0739_ B1 ) ( _0538_ B1 ) ( _0538_ A1_N )
( _0421_ A ) + USE SIGNAL ;
- io_out[26] ( PIN io_out[26] ) ( _1068_ Q ) ( _1035_ Q ) ( _0971_ A ) ( _0737_ B1 ) ( _0534_ A ) ( _0529_ A1 )
( _0424_ A ) + USE SIGNAL ;
- io_out[27] ( PIN io_out[27] ) ( _1069_ Q ) ( _1036_ Q ) ( _0972_ A ) ( _0735_ B1 ) ( _0529_ A3 ) ( _0423_ A ) + USE SIGNAL ;
- io_out[28] ( PIN io_out[28] ) ( _1070_ Q ) ( _1037_ Q ) ( _0973_ A ) ( _0731_ B1 ) ( _0420_ A ) + USE SIGNAL ;
- io_out[29] ( PIN io_out[29] ) ( _1071_ Q ) ( _1038_ Q ) ( _0974_ A ) ( _0729_ B1 ) ( _0518_ B1 ) ( _0518_ A1_N )
( _0419_ A ) + USE SIGNAL ;
- io_out[2] ( PIN io_out[2] ) ( _1044_ Q ) ( _1011_ Q ) ( _0947_ A ) ( _0795_ B1 ) ( _0670_ A1 ) ( _0442_ A ) + USE SIGNAL ;
- io_out[30] ( PIN io_out[30] ) ( _1072_ Q ) ( _1039_ Q ) ( _0975_ A ) ( _0725_ B1 ) ( _0418_ A ) + USE SIGNAL ;
- io_out[31] ( PIN io_out[31] ) ( _1073_ Q ) ( _1040_ Q ) ( _0976_ A ) ( _0804_ A1 ) ( _0803_ A ) ( _0723_ B1 )
( _0689_ A ) + USE SIGNAL ;
- io_out[32] ( PIN io_out[32] ) ( _0807_ LO ) + USE SIGNAL ;
- io_out[33] ( PIN io_out[33] ) ( _0808_ LO ) + USE SIGNAL ;
- io_out[34] ( PIN io_out[34] ) ( _0809_ LO ) + USE SIGNAL ;
- io_out[35] ( PIN io_out[35] ) ( _0810_ LO ) + USE SIGNAL ;
- io_out[36] ( PIN io_out[36] ) ( _0811_ LO ) + USE SIGNAL ;
- io_out[37] ( PIN io_out[37] ) ( _0812_ LO ) + USE SIGNAL ;
- io_out[3] ( PIN io_out[3] ) ( _1045_ Q ) ( _1012_ Q ) ( _0948_ A ) ( _0792_ B1 ) ( _0670_ B1 ) ( _0441_ A ) + USE SIGNAL ;
- io_out[4] ( PIN io_out[4] ) ( _1046_ Q ) ( _1013_ Q ) ( _0949_ A ) ( _0790_ B1 ) ( _0437_ A ) + USE SIGNAL ;
- io_out[5] ( PIN io_out[5] ) ( _1047_ Q ) ( _1014_ Q ) ( _0950_ A ) ( _0787_ B1 ) ( _0436_ A ) + USE SIGNAL ;
- io_out[6] ( PIN io_out[6] ) ( _1048_ Q ) ( _1015_ Q ) ( _0951_ A ) ( _0785_ B1 ) ( _0439_ A ) + USE SIGNAL ;
- io_out[7] ( PIN io_out[7] ) ( _1049_ Q ) ( _1016_ Q ) ( _0952_ A ) ( _0783_ B1 ) ( _0653_ A1 ) ( _0652_ A )
( _0438_ A ) + USE SIGNAL ;
- io_out[8] ( PIN io_out[8] ) ( _1050_ Q ) ( _1017_ Q ) ( _0953_ A ) ( _0780_ B1 ) ( _0453_ A ) + USE SIGNAL ;
- io_out[9] ( PIN io_out[9] ) ( _1051_ Q ) ( _1018_ Q ) ( _0954_ A ) ( _0778_ B1 ) ( _0634_ B1 ) ( _0634_ A1_N )
( _0452_ A ) + USE SIGNAL ;
- la_data_in[0] ( PIN la_data_in[0] ) + USE SIGNAL ;
- la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) + USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) + USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) + USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) + USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) + USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) + USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) + USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) + USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) + USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] ) + USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) + USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) + USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) + USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) + USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) + USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) + USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) + USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) + USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) + USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) + USE SIGNAL ;
- la_data_in[120] ( PIN la_data_in[120] ) + USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) + USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) + USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) + USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) + USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) + USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) + USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) + USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) + USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] ) + USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] ) + USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] ) + USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) + USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] ) + USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] ) + USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) + USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] ) + USE SIGNAL ;
- la_data_in[20] ( PIN la_data_in[20] ) + USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) + USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) + USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) + USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) + USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) + USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) + USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) + USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) + USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) + USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) + USE SIGNAL ;
- la_data_in[30] ( PIN la_data_in[30] ) + USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) + USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) ( _0721_ B1 ) + USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] ) ( _0720_ B1 ) + USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] ) ( _0719_ B1 ) + USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] ) ( _0718_ B1 ) + USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] ) ( _0717_ B1 ) + USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] ) ( _0716_ B1 ) + USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] ) ( _0715_ B1 ) + USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] ) ( _0714_ B1 ) + USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] ) + USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] ) ( _0713_ B1 ) + USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] ) ( _0712_ B1 ) + USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] ) ( _0711_ B1 ) + USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] ) ( _0710_ B1 ) + USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] ) ( _0709_ B1 ) + USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] ) ( _0708_ B1 ) + USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] ) ( _0707_ B1 ) + USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] ) ( _0706_ B1 ) + USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] ) ( _0705_ B1 ) + USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] ) ( _0704_ B1 ) + USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] ) + USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] ) ( _0703_ B1 ) + USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] ) ( _0702_ B1 ) + USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] ) ( _0701_ B1 ) + USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] ) ( _0700_ B1 ) + USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] ) ( _0699_ B1 ) + USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] ) ( _0698_ B1 ) + USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] ) ( _0697_ B1 ) + USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] ) ( _0696_ B1 ) + USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] ) ( _0695_ B1 ) + USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] ) ( _0694_ B1 ) + USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] ) + USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] ) ( _0693_ B1 ) + USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] ) ( _0692_ B1 ) + USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] ) ( _0691_ B1 ) + USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] ) ( _0690_ B1 ) + USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] ) ( _0801_ A1 ) + USE SIGNAL ;
- la_data_in[65] ( PIN la_data_in[65] ) ( _0403_ A1 ) + USE SIGNAL ;
- la_data_in[66] ( PIN la_data_in[66] ) + USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] ) + USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] ) + USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] ) + USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) + USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] ) + USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] ) + USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] ) + USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] ) + USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] ) + USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] ) + USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] ) + USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] ) + USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] ) + USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] ) + USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) + USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] ) + USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] ) + USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] ) + USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] ) + USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] ) + USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] ) + USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] ) + USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] ) + USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] ) + USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] ) + USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) + USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] ) + USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] ) + USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] ) + USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] ) + USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] ) + USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] ) + USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] ) + USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] ) + USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] ) + USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] ) + USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) + USE SIGNAL ;
- la_data_out[0] ( PIN la_data_out[0] ) ( _0945_ X ) + USE SIGNAL ;
- la_data_out[100] ( PIN la_data_out[100] ) ( _0881_ LO ) + USE SIGNAL ;
- la_data_out[101] ( PIN la_data_out[101] ) ( _0882_ LO ) + USE SIGNAL ;
- la_data_out[102] ( PIN la_data_out[102] ) ( _0883_ LO ) + USE SIGNAL ;
- la_data_out[103] ( PIN la_data_out[103] ) ( _0884_ LO ) + USE SIGNAL ;
- la_data_out[104] ( PIN la_data_out[104] ) ( _0885_ LO ) + USE SIGNAL ;
- la_data_out[105] ( PIN la_data_out[105] ) ( _0886_ LO ) + USE SIGNAL ;
- la_data_out[106] ( PIN la_data_out[106] ) ( _0887_ LO ) + USE SIGNAL ;
- la_data_out[107] ( PIN la_data_out[107] ) ( _0888_ LO ) + USE SIGNAL ;
- la_data_out[108] ( PIN la_data_out[108] ) ( _0889_ LO ) + USE SIGNAL ;
- la_data_out[109] ( PIN la_data_out[109] ) ( _0890_ LO ) + USE SIGNAL ;
- la_data_out[10] ( PIN la_data_out[10] ) ( _0955_ X ) + USE SIGNAL ;
- la_data_out[110] ( PIN la_data_out[110] ) ( _0891_ LO ) + USE SIGNAL ;
- la_data_out[111] ( PIN la_data_out[111] ) ( _0892_ LO ) + USE SIGNAL ;
- la_data_out[112] ( PIN la_data_out[112] ) ( _0893_ LO ) + USE SIGNAL ;
- la_data_out[113] ( PIN la_data_out[113] ) ( _0894_ LO ) + USE SIGNAL ;
- la_data_out[114] ( PIN la_data_out[114] ) ( _0895_ LO ) + USE SIGNAL ;
- la_data_out[115] ( PIN la_data_out[115] ) ( _0896_ LO ) + USE SIGNAL ;
- la_data_out[116] ( PIN la_data_out[116] ) ( _0897_ LO ) + USE SIGNAL ;
- la_data_out[117] ( PIN la_data_out[117] ) ( _0898_ LO ) + USE SIGNAL ;
- la_data_out[118] ( PIN la_data_out[118] ) ( _0899_ LO ) + USE SIGNAL ;
- la_data_out[119] ( PIN la_data_out[119] ) ( _0900_ LO ) + USE SIGNAL ;
- la_data_out[11] ( PIN la_data_out[11] ) ( _0956_ X ) + USE SIGNAL ;
- la_data_out[120] ( PIN la_data_out[120] ) ( _0901_ LO ) + USE SIGNAL ;
- la_data_out[121] ( PIN la_data_out[121] ) ( _0902_ LO ) + USE SIGNAL ;
- la_data_out[122] ( PIN la_data_out[122] ) ( _0903_ LO ) + USE SIGNAL ;
- la_data_out[123] ( PIN la_data_out[123] ) ( _0904_ LO ) + USE SIGNAL ;
- la_data_out[124] ( PIN la_data_out[124] ) ( _0905_ LO ) + USE SIGNAL ;
- la_data_out[125] ( PIN la_data_out[125] ) ( _0906_ LO ) + USE SIGNAL ;
- la_data_out[126] ( PIN la_data_out[126] ) ( _0907_ LO ) + USE SIGNAL ;
- la_data_out[127] ( PIN la_data_out[127] ) ( _0908_ LO ) + USE SIGNAL ;
- la_data_out[12] ( PIN la_data_out[12] ) ( _0957_ X ) + USE SIGNAL ;
- la_data_out[13] ( PIN la_data_out[13] ) ( _0958_ X ) + USE SIGNAL ;
- la_data_out[14] ( PIN la_data_out[14] ) ( _0959_ X ) + USE SIGNAL ;
- la_data_out[15] ( PIN la_data_out[15] ) ( _0960_ X ) + USE SIGNAL ;
- la_data_out[16] ( PIN la_data_out[16] ) ( _0961_ X ) + USE SIGNAL ;
- la_data_out[17] ( PIN la_data_out[17] ) ( _0962_ X ) + USE SIGNAL ;
- la_data_out[18] ( PIN la_data_out[18] ) ( _0963_ X ) + USE SIGNAL ;
- la_data_out[19] ( PIN la_data_out[19] ) ( _0964_ X ) + USE SIGNAL ;
- la_data_out[1] ( PIN la_data_out[1] ) ( _0946_ X ) + USE SIGNAL ;
- la_data_out[20] ( PIN la_data_out[20] ) ( _0965_ X ) + USE SIGNAL ;
- la_data_out[21] ( PIN la_data_out[21] ) ( _0966_ X ) + USE SIGNAL ;
- la_data_out[22] ( PIN la_data_out[22] ) ( _0967_ X ) + USE SIGNAL ;
- la_data_out[23] ( PIN la_data_out[23] ) ( _0968_ X ) + USE SIGNAL ;
- la_data_out[24] ( PIN la_data_out[24] ) ( _0969_ X ) + USE SIGNAL ;
- la_data_out[25] ( PIN la_data_out[25] ) ( _0970_ X ) + USE SIGNAL ;
- la_data_out[26] ( PIN la_data_out[26] ) ( _0971_ X ) + USE SIGNAL ;
- la_data_out[27] ( PIN la_data_out[27] ) ( _0972_ X ) + USE SIGNAL ;
- la_data_out[28] ( PIN la_data_out[28] ) ( _0973_ X ) + USE SIGNAL ;
- la_data_out[29] ( PIN la_data_out[29] ) ( _0974_ X ) + USE SIGNAL ;
- la_data_out[2] ( PIN la_data_out[2] ) ( _0947_ X ) + USE SIGNAL ;
- la_data_out[30] ( PIN la_data_out[30] ) ( _0975_ X ) + USE SIGNAL ;
- la_data_out[31] ( PIN la_data_out[31] ) ( _0976_ X ) + USE SIGNAL ;
- la_data_out[32] ( PIN la_data_out[32] ) ( _0813_ LO ) + USE SIGNAL ;
- la_data_out[33] ( PIN la_data_out[33] ) ( _0814_ LO ) + USE SIGNAL ;
- la_data_out[34] ( PIN la_data_out[34] ) ( _0815_ LO ) + USE SIGNAL ;
- la_data_out[35] ( PIN la_data_out[35] ) ( _0816_ LO ) + USE SIGNAL ;
- la_data_out[36] ( PIN la_data_out[36] ) ( _0817_ LO ) + USE SIGNAL ;
- la_data_out[37] ( PIN la_data_out[37] ) ( _0818_ LO ) + USE SIGNAL ;
- la_data_out[38] ( PIN la_data_out[38] ) ( _0819_ LO ) + USE SIGNAL ;
- la_data_out[39] ( PIN la_data_out[39] ) ( _0820_ LO ) + USE SIGNAL ;
- la_data_out[3] ( PIN la_data_out[3] ) ( _0948_ X ) + USE SIGNAL ;
- la_data_out[40] ( PIN la_data_out[40] ) ( _0821_ LO ) + USE SIGNAL ;
- la_data_out[41] ( PIN la_data_out[41] ) ( _0822_ LO ) + USE SIGNAL ;
- la_data_out[42] ( PIN la_data_out[42] ) ( _0823_ LO ) + USE SIGNAL ;
- la_data_out[43] ( PIN la_data_out[43] ) ( _0824_ LO ) + USE SIGNAL ;
- la_data_out[44] ( PIN la_data_out[44] ) ( _0825_ LO ) + USE SIGNAL ;
- la_data_out[45] ( PIN la_data_out[45] ) ( _0826_ LO ) + USE SIGNAL ;
- la_data_out[46] ( PIN la_data_out[46] ) ( _0827_ LO ) + USE SIGNAL ;
- la_data_out[47] ( PIN la_data_out[47] ) ( _0828_ LO ) + USE SIGNAL ;
- la_data_out[48] ( PIN la_data_out[48] ) ( _0829_ LO ) + USE SIGNAL ;
- la_data_out[49] ( PIN la_data_out[49] ) ( _0830_ LO ) + USE SIGNAL ;
- la_data_out[4] ( PIN la_data_out[4] ) ( _0949_ X ) + USE SIGNAL ;
- la_data_out[50] ( PIN la_data_out[50] ) ( _0831_ LO ) + USE SIGNAL ;
- la_data_out[51] ( PIN la_data_out[51] ) ( _0832_ LO ) + USE SIGNAL ;
- la_data_out[52] ( PIN la_data_out[52] ) ( _0833_ LO ) + USE SIGNAL ;
- la_data_out[53] ( PIN la_data_out[53] ) ( _0834_ LO ) + USE SIGNAL ;
- la_data_out[54] ( PIN la_data_out[54] ) ( _0835_ LO ) + USE SIGNAL ;
- la_data_out[55] ( PIN la_data_out[55] ) ( _0836_ LO ) + USE SIGNAL ;
- la_data_out[56] ( PIN la_data_out[56] ) ( _0837_ LO ) + USE SIGNAL ;
- la_data_out[57] ( PIN la_data_out[57] ) ( _0838_ LO ) + USE SIGNAL ;
- la_data_out[58] ( PIN la_data_out[58] ) ( _0839_ LO ) + USE SIGNAL ;
- la_data_out[59] ( PIN la_data_out[59] ) ( _0840_ LO ) + USE SIGNAL ;
- la_data_out[5] ( PIN la_data_out[5] ) ( _0950_ X ) + USE SIGNAL ;
- la_data_out[60] ( PIN la_data_out[60] ) ( _0841_ LO ) + USE SIGNAL ;
- la_data_out[61] ( PIN la_data_out[61] ) ( _0842_ LO ) + USE SIGNAL ;
- la_data_out[62] ( PIN la_data_out[62] ) ( _0843_ LO ) + USE SIGNAL ;
- la_data_out[63] ( PIN la_data_out[63] ) ( _0844_ LO ) + USE SIGNAL ;
- la_data_out[64] ( PIN la_data_out[64] ) ( _0845_ LO ) + USE SIGNAL ;
- la_data_out[65] ( PIN la_data_out[65] ) ( _0846_ LO ) + USE SIGNAL ;
- la_data_out[66] ( PIN la_data_out[66] ) ( _0847_ LO ) + USE SIGNAL ;
- la_data_out[67] ( PIN la_data_out[67] ) ( _0848_ LO ) + USE SIGNAL ;
- la_data_out[68] ( PIN la_data_out[68] ) ( _0849_ LO ) + USE SIGNAL ;
- la_data_out[69] ( PIN la_data_out[69] ) ( _0850_ LO ) + USE SIGNAL ;
- la_data_out[6] ( PIN la_data_out[6] ) ( _0951_ X ) + USE SIGNAL ;
- la_data_out[70] ( PIN la_data_out[70] ) ( _0851_ LO ) + USE SIGNAL ;
- la_data_out[71] ( PIN la_data_out[71] ) ( _0852_ LO ) + USE SIGNAL ;
- la_data_out[72] ( PIN la_data_out[72] ) ( _0853_ LO ) + USE SIGNAL ;
- la_data_out[73] ( PIN la_data_out[73] ) ( _0854_ LO ) + USE SIGNAL ;
- la_data_out[74] ( PIN la_data_out[74] ) ( _0855_ LO ) + USE SIGNAL ;
- la_data_out[75] ( PIN la_data_out[75] ) ( _0856_ LO ) + USE SIGNAL ;
- la_data_out[76] ( PIN la_data_out[76] ) ( _0857_ LO ) + USE SIGNAL ;
- la_data_out[77] ( PIN la_data_out[77] ) ( _0858_ LO ) + USE SIGNAL ;
- la_data_out[78] ( PIN la_data_out[78] ) ( _0859_ LO ) + USE SIGNAL ;
- la_data_out[79] ( PIN la_data_out[79] ) ( _0860_ LO ) + USE SIGNAL ;
- la_data_out[7] ( PIN la_data_out[7] ) ( _0952_ X ) + USE SIGNAL ;
- la_data_out[80] ( PIN la_data_out[80] ) ( _0861_ LO ) + USE SIGNAL ;
- la_data_out[81] ( PIN la_data_out[81] ) ( _0862_ LO ) + USE SIGNAL ;
- la_data_out[82] ( PIN la_data_out[82] ) ( _0863_ LO ) + USE SIGNAL ;
- la_data_out[83] ( PIN la_data_out[83] ) ( _0864_ LO ) + USE SIGNAL ;
- la_data_out[84] ( PIN la_data_out[84] ) ( _0865_ LO ) + USE SIGNAL ;
- la_data_out[85] ( PIN la_data_out[85] ) ( _0866_ LO ) + USE SIGNAL ;
- la_data_out[86] ( PIN la_data_out[86] ) ( _0867_ LO ) + USE SIGNAL ;
- la_data_out[87] ( PIN la_data_out[87] ) ( _0868_ LO ) + USE SIGNAL ;
- la_data_out[88] ( PIN la_data_out[88] ) ( _0869_ LO ) + USE SIGNAL ;
- la_data_out[89] ( PIN la_data_out[89] ) ( _0870_ LO ) + USE SIGNAL ;
- la_data_out[8] ( PIN la_data_out[8] ) ( _0953_ X ) + USE SIGNAL ;
- la_data_out[90] ( PIN la_data_out[90] ) ( _0871_ LO ) + USE SIGNAL ;
- la_data_out[91] ( PIN la_data_out[91] ) ( _0872_ LO ) + USE SIGNAL ;
- la_data_out[92] ( PIN la_data_out[92] ) ( _0873_ LO ) + USE SIGNAL ;
- la_data_out[93] ( PIN la_data_out[93] ) ( _0874_ LO ) + USE SIGNAL ;
- la_data_out[94] ( PIN la_data_out[94] ) ( _0875_ LO ) + USE SIGNAL ;
- la_data_out[95] ( PIN la_data_out[95] ) ( _0876_ LO ) + USE SIGNAL ;
- la_data_out[96] ( PIN la_data_out[96] ) ( _0877_ LO ) + USE SIGNAL ;
- la_data_out[97] ( PIN la_data_out[97] ) ( _0878_ LO ) + USE SIGNAL ;
- la_data_out[98] ( PIN la_data_out[98] ) ( _0879_ LO ) + USE SIGNAL ;
- la_data_out[99] ( PIN la_data_out[99] ) ( _0880_ LO ) + USE SIGNAL ;
- la_data_out[9] ( PIN la_data_out[9] ) ( _0954_ X ) + USE SIGNAL ;
- la_oen[0] ( PIN la_oen[0] ) + USE SIGNAL ;
- la_oen[100] ( PIN la_oen[100] ) + USE SIGNAL ;
- la_oen[101] ( PIN la_oen[101] ) + USE SIGNAL ;
- la_oen[102] ( PIN la_oen[102] ) + USE SIGNAL ;
- la_oen[103] ( PIN la_oen[103] ) + USE SIGNAL ;
- la_oen[104] ( PIN la_oen[104] ) + USE SIGNAL ;
- la_oen[105] ( PIN la_oen[105] ) + USE SIGNAL ;
- la_oen[106] ( PIN la_oen[106] ) + USE SIGNAL ;
- la_oen[107] ( PIN la_oen[107] ) + USE SIGNAL ;
- la_oen[108] ( PIN la_oen[108] ) + USE SIGNAL ;
- la_oen[109] ( PIN la_oen[109] ) + USE SIGNAL ;
- la_oen[10] ( PIN la_oen[10] ) + USE SIGNAL ;
- la_oen[110] ( PIN la_oen[110] ) + USE SIGNAL ;
- la_oen[111] ( PIN la_oen[111] ) + USE SIGNAL ;
- la_oen[112] ( PIN la_oen[112] ) + USE SIGNAL ;
- la_oen[113] ( PIN la_oen[113] ) + USE SIGNAL ;
- la_oen[114] ( PIN la_oen[114] ) + USE SIGNAL ;
- la_oen[115] ( PIN la_oen[115] ) + USE SIGNAL ;
- la_oen[116] ( PIN la_oen[116] ) + USE SIGNAL ;
- la_oen[117] ( PIN la_oen[117] ) + USE SIGNAL ;
- la_oen[118] ( PIN la_oen[118] ) + USE SIGNAL ;
- la_oen[119] ( PIN la_oen[119] ) + USE SIGNAL ;
- la_oen[11] ( PIN la_oen[11] ) + USE SIGNAL ;
- la_oen[120] ( PIN la_oen[120] ) + USE SIGNAL ;
- la_oen[121] ( PIN la_oen[121] ) + USE SIGNAL ;
- la_oen[122] ( PIN la_oen[122] ) + USE SIGNAL ;
- la_oen[123] ( PIN la_oen[123] ) + USE SIGNAL ;
- la_oen[124] ( PIN la_oen[124] ) + USE SIGNAL ;
- la_oen[125] ( PIN la_oen[125] ) + USE SIGNAL ;
- la_oen[126] ( PIN la_oen[126] ) + USE SIGNAL ;
- la_oen[127] ( PIN la_oen[127] ) + USE SIGNAL ;
- la_oen[12] ( PIN la_oen[12] ) + USE SIGNAL ;
- la_oen[13] ( PIN la_oen[13] ) + USE SIGNAL ;
- la_oen[14] ( PIN la_oen[14] ) + USE SIGNAL ;
- la_oen[15] ( PIN la_oen[15] ) + USE SIGNAL ;
- la_oen[16] ( PIN la_oen[16] ) + USE SIGNAL ;
- la_oen[17] ( PIN la_oen[17] ) + USE SIGNAL ;
- la_oen[18] ( PIN la_oen[18] ) + USE SIGNAL ;
- la_oen[19] ( PIN la_oen[19] ) + USE SIGNAL ;
- la_oen[1] ( PIN la_oen[1] ) + USE SIGNAL ;
- la_oen[20] ( PIN la_oen[20] ) + USE SIGNAL ;
- la_oen[21] ( PIN la_oen[21] ) + USE SIGNAL ;
- la_oen[22] ( PIN la_oen[22] ) + USE SIGNAL ;
- la_oen[23] ( PIN la_oen[23] ) + USE SIGNAL ;
- la_oen[24] ( PIN la_oen[24] ) + USE SIGNAL ;
- la_oen[25] ( PIN la_oen[25] ) + USE SIGNAL ;
- la_oen[26] ( PIN la_oen[26] ) + USE SIGNAL ;
- la_oen[27] ( PIN la_oen[27] ) + USE SIGNAL ;
- la_oen[28] ( PIN la_oen[28] ) + USE SIGNAL ;
- la_oen[29] ( PIN la_oen[29] ) + USE SIGNAL ;
- la_oen[2] ( PIN la_oen[2] ) + USE SIGNAL ;
- la_oen[30] ( PIN la_oen[30] ) + USE SIGNAL ;
- la_oen[31] ( PIN la_oen[31] ) + USE SIGNAL ;
- la_oen[32] ( PIN la_oen[32] ) ( _0459_ A ) + USE SIGNAL ;
- la_oen[33] ( PIN la_oen[33] ) ( _0464_ A ) + USE SIGNAL ;
- la_oen[34] ( PIN la_oen[34] ) ( _0460_ A ) + USE SIGNAL ;
- la_oen[35] ( PIN la_oen[35] ) ( _0462_ A ) + USE SIGNAL ;
- la_oen[36] ( PIN la_oen[36] ) ( _0466_ A ) + USE SIGNAL ;
- la_oen[37] ( PIN la_oen[37] ) ( _0470_ A ) + USE SIGNAL ;
- la_oen[38] ( PIN la_oen[38] ) ( _0467_ A ) + USE SIGNAL ;
- la_oen[39] ( PIN la_oen[39] ) ( _0469_ A ) + USE SIGNAL ;
- la_oen[3] ( PIN la_oen[3] ) + USE SIGNAL ;
- la_oen[40] ( PIN la_oen[40] ) ( _0472_ A ) + USE SIGNAL ;
- la_oen[41] ( PIN la_oen[41] ) ( _0477_ A ) + USE SIGNAL ;
- la_oen[42] ( PIN la_oen[42] ) ( _0473_ A ) + USE SIGNAL ;
- la_oen[43] ( PIN la_oen[43] ) ( _0475_ A ) + USE SIGNAL ;
- la_oen[44] ( PIN la_oen[44] ) ( _0479_ A ) + USE SIGNAL ;
- la_oen[45] ( PIN la_oen[45] ) ( _0482_ A ) + USE SIGNAL ;
- la_oen[46] ( PIN la_oen[46] ) ( _0480_ A ) + USE SIGNAL ;
- la_oen[47] ( PIN la_oen[47] ) ( _0481_ A ) + USE SIGNAL ;
- la_oen[48] ( PIN la_oen[48] ) ( _0485_ A ) + USE SIGNAL ;
- la_oen[49] ( PIN la_oen[49] ) ( _0488_ A ) + USE SIGNAL ;
- la_oen[4] ( PIN la_oen[4] ) + USE SIGNAL ;
- la_oen[50] ( PIN la_oen[50] ) ( _0486_ A ) + USE SIGNAL ;
- la_oen[51] ( PIN la_oen[51] ) ( _0487_ A ) + USE SIGNAL ;
- la_oen[52] ( PIN la_oen[52] ) ( _0490_ A ) + USE SIGNAL ;
- la_oen[53] ( PIN la_oen[53] ) ( _0493_ A ) + USE SIGNAL ;
- la_oen[54] ( PIN la_oen[54] ) ( _0491_ A ) + USE SIGNAL ;
- la_oen[55] ( PIN la_oen[55] ) ( _0492_ A ) + USE SIGNAL ;
- la_oen[56] ( PIN la_oen[56] ) ( _0495_ A ) + USE SIGNAL ;
- la_oen[57] ( PIN la_oen[57] ) ( _0498_ A ) + USE SIGNAL ;
- la_oen[58] ( PIN la_oen[58] ) ( _0496_ A ) + USE SIGNAL ;
- la_oen[59] ( PIN la_oen[59] ) ( _0497_ A ) + USE SIGNAL ;
- la_oen[5] ( PIN la_oen[5] ) + USE SIGNAL ;
- la_oen[60] ( PIN la_oen[60] ) ( _0500_ A ) + USE SIGNAL ;
- la_oen[61] ( PIN la_oen[61] ) ( _0503_ A ) + USE SIGNAL ;
- la_oen[62] ( PIN la_oen[62] ) ( _0501_ A ) + USE SIGNAL ;
- la_oen[63] ( PIN la_oen[63] ) ( _0502_ A ) + USE SIGNAL ;
- la_oen[64] ( PIN la_oen[64] ) ( _0801_ A2 ) ( _0800_ A ) + USE SIGNAL ;
- la_oen[65] ( PIN la_oen[65] ) ( _0403_ A2 ) ( _0402_ A ) + USE SIGNAL ;
- la_oen[66] ( PIN la_oen[66] ) + USE SIGNAL ;
- la_oen[67] ( PIN la_oen[67] ) + USE SIGNAL ;
- la_oen[68] ( PIN la_oen[68] ) + USE SIGNAL ;
- la_oen[69] ( PIN la_oen[69] ) + USE SIGNAL ;
- la_oen[6] ( PIN la_oen[6] ) + USE SIGNAL ;
- la_oen[70] ( PIN la_oen[70] ) + USE SIGNAL ;
- la_oen[71] ( PIN la_oen[71] ) + USE SIGNAL ;
- la_oen[72] ( PIN la_oen[72] ) + USE SIGNAL ;
- la_oen[73] ( PIN la_oen[73] ) + USE SIGNAL ;
- la_oen[74] ( PIN la_oen[74] ) + USE SIGNAL ;
- la_oen[75] ( PIN la_oen[75] ) + USE SIGNAL ;
- la_oen[76] ( PIN la_oen[76] ) + USE SIGNAL ;
- la_oen[77] ( PIN la_oen[77] ) + USE SIGNAL ;
- la_oen[78] ( PIN la_oen[78] ) + USE SIGNAL ;
- la_oen[79] ( PIN la_oen[79] ) + USE SIGNAL ;
- la_oen[7] ( PIN la_oen[7] ) + USE SIGNAL ;
- la_oen[80] ( PIN la_oen[80] ) + USE SIGNAL ;
- la_oen[81] ( PIN la_oen[81] ) + USE SIGNAL ;
- la_oen[82] ( PIN la_oen[82] ) + USE SIGNAL ;
- la_oen[83] ( PIN la_oen[83] ) + USE SIGNAL ;
- la_oen[84] ( PIN la_oen[84] ) + USE SIGNAL ;
- la_oen[85] ( PIN la_oen[85] ) + USE SIGNAL ;
- la_oen[86] ( PIN la_oen[86] ) + USE SIGNAL ;
- la_oen[87] ( PIN la_oen[87] ) + USE SIGNAL ;
- la_oen[88] ( PIN la_oen[88] ) + USE SIGNAL ;
- la_oen[89] ( PIN la_oen[89] ) + USE SIGNAL ;
- la_oen[8] ( PIN la_oen[8] ) + USE SIGNAL ;
- la_oen[90] ( PIN la_oen[90] ) + USE SIGNAL ;
- la_oen[91] ( PIN la_oen[91] ) + USE SIGNAL ;
- la_oen[92] ( PIN la_oen[92] ) + USE SIGNAL ;
- la_oen[93] ( PIN la_oen[93] ) + USE SIGNAL ;
- la_oen[94] ( PIN la_oen[94] ) + USE SIGNAL ;
- la_oen[95] ( PIN la_oen[95] ) + USE SIGNAL ;
- la_oen[96] ( PIN la_oen[96] ) + USE SIGNAL ;
- la_oen[97] ( PIN la_oen[97] ) + USE SIGNAL ;
- la_oen[98] ( PIN la_oen[98] ) + USE SIGNAL ;
- la_oen[99] ( PIN la_oen[99] ) + USE SIGNAL ;
- la_oen[9] ( PIN la_oen[9] ) + USE SIGNAL ;
- vccd1 ( PIN vccd1 ) + USE SIGNAL ;
- vccd2 ( PIN vccd2 ) + USE SIGNAL ;
- vdda1 ( PIN vdda1 ) + USE SIGNAL ;
- vdda2 ( PIN vdda2 ) + USE SIGNAL ;
- vssa1 ( PIN vssa1 ) + USE SIGNAL ;
- vssa2 ( PIN vssa2 ) + USE SIGNAL ;
- vssd1 ( PIN vssd1 ) + USE SIGNAL ;
- vssd2 ( PIN vssd2 ) + USE SIGNAL ;
- wb_clk_i ( PIN wb_clk_i ) ( _0801_ B1 ) + USE SIGNAL ;
- wb_rst_i ( PIN wb_rst_i ) ( _0403_ B1 ) + USE SIGNAL ;
- wbs_ack_o ( PIN wbs_ack_o ) ( _1041_ Q ) ( _0686_ A ) ( _0644_ A ) ( _0596_ A ) ( _0547_ A ) ( _0415_ A ) + USE SIGNAL ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) + USE SIGNAL ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) + USE SIGNAL ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) + USE SIGNAL ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) + USE SIGNAL ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) + USE SIGNAL ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) + USE SIGNAL ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) + USE SIGNAL ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) + USE SIGNAL ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) + USE SIGNAL ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) + USE SIGNAL ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) + USE SIGNAL ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) + USE SIGNAL ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) + USE SIGNAL ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) + USE SIGNAL ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) + USE SIGNAL ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) + USE SIGNAL ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) + USE SIGNAL ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) + USE SIGNAL ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) + USE SIGNAL ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) + USE SIGNAL ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) + USE SIGNAL ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) + USE SIGNAL ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) + USE SIGNAL ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) + USE SIGNAL ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) + USE SIGNAL ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) + USE SIGNAL ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) + USE SIGNAL ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) + USE SIGNAL ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) + USE SIGNAL ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) + USE SIGNAL ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) + USE SIGNAL ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) + USE SIGNAL ;
- wbs_cyc_i ( PIN wbs_cyc_i ) ( _0408_ B ) + USE SIGNAL ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( _0683_ A ) + USE SIGNAL ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( _0631_ B1 ) + USE SIGNAL ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( _0627_ A ) + USE SIGNAL ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( _0619_ A ) + USE SIGNAL ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( _0617_ B1 ) + USE SIGNAL ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( _0612_ A ) + USE SIGNAL ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( _0598_ A ) + USE SIGNAL ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( _0591_ A ) + USE SIGNAL ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( _0588_ A ) + USE SIGNAL ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( _0584_ B1 ) + USE SIGNAL ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( _0580_ A ) + USE SIGNAL ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( _0678_ A ) + USE SIGNAL ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( _0570_ A ) + USE SIGNAL ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( _0566_ A ) + USE SIGNAL ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( _0559_ A ) + USE SIGNAL ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( _0549_ A ) + USE SIGNAL ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( _0542_ A ) + USE SIGNAL ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( _0539_ A ) + USE SIGNAL ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( _0535_ B1 ) + USE SIGNAL ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( _0530_ A ) + USE SIGNAL ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( _0522_ A ) + USE SIGNAL ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( _0519_ A ) + USE SIGNAL ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( _0675_ A ) + USE SIGNAL ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( _0417_ A ) + USE SIGNAL ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( _0802_ A ) + USE SIGNAL ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( _0671_ B1 ) + USE SIGNAL ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( _0663_ A ) + USE SIGNAL ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( _0659_ A ) + USE SIGNAL ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( _0655_ A ) + USE SIGNAL ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( _0646_ A ) + USE SIGNAL ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( _0638_ A ) + USE SIGNAL ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( _0635_ A ) + USE SIGNAL ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( _0977_ Q ) ( _0798_ A ) + USE SIGNAL ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( _0987_ Q ) ( _0774_ A ) + USE SIGNAL ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( _0988_ Q ) ( _0772_ A ) + USE SIGNAL ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( _0989_ Q ) ( _0769_ A ) + USE SIGNAL ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( _0990_ Q ) ( _0767_ A ) + USE SIGNAL ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( _0991_ Q ) ( _0764_ A ) + USE SIGNAL ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( _0992_ Q ) ( _0762_ A ) + USE SIGNAL ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( _0993_ Q ) ( _0760_ A ) + USE SIGNAL ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( _0994_ Q ) ( _0757_ A ) + USE SIGNAL ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( _0995_ Q ) ( _0755_ A ) + USE SIGNAL ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( _0996_ Q ) ( _0752_ A ) + USE SIGNAL ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( _0978_ Q ) ( _0796_ A ) + USE SIGNAL ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( _0997_ Q ) ( _0750_ A ) + USE SIGNAL ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( _0998_ Q ) ( _0748_ A ) + USE SIGNAL ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( _0999_ Q ) ( _0745_ A ) + USE SIGNAL ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( _1000_ Q ) ( _0743_ A ) + USE SIGNAL ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( _1001_ Q ) ( _0740_ A ) + USE SIGNAL ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( _1002_ Q ) ( _0738_ A ) + USE SIGNAL ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( _1003_ Q ) ( _0736_ A ) + USE SIGNAL ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( _1004_ Q ) ( _0732_ A ) + USE SIGNAL ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( _1005_ Q ) ( _0730_ A ) + USE SIGNAL ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( _1006_ Q ) ( _0726_ A ) + USE SIGNAL ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( _0979_ Q ) ( _0793_ A ) + USE SIGNAL ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( _1007_ Q ) ( _0724_ A ) + USE SIGNAL ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( _1008_ Q ) ( _0722_ A ) + USE SIGNAL ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( _0980_ Q ) ( _0791_ A ) + USE SIGNAL ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( _0981_ Q ) ( _0788_ A ) + USE SIGNAL ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( _0982_ Q ) ( _0786_ A ) + USE SIGNAL ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( _0983_ Q ) ( _0784_ A ) + USE SIGNAL ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( _0984_ Q ) ( _0781_ A ) + USE SIGNAL ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( _0985_ Q ) ( _0779_ A ) + USE SIGNAL ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( _0986_ Q ) ( _0776_ A ) + USE SIGNAL ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( _0643_ A ) + USE SIGNAL ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( _0595_ A ) + USE SIGNAL ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( _0546_ A ) + USE SIGNAL ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( _0414_ A ) + USE SIGNAL ;
- wbs_stb_i ( PIN wbs_stb_i ) ( _0408_ A ) + USE SIGNAL ;
- wbs_we_i ( PIN wbs_we_i ) ( _0413_ A ) + USE SIGNAL ;
- _0000_ ( _0977_ D ) ( _0799_ X ) + USE SIGNAL ;
- _0001_ ( _0978_ D ) ( _0797_ X ) + USE SIGNAL ;
- _0002_ ( _0979_ D ) ( _0795_ X ) + USE SIGNAL ;
- _0003_ ( _0980_ D ) ( _0792_ X ) + USE SIGNAL ;
- _0004_ ( _0981_ D ) ( _0790_ X ) + USE SIGNAL ;
- _0005_ ( _0982_ D ) ( _0787_ X ) + USE SIGNAL ;
- _0006_ ( _0983_ D ) ( _0785_ X ) + USE SIGNAL ;
- _0007_ ( _0984_ D ) ( _0783_ X ) + USE SIGNAL ;
- _0008_ ( _0985_ D ) ( _0780_ X ) + USE SIGNAL ;
- _0009_ ( _0986_ D ) ( _0778_ X ) + USE SIGNAL ;
- _0010_ ( _0987_ D ) ( _0775_ X ) + USE SIGNAL ;
- _0011_ ( _0988_ D ) ( _0773_ X ) + USE SIGNAL ;
- _0012_ ( _0989_ D ) ( _0771_ X ) + USE SIGNAL ;
- _0013_ ( _0990_ D ) ( _0768_ X ) + USE SIGNAL ;
- _0014_ ( _0991_ D ) ( _0766_ X ) + USE SIGNAL ;
- _0015_ ( _0992_ D ) ( _0763_ X ) + USE SIGNAL ;
- _0016_ ( _0993_ D ) ( _0761_ X ) + USE SIGNAL ;
- _0017_ ( _0994_ D ) ( _0759_ X ) + USE SIGNAL ;
- _0018_ ( _0995_ D ) ( _0756_ X ) + USE SIGNAL ;
- _0019_ ( _0996_ D ) ( _0754_ X ) + USE SIGNAL ;
- _0020_ ( _0997_ D ) ( _0751_ X ) + USE SIGNAL ;
- _0021_ ( _0998_ D ) ( _0749_ X ) + USE SIGNAL ;
- _0022_ ( _0999_ D ) ( _0747_ X ) + USE SIGNAL ;
- _0023_ ( _1000_ D ) ( _0744_ X ) + USE SIGNAL ;
- _0024_ ( _1001_ D ) ( _0742_ X ) + USE SIGNAL ;
- _0025_ ( _1002_ D ) ( _0739_ X ) + USE SIGNAL ;
- _0026_ ( _1003_ D ) ( _0737_ X ) + USE SIGNAL ;
- _0027_ ( _1004_ D ) ( _0735_ X ) + USE SIGNAL ;
- _0028_ ( _1005_ D ) ( _0731_ X ) + USE SIGNAL ;
- _0029_ ( _1006_ D ) ( _0729_ X ) + USE SIGNAL ;
- _0030_ ( _1007_ D ) ( _0725_ X ) + USE SIGNAL ;
- _0031_ ( _1008_ D ) ( _0723_ X ) + USE SIGNAL ;
- _0032_ ( _1009_ D ) ( _0721_ X ) + USE SIGNAL ;
- _0033_ ( _1010_ D ) ( _0720_ X ) + USE SIGNAL ;
- _0034_ ( _1011_ D ) ( _0719_ X ) + USE SIGNAL ;
- _0035_ ( _1012_ D ) ( _0718_ X ) + USE SIGNAL ;
- _0036_ ( _1013_ D ) ( _0717_ X ) + USE SIGNAL ;
- _0037_ ( _1014_ D ) ( _0716_ X ) + USE SIGNAL ;
- _0038_ ( _1015_ D ) ( _0715_ X ) + USE SIGNAL ;
- _0039_ ( _1016_ D ) ( _0714_ X ) + USE SIGNAL ;
- _0040_ ( _1017_ D ) ( _0713_ X ) + USE SIGNAL ;
- _0041_ ( _1018_ D ) ( _0712_ X ) + USE SIGNAL ;
- _0042_ ( _1019_ D ) ( _0711_ X ) + USE SIGNAL ;
- _0043_ ( _1020_ D ) ( _0710_ X ) + USE SIGNAL ;
- _0044_ ( _1021_ D ) ( _0709_ X ) + USE SIGNAL ;
- _0045_ ( _1022_ D ) ( _0708_ X ) + USE SIGNAL ;
- _0046_ ( _1023_ D ) ( _0707_ X ) + USE SIGNAL ;
- _0047_ ( _1024_ D ) ( _0706_ X ) + USE SIGNAL ;
- _0048_ ( _1025_ D ) ( _0705_ X ) + USE SIGNAL ;
- _0049_ ( _1026_ D ) ( _0704_ X ) + USE SIGNAL ;
- _0050_ ( _1027_ D ) ( _0703_ X ) + USE SIGNAL ;
- _0051_ ( _1028_ D ) ( _0702_ X ) + USE SIGNAL ;
- _0052_ ( _1029_ D ) ( _0701_ X ) + USE SIGNAL ;
- _0053_ ( _1030_ D ) ( _0700_ X ) + USE SIGNAL ;
- _0054_ ( _1031_ D ) ( _0699_ X ) + USE SIGNAL ;
- _0055_ ( _1032_ D ) ( _0698_ X ) + USE SIGNAL ;
- _0056_ ( _1033_ D ) ( _0697_ X ) + USE SIGNAL ;
- _0057_ ( _1034_ D ) ( _0696_ X ) + USE SIGNAL ;
- _0058_ ( _1035_ D ) ( _0695_ X ) + USE SIGNAL ;
- _0059_ ( _1036_ D ) ( _0694_ X ) + USE SIGNAL ;
- _0060_ ( _1037_ D ) ( _0693_ X ) + USE SIGNAL ;
- _0061_ ( _1038_ D ) ( _0692_ X ) + USE SIGNAL ;
- _0062_ ( _1039_ D ) ( _0691_ X ) + USE SIGNAL ;
- _0063_ ( _1040_ D ) ( _0690_ X ) + USE SIGNAL ;
- _0064_ ( _1041_ D ) ( _0725_ B2 ) ( _0725_ A2_N ) ( _0723_ B2 ) ( _0723_ A2_N ) ( _0688_ X ) + USE SIGNAL ;
- _0065_ ( _1042_ D ) ( _0685_ Y ) + USE SIGNAL ;
- _0066_ ( _1043_ D ) ( _0681_ X ) + USE SIGNAL ;
- _0067_ ( _1044_ D ) ( _0677_ Y ) + USE SIGNAL ;
- _0068_ ( _1045_ D ) ( _0672_ X ) + USE SIGNAL ;
- _0069_ ( _1046_ D ) ( _0666_ X ) + USE SIGNAL ;
- _0070_ ( _1047_ D ) ( _0662_ X ) + USE SIGNAL ;
- _0071_ ( _1048_ D ) ( _0658_ X ) + USE SIGNAL ;
- _0072_ ( _1049_ D ) ( _0654_ X ) + USE SIGNAL ;
- _0073_ ( _1050_ D ) ( _0641_ X ) + USE SIGNAL ;
- _0074_ ( _1051_ D ) ( _0637_ Y ) + USE SIGNAL ;
- _0075_ ( _1052_ D ) ( _0632_ X ) + USE SIGNAL ;
- _0076_ ( _1053_ D ) ( _0629_ Y ) + USE SIGNAL ;
- _0077_ ( _1054_ D ) ( _0622_ X ) + USE SIGNAL ;
- _0078_ ( _1055_ D ) ( _0618_ X ) + USE SIGNAL ;
- _0079_ ( _1056_ D ) ( _0614_ Y ) + USE SIGNAL ;
- _0080_ ( _1057_ D ) ( _0607_ X ) + USE SIGNAL ;
- _0081_ ( _1058_ D ) ( _0594_ X ) + USE SIGNAL ;
- _0082_ ( _1059_ D ) ( _0590_ Y ) + USE SIGNAL ;
- _0083_ ( _1060_ D ) ( _0585_ X ) + USE SIGNAL ;
- _0084_ ( _1061_ D ) ( _0582_ Y ) + USE SIGNAL ;
- _0085_ ( _1062_ D ) ( _0573_ X ) + USE SIGNAL ;
- _0086_ ( _1063_ D ) ( _0568_ Y ) + USE SIGNAL ;
- _0087_ ( _1064_ D ) ( _0562_ X ) + USE SIGNAL ;
- _0088_ ( _1065_ D ) ( _0558_ X ) + USE SIGNAL ;
- _0089_ ( _1066_ D ) ( _0545_ X ) + USE SIGNAL ;
- _0090_ ( _1067_ D ) ( _0541_ Y ) + USE SIGNAL ;
- _0091_ ( _1068_ D ) ( _0536_ X ) + USE SIGNAL ;
- _0092_ ( _1069_ D ) ( _0532_ Y ) + USE SIGNAL ;
- _0093_ ( _1070_ D ) ( _0525_ X ) + USE SIGNAL ;
- _0094_ ( _1071_ D ) ( _0521_ Y ) + USE SIGNAL ;
- _0095_ ( _1072_ D ) ( _0515_ X ) + USE SIGNAL ;
- _0096_ ( _1073_ D ) ( _0805_ X ) + USE SIGNAL ;
- _0097_ ( _0403_ B2 ) ( _0402_ Y ) + USE SIGNAL ;
- _0098_ ( _0686_ C ) ( _0404_ A ) ( _0403_ X ) + USE SIGNAL ;
- _0099_ ( _0684_ B1 ) ( _0676_ B1 ) ( _0579_ A ) ( _0406_ A ) ( _0405_ A ) ( _0404_ X ) + USE SIGNAL ;
- _0100_ ( _0805_ A ) ( _0642_ A ) ( _0569_ A ) ( _0533_ A ) ( _0407_ A ) ( _0406_ Y ) + USE SIGNAL ;
- _0101_ ( _0562_ A ) ( _0558_ A ) ( _0545_ A ) ( _0525_ A ) ( _0515_ A ) ( _0407_ X ) + USE SIGNAL ;
- _0102_ ( _0409_ A ) ( _0408_ X ) + USE SIGNAL ;
- _0103_ ( _0474_ A ) ( _0468_ A ) ( _0463_ A ) ( _0461_ A ) ( _0410_ A ) ( _0409_ X ) + USE SIGNAL ;
- _0104_ ( _0476_ A ) ( _0466_ B ) ( _0460_ B ) ( _0459_ B ) ( _0411_ A ) ( _0410_ X ) + USE SIGNAL ;
- _0105_ ( _0503_ B ) ( _0502_ B ) ( _0498_ B ) ( _0482_ B ) ( _0412_ A ) ( _0411_ X ) + USE SIGNAL ;
- _0106_ ( _0686_ B ) ( _0644_ B ) ( _0596_ B ) ( _0547_ B ) ( _0415_ B ) ( _0412_ Y ) + USE SIGNAL ;
- _0107_ ( _0644_ C ) ( _0596_ C ) ( _0547_ C ) ( _0415_ C ) ( _0413_ Y ) + USE SIGNAL ;
- _0108_ ( _0415_ D ) ( _0414_ Y ) + USE SIGNAL ;
- _0109_ ( _0512_ A ) ( _0416_ A ) ( _0415_ X ) + USE SIGNAL ;
- _0110_ ( _0539_ B ) ( _0530_ B ) ( _0522_ B ) ( _0519_ B ) ( _0417_ B ) ( _0416_ X ) + USE SIGNAL ;
- _0111_ ( _0515_ B ) ( _0417_ X ) + USE SIGNAL ;
- _0112_ ( _0691_ A1_N ) ( _0511_ A ) ( _0510_ A ) ( _0418_ Y ) + USE SIGNAL ;
- _0113_ ( _0692_ A1_N ) ( _0509_ A ) ( _0419_ Y ) + USE SIGNAL ;
- _0114_ ( _0693_ A1_N ) ( _0523_ A ) ( _0517_ A ) ( _0509_ B ) ( _0420_ Y ) + USE SIGNAL ;
- _0115_ ( _0696_ A1_N ) ( _0526_ A ) ( _0508_ A ) ( _0421_ Y ) + USE SIGNAL ;
- _0116_ ( _0697_ A1_N ) ( _0543_ A ) ( _0537_ A ) ( _0526_ B ) ( _0508_ B ) ( _0422_ Y ) + USE SIGNAL ;
- _0117_ ( _0694_ A1_N ) ( _0529_ B1 ) ( _0425_ A ) ( _0423_ Y ) + USE SIGNAL ;
- _0118_ ( _0695_ A1_N ) ( _0528_ A ) ( _0425_ B ) ( _0424_ Y ) + USE SIGNAL ;
- _0119_ ( _0508_ C ) ( _0425_ X ) + USE SIGNAL ;
- _0120_ ( _0704_ A1_N ) ( _0575_ A ) ( _0430_ A ) ( _0426_ Y ) + USE SIGNAL ;
- _0121_ ( _0705_ A1_N ) ( _0592_ A ) ( _0586_ A ) ( _0575_ B ) ( _0430_ B ) ( _0427_ Y ) + USE SIGNAL ;
- _0122_ ( _0702_ A1_N ) ( _0578_ B1 ) ( _0430_ C ) ( _0428_ Y ) + USE SIGNAL ;
- _0123_ ( _0703_ A1_N ) ( _0577_ A ) ( _0430_ D ) ( _0429_ Y ) + USE SIGNAL ;
- _0124_ ( _0551_ A ) ( _0507_ A ) ( _0430_ X ) + USE SIGNAL ;
- _0125_ ( _0700_ A1_N ) ( _0552_ A ) ( _0435_ A ) ( _0431_ Y ) + USE SIGNAL ;
- _0126_ ( _0701_ A1_N ) ( _0571_ A ) ( _0564_ A ) ( _0552_ B ) ( _0435_ B ) ( _0432_ Y ) + USE SIGNAL ;
- _0127_ ( _0698_ A1_N ) ( _0435_ C ) ( _0433_ Y ) + USE SIGNAL ;
- _0128_ ( _0699_ A1_N ) ( _0560_ A ) ( _0553_ A ) ( _0435_ D ) ( _0434_ Y ) + USE SIGNAL ;
- _0129_ ( _0507_ B ) ( _0435_ X ) + USE SIGNAL ;
- _0130_ ( _0716_ A1_N ) ( _0660_ A ) ( _0649_ A ) ( _0446_ A ) ( _0436_ Y ) + USE SIGNAL ;
- _0131_ ( _0717_ A1_N ) ( _0664_ A ) ( _0648_ A ) ( _0446_ B ) ( _0437_ Y ) + USE SIGNAL ;
- _0132_ ( _0714_ A1_N ) ( _0440_ A ) ( _0438_ Y ) + USE SIGNAL ;
- _0133_ ( _0715_ A1_N ) ( _0656_ A ) ( _0650_ A ) ( _0440_ B ) ( _0439_ Y ) + USE SIGNAL ;
- _0134_ ( _0446_ C ) ( _0440_ X ) + USE SIGNAL ;
- _0135_ ( _0718_ A1_N ) ( _0445_ A ) ( _0441_ Y ) + USE SIGNAL ;
- _0136_ ( _0719_ A1_N ) ( _0674_ B1 ) ( _0674_ A1_N ) ( _0445_ B ) ( _0442_ Y ) + USE SIGNAL ;
- _0137_ ( _0720_ A1_N ) ( _0679_ A ) ( _0668_ A ) ( _0445_ C ) ( _0443_ Y ) + USE SIGNAL ;
- _0138_ ( _0721_ A1_N ) ( _0682_ A1 ) ( _0667_ A ) ( _0445_ D ) ( _0444_ Y ) + USE SIGNAL ;
- _0139_ ( _0647_ B ) ( _0446_ D ) ( _0445_ X ) + USE SIGNAL ;
- _0140_ ( _0623_ D ) ( _0601_ B ) ( _0458_ A ) ( _0446_ X ) + USE SIGNAL ;
- _0141_ ( _0709_ A1_N ) ( _0620_ A ) ( _0609_ A ) ( _0603_ C ) ( _0457_ A ) ( _0447_ Y ) + USE SIGNAL ;
- _0142_ ( _0707_ A1_N ) ( _0611_ A1 ) ( _0603_ A ) ( _0450_ A ) ( _0448_ Y ) + USE SIGNAL ;
- _0143_ ( _0708_ A1_N ) ( _0610_ A ) ( _0603_ B ) ( _0450_ B ) ( _0449_ Y ) + USE SIGNAL ;
- _0144_ ( _0457_ B ) ( _0450_ X ) + USE SIGNAL ;
- _0145_ ( _0706_ A1_N ) ( _0457_ C ) ( _0451_ Y ) + USE SIGNAL ;
- _0146_ ( _0712_ A1_N ) ( _0623_ A ) ( _0456_ A ) ( _0452_ Y ) + USE SIGNAL ;
- _0147_ ( _0713_ A1_N ) ( _0639_ A ) ( _0633_ A ) ( _0623_ B ) ( _0456_ B ) ( _0453_ Y ) + USE SIGNAL ;
- _0148_ ( _0710_ A1_N ) ( _0626_ B1 ) ( _0456_ C ) ( _0454_ Y ) + USE SIGNAL ;
- _0149_ ( _0711_ A1_N ) ( _0625_ A ) ( _0456_ D ) ( _0455_ Y ) + USE SIGNAL ;
- _0150_ ( _0602_ A ) ( _0457_ D ) ( _0456_ X ) + USE SIGNAL ;
- _0151_ ( _0605_ B1 ) ( _0458_ B ) ( _0457_ X ) + USE SIGNAL ;
- _0152_ ( _0575_ D ) ( _0550_ B ) ( _0507_ C ) ( _0458_ X ) + USE SIGNAL ;
- _0153_ ( _0721_ B2 ) ( _0721_ A2_N ) ( _0465_ A ) ( _0459_ Y ) + USE SIGNAL ;
- _0154_ ( _0719_ B2 ) ( _0719_ A2_N ) ( _0465_ B ) ( _0460_ Y ) + USE SIGNAL ;
- _0155_ ( _0490_ B ) ( _0486_ B ) ( _0485_ B ) ( _0467_ B ) ( _0462_ B ) ( _0461_ X ) + USE SIGNAL ;
- _0156_ ( _0718_ B2 ) ( _0718_ A2_N ) ( _0465_ C ) ( _0462_ Y ) + USE SIGNAL ;
- _0157_ ( _0500_ B ) ( _0480_ B ) ( _0479_ B ) ( _0470_ B ) ( _0464_ B ) ( _0463_ X ) + USE SIGNAL ;
- _0158_ ( _0720_ B2 ) ( _0720_ A2_N ) ( _0465_ D ) ( _0464_ Y ) + USE SIGNAL ;
- _0159_ ( _0484_ A ) ( _0465_ X ) + USE SIGNAL ;
- _0160_ ( _0717_ B2 ) ( _0717_ A2_N ) ( _0471_ A ) ( _0466_ Y ) + USE SIGNAL ;
- _0161_ ( _0715_ B2 ) ( _0715_ A2_N ) ( _0471_ B ) ( _0467_ Y ) + USE SIGNAL ;
- _0162_ ( _0491_ B ) ( _0487_ B ) ( _0473_ B ) ( _0472_ B ) ( _0469_ B ) ( _0468_ X ) + USE SIGNAL ;
- _0163_ ( _0714_ B2 ) ( _0714_ A2_N ) ( _0471_ C ) ( _0469_ Y ) + USE SIGNAL ;
- _0164_ ( _0716_ B2 ) ( _0716_ A2_N ) ( _0471_ D ) ( _0470_ Y ) + USE SIGNAL ;
- _0165_ ( _0484_ B ) ( _0471_ X ) + USE SIGNAL ;
- _0166_ ( _0713_ B2 ) ( _0713_ A2_N ) ( _0478_ A ) ( _0472_ Y ) + USE SIGNAL ;
- _0167_ ( _0711_ B2 ) ( _0711_ A2_N ) ( _0478_ B ) ( _0473_ Y ) + USE SIGNAL ;
- _0168_ ( _0497_ B ) ( _0496_ B ) ( _0495_ B ) ( _0492_ B ) ( _0475_ B ) ( _0474_ X ) + USE SIGNAL ;
- _0169_ ( _0710_ B2 ) ( _0710_ A2_N ) ( _0478_ C ) ( _0475_ Y ) + USE SIGNAL ;
- _0170_ ( _0501_ B ) ( _0493_ B ) ( _0488_ B ) ( _0481_ B ) ( _0477_ B ) ( _0476_ X ) + USE SIGNAL ;
- _0171_ ( _0712_ B2 ) ( _0712_ A2_N ) ( _0478_ D ) ( _0477_ Y ) + USE SIGNAL ;
- _0172_ ( _0484_ C ) ( _0478_ X ) + USE SIGNAL ;
- _0173_ ( _0709_ B2 ) ( _0709_ A2_N ) ( _0483_ A ) ( _0479_ Y ) + USE SIGNAL ;
- _0174_ ( _0707_ B2 ) ( _0707_ A2_N ) ( _0483_ B ) ( _0480_ Y ) + USE SIGNAL ;
- _0175_ ( _0706_ B2 ) ( _0706_ A2_N ) ( _0483_ C ) ( _0481_ Y ) + USE SIGNAL ;
- _0176_ ( _0708_ B2 ) ( _0708_ A2_N ) ( _0483_ D ) ( _0482_ Y ) + USE SIGNAL ;
- _0177_ ( _0484_ D ) ( _0483_ X ) + USE SIGNAL ;
- _0178_ ( _0506_ A ) ( _0484_ X ) + USE SIGNAL ;
- _0179_ ( _0705_ B2 ) ( _0705_ A2_N ) ( _0489_ A ) ( _0485_ Y ) + USE SIGNAL ;
- _0180_ ( _0703_ B2 ) ( _0703_ A2_N ) ( _0489_ B ) ( _0486_ Y ) + USE SIGNAL ;
- _0181_ ( _0702_ B2 ) ( _0702_ A2_N ) ( _0489_ C ) ( _0487_ Y ) + USE SIGNAL ;
- _0182_ ( _0704_ B2 ) ( _0704_ A2_N ) ( _0489_ D ) ( _0488_ Y ) + USE SIGNAL ;
- _0183_ ( _0505_ A ) ( _0489_ X ) + USE SIGNAL ;
- _0184_ ( _0701_ B2 ) ( _0701_ A2_N ) ( _0494_ A ) ( _0490_ Y ) + USE SIGNAL ;
- _0185_ ( _0699_ B2 ) ( _0699_ A2_N ) ( _0494_ B ) ( _0491_ Y ) + USE SIGNAL ;
- _0186_ ( _0698_ B2 ) ( _0698_ A2_N ) ( _0494_ C ) ( _0492_ Y ) + USE SIGNAL ;
- _0187_ ( _0700_ B2 ) ( _0700_ A2_N ) ( _0494_ D ) ( _0493_ Y ) + USE SIGNAL ;
- _0188_ ( _0505_ B ) ( _0494_ X ) + USE SIGNAL ;
- _0189_ ( _0697_ B2 ) ( _0697_ A2_N ) ( _0499_ A ) ( _0495_ Y ) + USE SIGNAL ;
- _0190_ ( _0695_ B2 ) ( _0695_ A2_N ) ( _0499_ B ) ( _0496_ Y ) + USE SIGNAL ;
- _0191_ ( _0694_ B2 ) ( _0694_ A2_N ) ( _0499_ C ) ( _0497_ Y ) + USE SIGNAL ;
- _0192_ ( _0696_ B2 ) ( _0696_ A2_N ) ( _0499_ D ) ( _0498_ Y ) + USE SIGNAL ;
- _0193_ ( _0505_ C ) ( _0499_ X ) + USE SIGNAL ;
- _0194_ ( _0693_ B2 ) ( _0693_ A2_N ) ( _0504_ A ) ( _0500_ Y ) + USE SIGNAL ;
- _0195_ ( _0691_ B2 ) ( _0691_ A2_N ) ( _0504_ B ) ( _0501_ Y ) + USE SIGNAL ;
- _0196_ ( _0690_ B2 ) ( _0690_ A2_N ) ( _0504_ C ) ( _0502_ Y ) + USE SIGNAL ;
- _0197_ ( _0692_ B2 ) ( _0692_ A2_N ) ( _0504_ D ) ( _0503_ Y ) + USE SIGNAL ;
- _0198_ ( _0505_ D ) ( _0504_ X ) + USE SIGNAL ;
- _0199_ ( _0506_ B ) ( _0505_ X ) + USE SIGNAL ;
- _0200_ ( _0601_ A ) ( _0574_ A ) ( _0550_ A ) ( _0507_ D ) ( _0506_ X ) + USE SIGNAL ;
- _0201_ ( _0543_ B ) ( _0537_ B ) ( _0526_ C ) ( _0508_ D ) ( _0507_ X ) + USE SIGNAL ;
- _0202_ ( _0523_ B ) ( _0517_ B ) ( _0509_ C ) ( _0508_ X ) + USE SIGNAL ;
- _0203_ ( _0511_ B ) ( _0510_ B ) ( _0509_ X ) + USE SIGNAL ;
- _0204_ ( _0514_ A1 ) ( _0510_ Y ) + USE SIGNAL ;
- _0205_ ( _0804_ A2 ) ( _0803_ B ) ( _0514_ A2 ) ( _0511_ X ) + USE SIGNAL ;
- _0206_ ( _0802_ B ) ( _0542_ B ) ( _0535_ A1 ) ( _0516_ A ) ( _0513_ A ) ( _0512_ X ) + USE SIGNAL ;
- _0207_ ( _0804_ B1 ) ( _0535_ B2 ) ( _0514_ B1 ) ( _0513_ Y ) + USE SIGNAL ;
- _0208_ ( _0515_ C ) ( _0514_ X ) + USE SIGNAL ;
- _0209_ ( _0544_ B1 ) ( _0540_ A1 ) ( _0531_ A1 ) ( _0524_ B1 ) ( _0520_ A1 ) ( _0516_ X ) + USE SIGNAL ;
- _0210_ ( _0524_ A1 ) ( _0518_ B2 ) ( _0518_ A2_N ) ( _0517_ Y ) + USE SIGNAL ;
- _0211_ ( _0520_ A2 ) ( _0518_ X ) + USE SIGNAL ;
- _0212_ ( _0520_ C1 ) ( _0519_ Y ) + USE SIGNAL ;
- _0213_ ( _0521_ A ) ( _0520_ X ) + USE SIGNAL ;
- _0214_ ( _0525_ B ) ( _0522_ X ) + USE SIGNAL ;
- _0215_ ( _0524_ A2 ) ( _0523_ X ) + USE SIGNAL ;
- _0216_ ( _0525_ C ) ( _0524_ Y ) + USE SIGNAL ;
- _0217_ ( _0528_ B ) ( _0527_ A ) ( _0526_ X ) + USE SIGNAL ;
- _0218_ ( _0534_ B ) ( _0529_ A2 ) ( _0527_ Y ) + USE SIGNAL ;
- _0219_ ( _0535_ A2 ) ( _0529_ B2 ) ( _0528_ X ) + USE SIGNAL ;
- _0220_ ( _0531_ A2 ) ( _0529_ X ) + USE SIGNAL ;
- _0221_ ( _0531_ C1 ) ( _0530_ Y ) + USE SIGNAL ;
- _0222_ ( _0532_ A ) ( _0531_ X ) + USE SIGNAL ;
- _0223_ ( _0672_ A ) ( _0632_ A ) ( _0618_ A ) ( _0585_ A ) ( _0536_ A ) ( _0533_ X ) + USE SIGNAL ;
- _0224_ ( _0535_ A3 ) ( _0534_ X ) + USE SIGNAL ;
- _0225_ ( _0536_ B ) ( _0535_ X ) + USE SIGNAL ;
- _0226_ ( _0544_ A1 ) ( _0538_ B2 ) ( _0538_ A2_N ) ( _0537_ Y ) + USE SIGNAL ;
- _0227_ ( _0540_ A2 ) ( _0538_ X ) + USE SIGNAL ;
- _0228_ ( _0540_ C1 ) ( _0539_ Y ) + USE SIGNAL ;
- _0229_ ( _0541_ A ) ( _0540_ X ) + USE SIGNAL ;
- _0230_ ( _0545_ B ) ( _0542_ X ) + USE SIGNAL ;
- _0231_ ( _0544_ A2 ) ( _0543_ X ) + USE SIGNAL ;
- _0232_ ( _0545_ C ) ( _0544_ Y ) + USE SIGNAL ;
- _0233_ ( _0547_ D ) ( _0546_ Y ) + USE SIGNAL ;
- _0234_ ( _0554_ A ) ( _0548_ A ) ( _0547_ X ) + USE SIGNAL ;
- _0235_ ( _0588_ B ) ( _0580_ B ) ( _0566_ B ) ( _0559_ B ) ( _0549_ B ) ( _0548_ X ) + USE SIGNAL ;
- _0236_ ( _0558_ B ) ( _0549_ X ) + USE SIGNAL ;
- _0237_ ( _0592_ B ) ( _0586_ B ) ( _0551_ B ) ( _0550_ X ) + USE SIGNAL ;
- _0238_ ( _0571_ B ) ( _0564_ B ) ( _0552_ C ) ( _0551_ X ) + USE SIGNAL ;
- _0239_ ( _0560_ B ) ( _0553_ B ) ( _0552_ X ) + USE SIGNAL ;
- _0240_ ( _0561_ A1 ) ( _0557_ A2 ) ( _0556_ B ) ( _0553_ X ) + USE SIGNAL ;
- _0241_ ( _0591_ B ) ( _0584_ A1 ) ( _0570_ B ) ( _0563_ A ) ( _0555_ A ) ( _0554_ X ) + USE SIGNAL ;
- _0242_ ( _0584_ B2 ) ( _0561_ B1 ) ( _0557_ B1 ) ( _0555_ Y ) + USE SIGNAL ;
- _0243_ ( _0557_ C1 ) ( _0556_ Y ) + USE SIGNAL ;
- _0244_ ( _0558_ C ) ( _0557_ X ) + USE SIGNAL ;
- _0245_ ( _0562_ B ) ( _0559_ X ) + USE SIGNAL ;
- _0246_ ( _0561_ A2 ) ( _0560_ Y ) + USE SIGNAL ;
- _0247_ ( _0562_ C ) ( _0561_ X ) + USE SIGNAL ;
- _0248_ ( _0593_ B1 ) ( _0589_ A1 ) ( _0581_ A1 ) ( _0572_ B1 ) ( _0567_ A1 ) ( _0563_ X ) + USE SIGNAL ;
- _0249_ ( _0572_ A1 ) ( _0565_ B2 ) ( _0565_ A2_N ) ( _0564_ Y ) + USE SIGNAL ;
- _0250_ ( _0567_ A2 ) ( _0565_ X ) + USE SIGNAL ;
- _0251_ ( _0567_ C1 ) ( _0566_ Y ) + USE SIGNAL ;
- _0252_ ( _0568_ A ) ( _0567_ X ) + USE SIGNAL ;
- _0253_ ( _0641_ A ) ( _0622_ A ) ( _0607_ A ) ( _0594_ A ) ( _0573_ A ) ( _0569_ X ) + USE SIGNAL ;
- _0254_ ( _0573_ B ) ( _0570_ X ) + USE SIGNAL ;
- _0255_ ( _0572_ A2 ) ( _0571_ X ) + USE SIGNAL ;
- _0256_ ( _0573_ C ) ( _0572_ Y ) + USE SIGNAL ;
- _0257_ ( _0682_ A2 ) ( _0667_ B ) ( _0647_ A ) ( _0623_ C ) ( _0575_ C ) ( _0574_ X ) + USE SIGNAL ;
- _0258_ ( _0577_ B ) ( _0576_ A ) ( _0575_ X ) + USE SIGNAL ;
- _0259_ ( _0583_ B ) ( _0578_ A2 ) ( _0576_ Y ) + USE SIGNAL ;
- _0260_ ( _0584_ A2 ) ( _0578_ B2 ) ( _0577_ X ) + USE SIGNAL ;
- _0261_ ( _0581_ A2 ) ( _0578_ X ) + USE SIGNAL ;
- _0262_ ( _0636_ B1 ) ( _0628_ B1 ) ( _0613_ B1 ) ( _0589_ B1 ) ( _0581_ B1 ) ( _0579_ X ) + USE SIGNAL ;
- _0263_ ( _0581_ C1 ) ( _0580_ Y ) + USE SIGNAL ;
- _0264_ ( _0582_ A ) ( _0581_ X ) + USE SIGNAL ;
- _0265_ ( _0584_ A3 ) ( _0583_ X ) + USE SIGNAL ;
- _0266_ ( _0585_ B ) ( _0584_ X ) + USE SIGNAL ;
- _0267_ ( _0593_ A1 ) ( _0587_ B2 ) ( _0587_ A2_N ) ( _0586_ Y ) + USE SIGNAL ;
- _0268_ ( _0589_ A2 ) ( _0587_ X ) + USE SIGNAL ;
- _0269_ ( _0589_ C1 ) ( _0588_ Y ) + USE SIGNAL ;
- _0270_ ( _0590_ A ) ( _0589_ X ) + USE SIGNAL ;
- _0271_ ( _0594_ B ) ( _0591_ X ) + USE SIGNAL ;
- _0272_ ( _0593_ A2 ) ( _0592_ X ) + USE SIGNAL ;
- _0273_ ( _0594_ C ) ( _0593_ Y ) + USE SIGNAL ;
- _0274_ ( _0596_ D ) ( _0595_ Y ) + USE SIGNAL ;
- _0275_ ( _0599_ A ) ( _0597_ A ) ( _0596_ X ) + USE SIGNAL ;
- _0276_ ( _0635_ B ) ( _0627_ B ) ( _0619_ B ) ( _0612_ B ) ( _0598_ B ) ( _0597_ X ) + USE SIGNAL ;
- _0277_ ( _0607_ B ) ( _0598_ X ) + USE SIGNAL ;
- _0278_ ( _0638_ B ) ( _0631_ A1 ) ( _0617_ A1 ) ( _0608_ A ) ( _0600_ A ) ( _0599_ X ) + USE SIGNAL ;
- _0279_ ( _0631_ B2 ) ( _0617_ B2 ) ( _0606_ A ) ( _0600_ Y ) + USE SIGNAL ;
- _0280_ ( _0639_ B ) ( _0633_ B ) ( _0605_ B2 ) ( _0602_ B ) ( _0601_ X ) + USE SIGNAL ;
- _0281_ ( _0620_ B ) ( _0609_ B ) ( _0603_ D ) ( _0602_ X ) + USE SIGNAL ;
- _0282_ ( _0604_ A ) ( _0603_ X ) + USE SIGNAL ;
- _0283_ ( _0611_ B1 ) ( _0605_ A2 ) ( _0604_ Y ) + USE SIGNAL ;
- _0284_ ( _0606_ B ) ( _0605_ X ) + USE SIGNAL ;
- _0285_ ( _0607_ C ) ( _0606_ X ) + USE SIGNAL ;
- _0286_ ( _0640_ B1 ) ( _0636_ A1 ) ( _0628_ A1 ) ( _0621_ B1 ) ( _0613_ A1 ) ( _0608_ X ) + USE SIGNAL ;
- _0287_ ( _0615_ A ) ( _0610_ B ) ( _0609_ X ) + USE SIGNAL ;
- _0288_ ( _0617_ A2 ) ( _0611_ A2 ) ( _0610_ X ) + USE SIGNAL ;
- _0289_ ( _0613_ A2 ) ( _0611_ X ) + USE SIGNAL ;
- _0290_ ( _0613_ C1 ) ( _0612_ Y ) + USE SIGNAL ;
- _0291_ ( _0614_ A ) ( _0613_ X ) + USE SIGNAL ;
- _0292_ ( _0621_ A1 ) ( _0616_ B ) ( _0615_ Y ) + USE SIGNAL ;
- _0293_ ( _0617_ A3 ) ( _0616_ X ) + USE SIGNAL ;
- _0294_ ( _0618_ B ) ( _0617_ X ) + USE SIGNAL ;
- _0295_ ( _0622_ B ) ( _0619_ X ) + USE SIGNAL ;
- _0296_ ( _0621_ A2 ) ( _0620_ X ) + USE SIGNAL ;
- _0297_ ( _0622_ C ) ( _0621_ Y ) + USE SIGNAL ;
- _0298_ ( _0625_ B ) ( _0624_ A ) ( _0623_ X ) + USE SIGNAL ;
- _0299_ ( _0630_ B ) ( _0626_ A2 ) ( _0624_ Y ) + USE SIGNAL ;
- _0300_ ( _0631_ A2 ) ( _0626_ B2 ) ( _0625_ X ) + USE SIGNAL ;
- _0301_ ( _0628_ A2 ) ( _0626_ X ) + USE SIGNAL ;
- _0302_ ( _0628_ C1 ) ( _0627_ Y ) + USE SIGNAL ;
- _0303_ ( _0629_ A ) ( _0628_ X ) + USE SIGNAL ;
- _0304_ ( _0631_ A3 ) ( _0630_ X ) + USE SIGNAL ;
- _0305_ ( _0632_ B ) ( _0631_ X ) + USE SIGNAL ;
- _0306_ ( _0640_ A1 ) ( _0634_ B2 ) ( _0634_ A2_N ) ( _0633_ Y ) + USE SIGNAL ;
- _0307_ ( _0636_ A2 ) ( _0634_ X ) + USE SIGNAL ;
- _0308_ ( _0636_ C1 ) ( _0635_ Y ) + USE SIGNAL ;
- _0309_ ( _0637_ A ) ( _0636_ X ) + USE SIGNAL ;
- _0310_ ( _0641_ B ) ( _0638_ X ) + USE SIGNAL ;
- _0311_ ( _0640_ A2 ) ( _0639_ X ) + USE SIGNAL ;
- _0312_ ( _0641_ C ) ( _0640_ Y ) + USE SIGNAL ;
- _0313_ ( _0681_ A ) ( _0666_ A ) ( _0662_ A ) ( _0658_ A ) ( _0654_ A ) ( _0642_ X ) + USE SIGNAL ;
- _0314_ ( _0644_ D ) ( _0643_ Y ) + USE SIGNAL ;
- _0315_ ( _0673_ A ) ( _0671_ A2 ) ( _0651_ A ) ( _0645_ A ) ( _0644_ X ) + USE SIGNAL ;
- _0316_ ( _0678_ B ) ( _0663_ B ) ( _0659_ B ) ( _0655_ B ) ( _0646_ B ) ( _0645_ X ) + USE SIGNAL ;
- _0317_ ( _0654_ B ) ( _0646_ X ) + USE SIGNAL ;
- _0318_ ( _0671_ A1 ) ( _0664_ B ) ( _0648_ B ) ( _0647_ X ) + USE SIGNAL ;
- _0319_ ( _0665_ A1 ) ( _0660_ B ) ( _0649_ B ) ( _0648_ X ) + USE SIGNAL ;
- _0320_ ( _0661_ A1 ) ( _0656_ B ) ( _0650_ B ) ( _0649_ X ) + USE SIGNAL ;
- _0321_ ( _0657_ A1 ) ( _0653_ A2 ) ( _0652_ B ) ( _0650_ X ) + USE SIGNAL ;
- _0322_ ( _0671_ B2 ) ( _0665_ B1 ) ( _0661_ B1 ) ( _0657_ B1 ) ( _0653_ B1 ) ( _0651_ Y ) + USE SIGNAL ;
- _0323_ ( _0653_ C1 ) ( _0652_ Y ) + USE SIGNAL ;
- _0324_ ( _0654_ C ) ( _0653_ X ) + USE SIGNAL ;
- _0325_ ( _0658_ B ) ( _0655_ X ) + USE SIGNAL ;
- _0326_ ( _0657_ A2 ) ( _0656_ Y ) + USE SIGNAL ;
- _0327_ ( _0658_ C ) ( _0657_ X ) + USE SIGNAL ;
- _0328_ ( _0662_ B ) ( _0659_ X ) + USE SIGNAL ;
- _0329_ ( _0661_ A2 ) ( _0660_ Y ) + USE SIGNAL ;
- _0330_ ( _0662_ C ) ( _0661_ X ) + USE SIGNAL ;
- _0331_ ( _0666_ B ) ( _0663_ X ) + USE SIGNAL ;
- _0332_ ( _0665_ A2 ) ( _0664_ Y ) + USE SIGNAL ;
- _0333_ ( _0666_ C ) ( _0665_ X ) + USE SIGNAL ;
- _0334_ ( _0682_ B1_N ) ( _0679_ B ) ( _0668_ B ) ( _0667_ X ) + USE SIGNAL ;
- _0335_ ( _0674_ B2 ) ( _0674_ A2_N ) ( _0669_ A ) ( _0668_ X ) + USE SIGNAL ;
- _0336_ ( _0680_ A1 ) ( _0670_ A2 ) ( _0669_ Y ) + USE SIGNAL ;
- _0337_ ( _0671_ A3 ) ( _0670_ X ) + USE SIGNAL ;
- _0338_ ( _0672_ B ) ( _0671_ X ) + USE SIGNAL ;
- _0339_ ( _0684_ A1 ) ( _0683_ B ) ( _0680_ B1 ) ( _0676_ A1 ) ( _0675_ B ) ( _0673_ X ) + USE SIGNAL ;
- _0340_ ( _0676_ A2 ) ( _0674_ X ) + USE SIGNAL ;
- _0341_ ( _0676_ C1 ) ( _0675_ Y ) + USE SIGNAL ;
- _0342_ ( _0677_ A ) ( _0676_ X ) + USE SIGNAL ;
- _0343_ ( _0681_ B ) ( _0678_ X ) + USE SIGNAL ;
- _0344_ ( _0680_ A2 ) ( _0679_ X ) + USE SIGNAL ;
- _0345_ ( _0681_ C ) ( _0680_ Y ) + USE SIGNAL ;
- _0346_ ( _0684_ A2 ) ( _0682_ X ) + USE SIGNAL ;
- _0347_ ( _0684_ C1 ) ( _0683_ Y ) + USE SIGNAL ;
- _0348_ ( _0685_ A ) ( _0684_ X ) + USE SIGNAL ;
- _0349_ ( _0687_ A ) ( _0686_ X ) + USE SIGNAL ;
- _0350_ ( _0794_ A ) ( _0782_ A ) ( _0733_ A ) ( _0727_ A ) ( _0688_ A ) ( _0687_ Y ) + USE SIGNAL ;
- _0351_ ( _0690_ A1_N ) ( _0689_ Y ) + USE SIGNAL ;
- _0352_ ( _0723_ A1_N ) ( _0722_ Y ) + USE SIGNAL ;
- _0353_ ( _0725_ A1_N ) ( _0724_ Y ) + USE SIGNAL ;
- _0354_ ( _0729_ A1_N ) ( _0726_ Y ) + USE SIGNAL ;
- _0355_ ( _0777_ A ) ( _0765_ A ) ( _0753_ A ) ( _0741_ A ) ( _0728_ A ) ( _0727_ X ) + USE SIGNAL ;
- _0356_ ( _0735_ A2_N ) ( _0731_ B2 ) ( _0731_ A2_N ) ( _0729_ B2 ) ( _0729_ A2_N ) ( _0728_ X ) + USE SIGNAL ;
- _0357_ ( _0731_ A1_N ) ( _0730_ Y ) + USE SIGNAL ;
- _0358_ ( _0735_ A1_N ) ( _0732_ Y ) + USE SIGNAL ;
- _0359_ ( _0789_ A ) ( _0770_ A ) ( _0758_ A ) ( _0746_ A ) ( _0734_ A ) ( _0733_ X ) + USE SIGNAL ;
- _0360_ ( _0739_ B2 ) ( _0739_ A2_N ) ( _0737_ B2 ) ( _0737_ A2_N ) ( _0735_ B2 ) ( _0734_ X ) + USE SIGNAL ;
- _0361_ ( _0737_ A1_N ) ( _0736_ Y ) + USE SIGNAL ;
- _0362_ ( _0739_ A1_N ) ( _0738_ Y ) + USE SIGNAL ;
- _0363_ ( _0742_ A1_N ) ( _0740_ Y ) + USE SIGNAL ;
- _0364_ ( _0747_ A2_N ) ( _0744_ B2 ) ( _0744_ A2_N ) ( _0742_ B2 ) ( _0742_ A2_N ) ( _0741_ X ) + USE SIGNAL ;
- _0365_ ( _0744_ A1_N ) ( _0743_ Y ) + USE SIGNAL ;
- _0366_ ( _0747_ A1_N ) ( _0745_ Y ) + USE SIGNAL ;
- _0367_ ( _0751_ B2 ) ( _0751_ A2_N ) ( _0749_ B2 ) ( _0749_ A2_N ) ( _0747_ B2 ) ( _0746_ X ) + USE SIGNAL ;
- _0368_ ( _0749_ A1_N ) ( _0748_ Y ) + USE SIGNAL ;
- _0369_ ( _0751_ A1_N ) ( _0750_ Y ) + USE SIGNAL ;
- _0370_ ( _0754_ A1_N ) ( _0752_ Y ) + USE SIGNAL ;
- _0371_ ( _0759_ A2_N ) ( _0756_ B2 ) ( _0756_ A2_N ) ( _0754_ B2 ) ( _0754_ A2_N ) ( _0753_ X ) + USE SIGNAL ;
- _0372_ ( _0756_ A1_N ) ( _0755_ Y ) + USE SIGNAL ;
- _0373_ ( _0759_ A1_N ) ( _0757_ Y ) + USE SIGNAL ;
- _0374_ ( _0763_ B2 ) ( _0763_ A2_N ) ( _0761_ B2 ) ( _0761_ A2_N ) ( _0759_ B2 ) ( _0758_ X ) + USE SIGNAL ;
- _0375_ ( _0761_ A1_N ) ( _0760_ Y ) + USE SIGNAL ;
- _0376_ ( _0763_ A1_N ) ( _0762_ Y ) + USE SIGNAL ;
- _0377_ ( _0766_ A1_N ) ( _0764_ Y ) + USE SIGNAL ;
- _0378_ ( _0771_ A2_N ) ( _0768_ B2 ) ( _0768_ A2_N ) ( _0766_ B2 ) ( _0766_ A2_N ) ( _0765_ X ) + USE SIGNAL ;
- _0379_ ( _0768_ A1_N ) ( _0767_ Y ) + USE SIGNAL ;
- _0380_ ( _0771_ A1_N ) ( _0769_ Y ) + USE SIGNAL ;
- _0381_ ( _0775_ B2 ) ( _0775_ A2_N ) ( _0773_ B2 ) ( _0773_ A2_N ) ( _0771_ B2 ) ( _0770_ X ) + USE SIGNAL ;
- _0382_ ( _0773_ A1_N ) ( _0772_ Y ) + USE SIGNAL ;
- _0383_ ( _0775_ A1_N ) ( _0774_ Y ) + USE SIGNAL ;
- _0384_ ( _0778_ A1_N ) ( _0776_ Y ) + USE SIGNAL ;
- _0385_ ( _0783_ A2_N ) ( _0780_ B2 ) ( _0780_ A2_N ) ( _0778_ B2 ) ( _0778_ A2_N ) ( _0777_ X ) + USE SIGNAL ;
- _0386_ ( _0780_ A1_N ) ( _0779_ Y ) + USE SIGNAL ;
- _0387_ ( _0783_ A1_N ) ( _0781_ Y ) + USE SIGNAL ;
- _0388_ ( _0787_ B2 ) ( _0787_ A2_N ) ( _0785_ B2 ) ( _0785_ A2_N ) ( _0783_ B2 ) ( _0782_ X ) + USE SIGNAL ;
- _0389_ ( _0785_ A1_N ) ( _0784_ Y ) + USE SIGNAL ;
- _0390_ ( _0787_ A1_N ) ( _0786_ Y ) + USE SIGNAL ;
- _0391_ ( _0790_ A1_N ) ( _0788_ Y ) + USE SIGNAL ;
- _0392_ ( _0795_ A2_N ) ( _0792_ B2 ) ( _0792_ A2_N ) ( _0790_ B2 ) ( _0790_ A2_N ) ( _0789_ X ) + USE SIGNAL ;
- _0393_ ( _0792_ A1_N ) ( _0791_ Y ) + USE SIGNAL ;
- _0394_ ( _0795_ A1_N ) ( _0793_ Y ) + USE SIGNAL ;
- _0395_ ( _0799_ B2 ) ( _0799_ A2_N ) ( _0797_ B2 ) ( _0797_ A2_N ) ( _0795_ B2 ) ( _0794_ X ) + USE SIGNAL ;
- _0396_ ( _0797_ A1_N ) ( _0796_ Y ) + USE SIGNAL ;
- _0397_ ( _0799_ A1_N ) ( _0798_ Y ) + USE SIGNAL ;
- _0398_ ( _0801_ B2 ) ( _0800_ Y ) + USE SIGNAL ;
- _0399_ ( _0805_ B ) ( _0802_ X ) + USE SIGNAL ;
- _0400_ ( _0804_ C1 ) ( _0803_ Y ) + USE SIGNAL ;
- _0401_ ( _0805_ C ) ( _0804_ X ) + USE SIGNAL ;
- counter.clk ( _1073_ CLK ) ( _1072_ CLK ) ( _1071_ CLK ) ( _1070_ CLK ) ( _1069_ CLK ) ( _1068_ CLK ) ( _1067_ CLK )
( _1066_ CLK ) ( _1065_ CLK ) ( _1064_ CLK ) ( _1063_ CLK ) ( _1062_ CLK ) ( _1061_ CLK ) ( _1060_ CLK ) ( _1059_ CLK )
( _1058_ CLK ) ( _1057_ CLK ) ( _1056_ CLK ) ( _1055_ CLK ) ( _1054_ CLK ) ( _1053_ CLK ) ( _1052_ CLK ) ( _1051_ CLK )
( _1050_ CLK ) ( _1049_ CLK ) ( _1048_ CLK ) ( _1047_ CLK ) ( _1046_ CLK ) ( _1045_ CLK ) ( _1044_ CLK ) ( _1043_ CLK )
( _1042_ CLK ) ( _1041_ CLK ) ( _1040_ CLK ) ( _1039_ CLK ) ( _1038_ CLK ) ( _1037_ CLK ) ( _1036_ CLK ) ( _1035_ CLK )
( _1034_ CLK ) ( _1033_ CLK ) ( _1032_ CLK ) ( _1031_ CLK ) ( _1030_ CLK ) ( _1029_ CLK ) ( _1028_ CLK ) ( _1027_ CLK )
( _1026_ CLK ) ( _1025_ CLK ) ( _1024_ CLK ) ( _1023_ CLK ) ( _1022_ CLK ) ( _1021_ CLK ) ( _1020_ CLK ) ( _1019_ CLK )
( _1018_ CLK ) ( _1017_ CLK ) ( _1016_ CLK ) ( _1015_ CLK ) ( _1014_ CLK ) ( _1013_ CLK ) ( _1012_ CLK ) ( _1011_ CLK )
( _1010_ CLK ) ( _1009_ CLK ) ( _1008_ CLK ) ( _1007_ CLK ) ( _1006_ CLK ) ( _1005_ CLK ) ( _1004_ CLK ) ( _1003_ CLK )
( _1002_ CLK ) ( _1001_ CLK ) ( _1000_ CLK ) ( _0999_ CLK ) ( _0998_ CLK ) ( _0997_ CLK ) ( _0996_ CLK ) ( _0995_ CLK )
( _0994_ CLK ) ( _0993_ CLK ) ( _0992_ CLK ) ( _0991_ CLK ) ( _0990_ CLK ) ( _0989_ CLK ) ( _0988_ CLK ) ( _0987_ CLK )
( _0986_ CLK ) ( _0985_ CLK ) ( _0984_ CLK ) ( _0983_ CLK ) ( _0982_ CLK ) ( _0981_ CLK ) ( _0980_ CLK ) ( _0979_ CLK )
( _0978_ CLK ) ( _0977_ CLK ) ( _0801_ X ) + USE SIGNAL ;
END NETS
END DESIGN