blob: 9344cf6d49b733de927f19ff00200860796162a2 [file] [log] [blame]
/* Generated by Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) */
module user_proj_example(vdda1, vdda2, vssa1, vssa2, vccd1, vccd2, vssd1, vssd2, wb_clk_i, wb_rst_i, wbs_stb_i, wbs_cyc_i, wbs_we_i, wbs_sel_i, wbs_dat_i, wbs_adr_i, wbs_ack_o, wbs_dat_o, la_data_in, la_data_out, la_oen, io_in, io_out, io_oeb);
wire _0000_;
wire _0001_;
wire _0002_;
wire _0003_;
wire _0004_;
wire _0005_;
wire _0006_;
wire _0007_;
wire _0008_;
wire _0009_;
wire _0010_;
wire _0011_;
wire _0012_;
wire _0013_;
wire _0014_;
wire _0015_;
wire _0016_;
wire _0017_;
wire _0018_;
wire _0019_;
wire _0020_;
wire _0021_;
wire _0022_;
wire _0023_;
wire _0024_;
wire _0025_;
wire _0026_;
wire _0027_;
wire _0028_;
wire _0029_;
wire _0030_;
wire _0031_;
wire _0032_;
wire _0033_;
wire _0034_;
wire _0035_;
wire _0036_;
wire _0037_;
wire _0038_;
wire _0039_;
wire _0040_;
wire _0041_;
wire _0042_;
wire _0043_;
wire _0044_;
wire _0045_;
wire _0046_;
wire _0047_;
wire _0048_;
wire _0049_;
wire _0050_;
wire _0051_;
wire _0052_;
wire _0053_;
wire _0054_;
wire _0055_;
wire _0056_;
wire _0057_;
wire _0058_;
wire _0059_;
wire _0060_;
wire _0061_;
wire _0062_;
wire _0063_;
wire _0064_;
wire _0065_;
wire _0066_;
wire _0067_;
wire _0068_;
wire _0069_;
wire _0070_;
wire _0071_;
wire _0072_;
wire _0073_;
wire _0074_;
wire _0075_;
wire _0076_;
wire _0077_;
wire _0078_;
wire _0079_;
wire _0080_;
wire _0081_;
wire _0082_;
wire _0083_;
wire _0084_;
wire _0085_;
wire _0086_;
wire _0087_;
wire _0088_;
wire _0089_;
wire _0090_;
wire _0091_;
wire _0092_;
wire _0093_;
wire _0094_;
wire _0095_;
wire _0096_;
wire _0097_;
wire _0098_;
wire _0099_;
wire _0100_;
wire _0101_;
wire _0102_;
wire _0103_;
wire _0104_;
wire _0105_;
wire _0106_;
wire _0107_;
wire _0108_;
wire _0109_;
wire _0110_;
wire _0111_;
wire _0112_;
wire _0113_;
wire _0114_;
wire _0115_;
wire _0116_;
wire _0117_;
wire _0118_;
wire _0119_;
wire _0120_;
wire _0121_;
wire _0122_;
wire _0123_;
wire _0124_;
wire _0125_;
wire _0126_;
wire _0127_;
wire _0128_;
wire _0129_;
wire _0130_;
wire _0131_;
wire _0132_;
wire _0133_;
wire _0134_;
wire _0135_;
wire _0136_;
wire _0137_;
wire _0138_;
wire _0139_;
wire _0140_;
wire _0141_;
wire _0142_;
wire _0143_;
wire _0144_;
wire _0145_;
wire _0146_;
wire _0147_;
wire _0148_;
wire _0149_;
wire _0150_;
wire _0151_;
wire _0152_;
wire _0153_;
wire _0154_;
wire _0155_;
wire _0156_;
wire _0157_;
wire _0158_;
wire _0159_;
wire _0160_;
wire _0161_;
wire _0162_;
wire _0163_;
wire _0164_;
wire _0165_;
wire _0166_;
wire _0167_;
wire _0168_;
wire _0169_;
wire _0170_;
wire _0171_;
wire _0172_;
wire _0173_;
wire _0174_;
wire _0175_;
wire _0176_;
wire _0177_;
wire _0178_;
wire _0179_;
wire _0180_;
wire _0181_;
wire _0182_;
wire _0183_;
wire _0184_;
wire _0185_;
wire _0186_;
wire _0187_;
wire _0188_;
wire _0189_;
wire _0190_;
wire _0191_;
wire _0192_;
wire _0193_;
wire _0194_;
wire _0195_;
wire _0196_;
wire _0197_;
wire _0198_;
wire _0199_;
wire _0200_;
wire _0201_;
wire _0202_;
wire _0203_;
wire _0204_;
wire _0205_;
wire _0206_;
wire _0207_;
wire _0208_;
wire _0209_;
wire _0210_;
wire _0211_;
wire _0212_;
wire _0213_;
wire _0214_;
wire _0215_;
wire _0216_;
wire _0217_;
wire _0218_;
wire _0219_;
wire _0220_;
wire _0221_;
wire _0222_;
wire _0223_;
wire _0224_;
wire _0225_;
wire _0226_;
wire _0227_;
wire _0228_;
wire _0229_;
wire _0230_;
wire _0231_;
wire _0232_;
wire _0233_;
wire _0234_;
wire _0235_;
wire _0236_;
wire _0237_;
wire _0238_;
wire _0239_;
wire _0240_;
wire _0241_;
wire _0242_;
wire _0243_;
wire _0244_;
wire _0245_;
wire _0246_;
wire _0247_;
wire _0248_;
wire _0249_;
wire _0250_;
wire _0251_;
wire _0252_;
wire _0253_;
wire _0254_;
wire _0255_;
wire _0256_;
wire _0257_;
wire _0258_;
wire _0259_;
wire _0260_;
wire _0261_;
wire _0262_;
wire _0263_;
wire _0264_;
wire _0265_;
wire _0266_;
wire _0267_;
wire _0268_;
wire _0269_;
wire _0270_;
wire _0271_;
wire _0272_;
wire _0273_;
wire _0274_;
wire _0275_;
wire _0276_;
wire _0277_;
wire _0278_;
wire _0279_;
wire _0280_;
wire _0281_;
wire _0282_;
wire _0283_;
wire _0284_;
wire _0285_;
wire _0286_;
wire _0287_;
wire _0288_;
wire _0289_;
wire _0290_;
wire _0291_;
wire _0292_;
wire _0293_;
wire _0294_;
wire _0295_;
wire _0296_;
wire _0297_;
wire _0298_;
wire _0299_;
wire _0300_;
wire _0301_;
wire _0302_;
wire _0303_;
wire _0304_;
wire _0305_;
wire _0306_;
wire _0307_;
wire _0308_;
wire _0309_;
wire _0310_;
wire _0311_;
wire _0312_;
wire _0313_;
wire _0314_;
wire _0315_;
wire _0316_;
wire _0317_;
wire _0318_;
wire _0319_;
wire _0320_;
wire _0321_;
wire _0322_;
wire _0323_;
wire _0324_;
wire _0325_;
wire _0326_;
wire _0327_;
wire _0328_;
wire _0329_;
wire _0330_;
wire _0331_;
wire _0332_;
wire _0333_;
wire _0334_;
wire _0335_;
wire _0336_;
wire _0337_;
wire _0338_;
wire _0339_;
wire _0340_;
wire _0341_;
wire _0342_;
wire _0343_;
wire _0344_;
wire _0345_;
wire _0346_;
wire _0347_;
wire _0348_;
wire _0349_;
wire _0350_;
wire _0351_;
wire _0352_;
wire _0353_;
wire _0354_;
wire _0355_;
wire _0356_;
wire _0357_;
wire _0358_;
wire _0359_;
wire _0360_;
wire _0361_;
wire _0362_;
wire _0363_;
wire _0364_;
wire _0365_;
wire _0366_;
wire _0367_;
wire _0368_;
wire _0369_;
wire _0370_;
wire _0371_;
wire _0372_;
wire _0373_;
wire _0374_;
wire _0375_;
wire _0376_;
wire _0377_;
wire _0378_;
wire _0379_;
wire _0380_;
wire _0381_;
wire _0382_;
wire _0383_;
wire _0384_;
wire _0385_;
wire _0386_;
wire _0387_;
wire _0388_;
wire _0389_;
wire _0390_;
wire _0391_;
wire _0392_;
wire _0393_;
wire _0394_;
wire _0395_;
wire _0396_;
wire _0397_;
wire _0398_;
wire _0399_;
wire _0400_;
wire _0401_;
wire \counter.clk ;
input [37:0] io_in;
output [37:0] io_oeb;
output [37:0] io_out;
input [127:0] la_data_in;
output [127:0] la_data_out;
input [127:0] la_oen;
inout vccd1;
inout vccd2;
inout vdda1;
inout vdda2;
inout vssa1;
inout vssa2;
inout vssd1;
inout vssd2;
input wb_clk_i;
input wb_rst_i;
output wbs_ack_o;
input [31:0] wbs_adr_i;
input wbs_cyc_i;
input [31:0] wbs_dat_i;
output [31:0] wbs_dat_o;
input [3:0] wbs_sel_i;
input wbs_stb_i;
input wbs_we_i;
sky130_fd_sc_hd__inv_2 _0402_ (
.A(la_oen[65]),
.Y(_0097_)
);
sky130_fd_sc_hd__o22a_4 _0403_ (
.A1(la_data_in[65]),
.A2(la_oen[65]),
.B1(wb_rst_i),
.B2(_0097_),
.X(_0098_)
);
sky130_fd_sc_hd__buf_2 _0404_ (
.A(_0098_),
.X(_0099_)
);
sky130_fd_sc_hd__buf_2 _0405_ (
.A(_0099_),
.X(io_oeb[36])
);
sky130_fd_sc_hd__inv_2 _0406_ (
.A(_0099_),
.Y(_0100_)
);
sky130_fd_sc_hd__buf_2 _0407_ (
.A(_0100_),
.X(_0101_)
);
sky130_fd_sc_hd__and2_4 _0408_ (
.A(wbs_stb_i),
.B(wbs_cyc_i),
.X(_0102_)
);
sky130_fd_sc_hd__buf_2 _0409_ (
.A(_0102_),
.X(_0103_)
);
sky130_fd_sc_hd__buf_2 _0410_ (
.A(_0103_),
.X(_0104_)
);
sky130_fd_sc_hd__buf_2 _0411_ (
.A(_0104_),
.X(_0105_)
);
sky130_fd_sc_hd__inv_2 _0412_ (
.A(_0105_),
.Y(_0106_)
);
sky130_fd_sc_hd__inv_2 _0413_ (
.A(wbs_we_i),
.Y(_0107_)
);
sky130_fd_sc_hd__inv_2 _0414_ (
.A(wbs_sel_i[3]),
.Y(_0108_)
);
sky130_fd_sc_hd__or4_4 _0415_ (
.A(wbs_ack_o),
.B(_0106_),
.C(_0107_),
.D(_0108_),
.X(_0109_)
);
sky130_fd_sc_hd__buf_2 _0416_ (
.A(_0109_),
.X(_0110_)
);
sky130_fd_sc_hd__or2_4 _0417_ (
.A(wbs_dat_i[30]),
.B(_0110_),
.X(_0111_)
);
sky130_fd_sc_hd__inv_2 _0418_ (
.A(io_out[30]),
.Y(_0112_)
);
sky130_fd_sc_hd__inv_2 _0419_ (
.A(io_out[29]),
.Y(_0113_)
);
sky130_fd_sc_hd__inv_2 _0420_ (
.A(io_out[28]),
.Y(_0114_)
);
sky130_fd_sc_hd__inv_2 _0421_ (
.A(io_out[25]),
.Y(_0115_)
);
sky130_fd_sc_hd__inv_2 _0422_ (
.A(io_out[24]),
.Y(_0116_)
);
sky130_fd_sc_hd__inv_2 _0423_ (
.A(io_out[27]),
.Y(_0117_)
);
sky130_fd_sc_hd__inv_2 _0424_ (
.A(io_out[26]),
.Y(_0118_)
);
sky130_fd_sc_hd__or2_4 _0425_ (
.A(_0117_),
.B(_0118_),
.X(_0119_)
);
sky130_fd_sc_hd__inv_2 _0426_ (
.A(io_out[17]),
.Y(_0120_)
);
sky130_fd_sc_hd__inv_2 _0427_ (
.A(io_out[16]),
.Y(_0121_)
);
sky130_fd_sc_hd__inv_2 _0428_ (
.A(io_out[19]),
.Y(_0122_)
);
sky130_fd_sc_hd__inv_2 _0429_ (
.A(io_out[18]),
.Y(_0123_)
);
sky130_fd_sc_hd__or4_4 _0430_ (
.A(_0120_),
.B(_0121_),
.C(_0122_),
.D(_0123_),
.X(_0124_)
);
sky130_fd_sc_hd__inv_2 _0431_ (
.A(io_out[21]),
.Y(_0125_)
);
sky130_fd_sc_hd__inv_2 _0432_ (
.A(io_out[20]),
.Y(_0126_)
);
sky130_fd_sc_hd__inv_2 _0433_ (
.A(io_out[23]),
.Y(_0127_)
);
sky130_fd_sc_hd__inv_2 _0434_ (
.A(io_out[22]),
.Y(_0128_)
);
sky130_fd_sc_hd__or4_4 _0435_ (
.A(_0125_),
.B(_0126_),
.C(_0127_),
.D(_0128_),
.X(_0129_)
);
sky130_fd_sc_hd__inv_2 _0436_ (
.A(io_out[5]),
.Y(_0130_)
);
sky130_fd_sc_hd__inv_2 _0437_ (
.A(io_out[4]),
.Y(_0131_)
);
sky130_fd_sc_hd__inv_2 _0438_ (
.A(io_out[7]),
.Y(_0132_)
);
sky130_fd_sc_hd__inv_2 _0439_ (
.A(io_out[6]),
.Y(_0133_)
);
sky130_fd_sc_hd__or2_4 _0440_ (
.A(_0132_),
.B(_0133_),
.X(_0134_)
);
sky130_fd_sc_hd__inv_2 _0441_ (
.A(io_out[3]),
.Y(_0135_)
);
sky130_fd_sc_hd__inv_2 _0442_ (
.A(io_out[2]),
.Y(_0136_)
);
sky130_fd_sc_hd__inv_2 _0443_ (
.A(io_out[1]),
.Y(_0137_)
);
sky130_fd_sc_hd__inv_2 _0444_ (
.A(io_out[0]),
.Y(_0138_)
);
sky130_fd_sc_hd__or4_4 _0445_ (
.A(_0135_),
.B(_0136_),
.C(_0137_),
.D(_0138_),
.X(_0139_)
);
sky130_fd_sc_hd__or4_4 _0446_ (
.A(_0130_),
.B(_0131_),
.C(_0134_),
.D(_0139_),
.X(_0140_)
);
sky130_fd_sc_hd__inv_2 _0447_ (
.A(io_out[12]),
.Y(_0141_)
);
sky130_fd_sc_hd__inv_2 _0448_ (
.A(io_out[14]),
.Y(_0142_)
);
sky130_fd_sc_hd__inv_2 _0449_ (
.A(io_out[13]),
.Y(_0143_)
);
sky130_fd_sc_hd__or2_4 _0450_ (
.A(_0142_),
.B(_0143_),
.X(_0144_)
);
sky130_fd_sc_hd__inv_2 _0451_ (
.A(io_out[15]),
.Y(_0145_)
);
sky130_fd_sc_hd__inv_2 _0452_ (
.A(io_out[9]),
.Y(_0146_)
);
sky130_fd_sc_hd__inv_2 _0453_ (
.A(io_out[8]),
.Y(_0147_)
);
sky130_fd_sc_hd__inv_2 _0454_ (
.A(io_out[11]),
.Y(_0148_)
);
sky130_fd_sc_hd__inv_2 _0455_ (
.A(io_out[10]),
.Y(_0149_)
);
sky130_fd_sc_hd__or4_4 _0456_ (
.A(_0146_),
.B(_0147_),
.C(_0148_),
.D(_0149_),
.X(_0150_)
);
sky130_fd_sc_hd__or4_4 _0457_ (
.A(_0141_),
.B(_0144_),
.C(_0145_),
.D(_0150_),
.X(_0151_)
);
sky130_fd_sc_hd__or2_4 _0458_ (
.A(_0140_),
.B(_0151_),
.X(_0152_)
);
sky130_fd_sc_hd__nor2_4 _0459_ (
.A(la_oen[32]),
.B(_0104_),
.Y(_0153_)
);
sky130_fd_sc_hd__nor2_4 _0460_ (
.A(la_oen[34]),
.B(_0104_),
.Y(_0154_)
);
sky130_fd_sc_hd__buf_2 _0461_ (
.A(_0103_),
.X(_0155_)
);
sky130_fd_sc_hd__nor2_4 _0462_ (
.A(la_oen[35]),
.B(_0155_),
.Y(_0156_)
);
sky130_fd_sc_hd__buf_2 _0463_ (
.A(_0103_),
.X(_0157_)
);
sky130_fd_sc_hd__nor2_4 _0464_ (
.A(la_oen[33]),
.B(_0157_),
.Y(_0158_)
);
sky130_fd_sc_hd__or4_4 _0465_ (
.A(_0153_),
.B(_0154_),
.C(_0156_),
.D(_0158_),
.X(_0159_)
);
sky130_fd_sc_hd__nor2_4 _0466_ (
.A(la_oen[36]),
.B(_0104_),
.Y(_0160_)
);
sky130_fd_sc_hd__nor2_4 _0467_ (
.A(la_oen[38]),
.B(_0155_),
.Y(_0161_)
);
sky130_fd_sc_hd__buf_2 _0468_ (
.A(_0103_),
.X(_0162_)
);
sky130_fd_sc_hd__nor2_4 _0469_ (
.A(la_oen[39]),
.B(_0162_),
.Y(_0163_)
);
sky130_fd_sc_hd__nor2_4 _0470_ (
.A(la_oen[37]),
.B(_0157_),
.Y(_0164_)
);
sky130_fd_sc_hd__or4_4 _0471_ (
.A(_0160_),
.B(_0161_),
.C(_0163_),
.D(_0164_),
.X(_0165_)
);
sky130_fd_sc_hd__nor2_4 _0472_ (
.A(la_oen[40]),
.B(_0162_),
.Y(_0166_)
);
sky130_fd_sc_hd__nor2_4 _0473_ (
.A(la_oen[42]),
.B(_0162_),
.Y(_0167_)
);
sky130_fd_sc_hd__buf_2 _0474_ (
.A(_0103_),
.X(_0168_)
);
sky130_fd_sc_hd__nor2_4 _0475_ (
.A(la_oen[43]),
.B(_0168_),
.Y(_0169_)
);
sky130_fd_sc_hd__buf_2 _0476_ (
.A(_0104_),
.X(_0170_)
);
sky130_fd_sc_hd__nor2_4 _0477_ (
.A(la_oen[41]),
.B(_0170_),
.Y(_0171_)
);
sky130_fd_sc_hd__or4_4 _0478_ (
.A(_0166_),
.B(_0167_),
.C(_0169_),
.D(_0171_),
.X(_0172_)
);
sky130_fd_sc_hd__nor2_4 _0479_ (
.A(la_oen[44]),
.B(_0157_),
.Y(_0173_)
);
sky130_fd_sc_hd__nor2_4 _0480_ (
.A(la_oen[46]),
.B(_0157_),
.Y(_0174_)
);
sky130_fd_sc_hd__nor2_4 _0481_ (
.A(la_oen[47]),
.B(_0170_),
.Y(_0175_)
);
sky130_fd_sc_hd__nor2_4 _0482_ (
.A(la_oen[45]),
.B(_0105_),
.Y(_0176_)
);
sky130_fd_sc_hd__or4_4 _0483_ (
.A(_0173_),
.B(_0174_),
.C(_0175_),
.D(_0176_),
.X(_0177_)
);
sky130_fd_sc_hd__or4_4 _0484_ (
.A(_0159_),
.B(_0165_),
.C(_0172_),
.D(_0177_),
.X(_0178_)
);
sky130_fd_sc_hd__nor2_4 _0485_ (
.A(la_oen[48]),
.B(_0155_),
.Y(_0179_)
);
sky130_fd_sc_hd__nor2_4 _0486_ (
.A(la_oen[50]),
.B(_0155_),
.Y(_0180_)
);
sky130_fd_sc_hd__nor2_4 _0487_ (
.A(la_oen[51]),
.B(_0162_),
.Y(_0181_)
);
sky130_fd_sc_hd__nor2_4 _0488_ (
.A(la_oen[49]),
.B(_0170_),
.Y(_0182_)
);
sky130_fd_sc_hd__or4_4 _0489_ (
.A(_0179_),
.B(_0180_),
.C(_0181_),
.D(_0182_),
.X(_0183_)
);
sky130_fd_sc_hd__nor2_4 _0490_ (
.A(la_oen[52]),
.B(_0155_),
.Y(_0184_)
);
sky130_fd_sc_hd__nor2_4 _0491_ (
.A(la_oen[54]),
.B(_0162_),
.Y(_0185_)
);
sky130_fd_sc_hd__nor2_4 _0492_ (
.A(la_oen[55]),
.B(_0168_),
.Y(_0186_)
);
sky130_fd_sc_hd__nor2_4 _0493_ (
.A(la_oen[53]),
.B(_0170_),
.Y(_0187_)
);
sky130_fd_sc_hd__or4_4 _0494_ (
.A(_0184_),
.B(_0185_),
.C(_0186_),
.D(_0187_),
.X(_0188_)
);
sky130_fd_sc_hd__nor2_4 _0495_ (
.A(la_oen[56]),
.B(_0168_),
.Y(_0189_)
);
sky130_fd_sc_hd__nor2_4 _0496_ (
.A(la_oen[58]),
.B(_0168_),
.Y(_0190_)
);
sky130_fd_sc_hd__nor2_4 _0497_ (
.A(la_oen[59]),
.B(_0168_),
.Y(_0191_)
);
sky130_fd_sc_hd__nor2_4 _0498_ (
.A(la_oen[57]),
.B(_0105_),
.Y(_0192_)
);
sky130_fd_sc_hd__or4_4 _0499_ (
.A(_0189_),
.B(_0190_),
.C(_0191_),
.D(_0192_),
.X(_0193_)
);
sky130_fd_sc_hd__nor2_4 _0500_ (
.A(la_oen[60]),
.B(_0157_),
.Y(_0194_)
);
sky130_fd_sc_hd__nor2_4 _0501_ (
.A(la_oen[62]),
.B(_0170_),
.Y(_0195_)
);
sky130_fd_sc_hd__nor2_4 _0502_ (
.A(la_oen[63]),
.B(_0105_),
.Y(_0196_)
);
sky130_fd_sc_hd__nor2_4 _0503_ (
.A(la_oen[61]),
.B(_0105_),
.Y(_0197_)
);
sky130_fd_sc_hd__or4_4 _0504_ (
.A(_0194_),
.B(_0195_),
.C(_0196_),
.D(_0197_),
.X(_0198_)
);
sky130_fd_sc_hd__or4_4 _0505_ (
.A(_0183_),
.B(_0188_),
.C(_0193_),
.D(_0198_),
.X(_0199_)
);
sky130_fd_sc_hd__or2_4 _0506_ (
.A(_0178_),
.B(_0199_),
.X(_0200_)
);
sky130_fd_sc_hd__or4_4 _0507_ (
.A(_0124_),
.B(_0129_),
.C(_0152_),
.D(_0200_),
.X(_0201_)
);
sky130_fd_sc_hd__or4_4 _0508_ (
.A(_0115_),
.B(_0116_),
.C(_0119_),
.D(_0201_),
.X(_0202_)
);
sky130_fd_sc_hd__or3_4 _0509_ (
.A(_0113_),
.B(_0114_),
.C(_0202_),
.X(_0203_)
);
sky130_fd_sc_hd__nand2_4 _0510_ (
.A(_0112_),
.B(_0203_),
.Y(_0204_)
);
sky130_fd_sc_hd__or2_4 _0511_ (
.A(_0112_),
.B(_0203_),
.X(_0205_)
);
sky130_fd_sc_hd__buf_2 _0512_ (
.A(_0109_),
.X(_0206_)
);
sky130_fd_sc_hd__inv_2 _0513_ (
.A(_0206_),
.Y(_0207_)
);
sky130_fd_sc_hd__a21o_4 _0514_ (
.A1(_0204_),
.A2(_0205_),
.B1(_0207_),
.X(_0208_)
);
sky130_fd_sc_hd__and3_4 _0515_ (
.A(_0101_),
.B(_0111_),
.C(_0208_),
.X(_0095_)
);
sky130_fd_sc_hd__buf_2 _0516_ (
.A(_0206_),
.X(_0209_)
);
sky130_fd_sc_hd__nor2_4 _0517_ (
.A(_0114_),
.B(_0202_),
.Y(_0210_)
);
sky130_fd_sc_hd__a2bb2o_4 _0518_ (
.A1_N(io_out[29]),
.A2_N(_0210_),
.B1(io_out[29]),
.B2(_0210_),
.X(_0211_)
);
sky130_fd_sc_hd__nor2_4 _0519_ (
.A(wbs_dat_i[29]),
.B(_0110_),
.Y(_0212_)
);
sky130_fd_sc_hd__a211o_4 _0520_ (
.A1(_0209_),
.A2(_0211_),
.B1(io_oeb[36]),
.C1(_0212_),
.X(_0213_)
);
sky130_fd_sc_hd__inv_2 _0521_ (
.A(_0213_),
.Y(_0094_)
);
sky130_fd_sc_hd__or2_4 _0522_ (
.A(wbs_dat_i[28]),
.B(_0110_),
.X(_0214_)
);
sky130_fd_sc_hd__and2_4 _0523_ (
.A(_0114_),
.B(_0202_),
.X(_0215_)
);
sky130_fd_sc_hd__o21ai_4 _0524_ (
.A1(_0210_),
.A2(_0215_),
.B1(_0209_),
.Y(_0216_)
);
sky130_fd_sc_hd__and3_4 _0525_ (
.A(_0101_),
.B(_0214_),
.C(_0216_),
.X(_0093_)
);
sky130_fd_sc_hd__or3_4 _0526_ (
.A(_0115_),
.B(_0116_),
.C(_0201_),
.X(_0217_)
);
sky130_fd_sc_hd__inv_2 _0527_ (
.A(_0217_),
.Y(_0218_)
);
sky130_fd_sc_hd__or2_4 _0528_ (
.A(_0118_),
.B(_0217_),
.X(_0219_)
);
sky130_fd_sc_hd__a32o_4 _0529_ (
.A1(io_out[26]),
.A2(_0218_),
.A3(io_out[27]),
.B1(_0117_),
.B2(_0219_),
.X(_0220_)
);
sky130_fd_sc_hd__nor2_4 _0530_ (
.A(wbs_dat_i[27]),
.B(_0110_),
.Y(_0221_)
);
sky130_fd_sc_hd__a211o_4 _0531_ (
.A1(_0209_),
.A2(_0220_),
.B1(io_oeb[36]),
.C1(_0221_),
.X(_0222_)
);
sky130_fd_sc_hd__inv_2 _0532_ (
.A(_0222_),
.Y(_0092_)
);
sky130_fd_sc_hd__buf_2 _0533_ (
.A(_0100_),
.X(_0223_)
);
sky130_fd_sc_hd__or2_4 _0534_ (
.A(io_out[26]),
.B(_0218_),
.X(_0224_)
);
sky130_fd_sc_hd__a32o_4 _0535_ (
.A1(_0206_),
.A2(_0219_),
.A3(_0224_),
.B1(wbs_dat_i[26]),
.B2(_0207_),
.X(_0225_)
);
sky130_fd_sc_hd__and2_4 _0536_ (
.A(_0223_),
.B(_0225_),
.X(_0091_)
);
sky130_fd_sc_hd__nor2_4 _0537_ (
.A(_0116_),
.B(_0201_),
.Y(_0226_)
);
sky130_fd_sc_hd__a2bb2o_4 _0538_ (
.A1_N(io_out[25]),
.A2_N(_0226_),
.B1(io_out[25]),
.B2(_0226_),
.X(_0227_)
);
sky130_fd_sc_hd__nor2_4 _0539_ (
.A(wbs_dat_i[25]),
.B(_0110_),
.Y(_0228_)
);
sky130_fd_sc_hd__a211o_4 _0540_ (
.A1(_0209_),
.A2(_0227_),
.B1(io_oeb[36]),
.C1(_0228_),
.X(_0229_)
);
sky130_fd_sc_hd__inv_2 _0541_ (
.A(_0229_),
.Y(_0090_)
);
sky130_fd_sc_hd__or2_4 _0542_ (
.A(wbs_dat_i[24]),
.B(_0206_),
.X(_0230_)
);
sky130_fd_sc_hd__and2_4 _0543_ (
.A(_0116_),
.B(_0201_),
.X(_0231_)
);
sky130_fd_sc_hd__o21ai_4 _0544_ (
.A1(_0226_),
.A2(_0231_),
.B1(_0209_),
.Y(_0232_)
);
sky130_fd_sc_hd__and3_4 _0545_ (
.A(_0101_),
.B(_0230_),
.C(_0232_),
.X(_0089_)
);
sky130_fd_sc_hd__inv_2 _0546_ (
.A(wbs_sel_i[2]),
.Y(_0233_)
);
sky130_fd_sc_hd__or4_4 _0547_ (
.A(wbs_ack_o),
.B(_0106_),
.C(_0107_),
.D(_0233_),
.X(_0234_)
);
sky130_fd_sc_hd__buf_2 _0548_ (
.A(_0234_),
.X(_0235_)
);
sky130_fd_sc_hd__or2_4 _0549_ (
.A(wbs_dat_i[23]),
.B(_0235_),
.X(_0236_)
);
sky130_fd_sc_hd__or2_4 _0550_ (
.A(_0200_),
.B(_0152_),
.X(_0237_)
);
sky130_fd_sc_hd__or2_4 _0551_ (
.A(_0124_),
.B(_0237_),
.X(_0238_)
);
sky130_fd_sc_hd__or3_4 _0552_ (
.A(_0125_),
.B(_0126_),
.C(_0238_),
.X(_0239_)
);
sky130_fd_sc_hd__or2_4 _0553_ (
.A(_0128_),
.B(_0239_),
.X(_0240_)
);
sky130_fd_sc_hd__buf_2 _0554_ (
.A(_0234_),
.X(_0241_)
);
sky130_fd_sc_hd__inv_2 _0555_ (
.A(_0241_),
.Y(_0242_)
);
sky130_fd_sc_hd__nor2_4 _0556_ (
.A(io_out[23]),
.B(_0240_),
.Y(_0243_)
);
sky130_fd_sc_hd__a211o_4 _0557_ (
.A1(io_out[23]),
.A2(_0240_),
.B1(_0242_),
.C1(_0243_),
.X(_0244_)
);
sky130_fd_sc_hd__and3_4 _0558_ (
.A(_0101_),
.B(_0236_),
.C(_0244_),
.X(_0088_)
);
sky130_fd_sc_hd__or2_4 _0559_ (
.A(wbs_dat_i[22]),
.B(_0235_),
.X(_0245_)
);
sky130_fd_sc_hd__nand2_4 _0560_ (
.A(_0128_),
.B(_0239_),
.Y(_0246_)
);
sky130_fd_sc_hd__a21o_4 _0561_ (
.A1(_0240_),
.A2(_0246_),
.B1(_0242_),
.X(_0247_)
);
sky130_fd_sc_hd__and3_4 _0562_ (
.A(_0101_),
.B(_0245_),
.C(_0247_),
.X(_0087_)
);
sky130_fd_sc_hd__buf_2 _0563_ (
.A(_0241_),
.X(_0248_)
);
sky130_fd_sc_hd__nor2_4 _0564_ (
.A(_0126_),
.B(_0238_),
.Y(_0249_)
);
sky130_fd_sc_hd__a2bb2o_4 _0565_ (
.A1_N(io_out[21]),
.A2_N(_0249_),
.B1(io_out[21]),
.B2(_0249_),
.X(_0250_)
);
sky130_fd_sc_hd__nor2_4 _0566_ (
.A(wbs_dat_i[21]),
.B(_0235_),
.Y(_0251_)
);
sky130_fd_sc_hd__a211o_4 _0567_ (
.A1(_0248_),
.A2(_0250_),
.B1(io_oeb[36]),
.C1(_0251_),
.X(_0252_)
);
sky130_fd_sc_hd__inv_2 _0568_ (
.A(_0252_),
.Y(_0086_)
);
sky130_fd_sc_hd__buf_2 _0569_ (
.A(_0100_),
.X(_0253_)
);
sky130_fd_sc_hd__or2_4 _0570_ (
.A(wbs_dat_i[20]),
.B(_0241_),
.X(_0254_)
);
sky130_fd_sc_hd__and2_4 _0571_ (
.A(_0126_),
.B(_0238_),
.X(_0255_)
);
sky130_fd_sc_hd__o21ai_4 _0572_ (
.A1(_0249_),
.A2(_0255_),
.B1(_0248_),
.Y(_0256_)
);
sky130_fd_sc_hd__and3_4 _0573_ (
.A(_0253_),
.B(_0254_),
.C(_0256_),
.X(_0085_)
);
sky130_fd_sc_hd__buf_2 _0574_ (
.A(_0200_),
.X(_0257_)
);
sky130_fd_sc_hd__or4_4 _0575_ (
.A(_0120_),
.B(_0121_),
.C(_0257_),
.D(_0152_),
.X(_0258_)
);
sky130_fd_sc_hd__inv_2 _0576_ (
.A(_0258_),
.Y(_0259_)
);
sky130_fd_sc_hd__or2_4 _0577_ (
.A(_0123_),
.B(_0258_),
.X(_0260_)
);
sky130_fd_sc_hd__a32o_4 _0578_ (
.A1(io_out[18]),
.A2(_0259_),
.A3(io_out[19]),
.B1(_0122_),
.B2(_0260_),
.X(_0261_)
);
sky130_fd_sc_hd__buf_2 _0579_ (
.A(_0099_),
.X(_0262_)
);
sky130_fd_sc_hd__nor2_4 _0580_ (
.A(wbs_dat_i[19]),
.B(_0235_),
.Y(_0263_)
);
sky130_fd_sc_hd__a211o_4 _0581_ (
.A1(_0248_),
.A2(_0261_),
.B1(_0262_),
.C1(_0263_),
.X(_0264_)
);
sky130_fd_sc_hd__inv_2 _0582_ (
.A(_0264_),
.Y(_0084_)
);
sky130_fd_sc_hd__or2_4 _0583_ (
.A(io_out[18]),
.B(_0259_),
.X(_0265_)
);
sky130_fd_sc_hd__a32o_4 _0584_ (
.A1(_0241_),
.A2(_0260_),
.A3(_0265_),
.B1(wbs_dat_i[18]),
.B2(_0242_),
.X(_0266_)
);
sky130_fd_sc_hd__and2_4 _0585_ (
.A(_0223_),
.B(_0266_),
.X(_0083_)
);
sky130_fd_sc_hd__nor2_4 _0586_ (
.A(_0121_),
.B(_0237_),
.Y(_0267_)
);
sky130_fd_sc_hd__a2bb2o_4 _0587_ (
.A1_N(io_out[17]),
.A2_N(_0267_),
.B1(io_out[17]),
.B2(_0267_),
.X(_0268_)
);
sky130_fd_sc_hd__nor2_4 _0588_ (
.A(wbs_dat_i[17]),
.B(_0235_),
.Y(_0269_)
);
sky130_fd_sc_hd__a211o_4 _0589_ (
.A1(_0248_),
.A2(_0268_),
.B1(_0262_),
.C1(_0269_),
.X(_0270_)
);
sky130_fd_sc_hd__inv_2 _0590_ (
.A(_0270_),
.Y(_0082_)
);
sky130_fd_sc_hd__or2_4 _0591_ (
.A(wbs_dat_i[16]),
.B(_0241_),
.X(_0271_)
);
sky130_fd_sc_hd__and2_4 _0592_ (
.A(_0121_),
.B(_0237_),
.X(_0272_)
);
sky130_fd_sc_hd__o21ai_4 _0593_ (
.A1(_0267_),
.A2(_0272_),
.B1(_0248_),
.Y(_0273_)
);
sky130_fd_sc_hd__and3_4 _0594_ (
.A(_0253_),
.B(_0271_),
.C(_0273_),
.X(_0081_)
);
sky130_fd_sc_hd__inv_2 _0595_ (
.A(wbs_sel_i[1]),
.Y(_0274_)
);
sky130_fd_sc_hd__or4_4 _0596_ (
.A(wbs_ack_o),
.B(_0106_),
.C(_0107_),
.D(_0274_),
.X(_0275_)
);
sky130_fd_sc_hd__buf_2 _0597_ (
.A(_0275_),
.X(_0276_)
);
sky130_fd_sc_hd__or2_4 _0598_ (
.A(wbs_dat_i[15]),
.B(_0276_),
.X(_0277_)
);
sky130_fd_sc_hd__buf_2 _0599_ (
.A(_0275_),
.X(_0278_)
);
sky130_fd_sc_hd__inv_2 _0600_ (
.A(_0278_),
.Y(_0279_)
);
sky130_fd_sc_hd__or2_4 _0601_ (
.A(_0200_),
.B(_0140_),
.X(_0280_)
);
sky130_fd_sc_hd__or2_4 _0602_ (
.A(_0150_),
.B(_0280_),
.X(_0281_)
);
sky130_fd_sc_hd__or4_4 _0603_ (
.A(_0142_),
.B(_0143_),
.C(_0141_),
.D(_0281_),
.X(_0282_)
);
sky130_fd_sc_hd__inv_2 _0604_ (
.A(_0282_),
.Y(_0283_)
);
sky130_fd_sc_hd__o22a_4 _0605_ (
.A1(io_out[15]),
.A2(_0283_),
.B1(_0151_),
.B2(_0280_),
.X(_0284_)
);
sky130_fd_sc_hd__or2_4 _0606_ (
.A(_0279_),
.B(_0284_),
.X(_0285_)
);
sky130_fd_sc_hd__and3_4 _0607_ (
.A(_0253_),
.B(_0277_),
.C(_0285_),
.X(_0080_)
);
sky130_fd_sc_hd__buf_2 _0608_ (
.A(_0278_),
.X(_0286_)
);
sky130_fd_sc_hd__or2_4 _0609_ (
.A(_0141_),
.B(_0281_),
.X(_0287_)
);
sky130_fd_sc_hd__or2_4 _0610_ (
.A(_0143_),
.B(_0287_),
.X(_0288_)
);
sky130_fd_sc_hd__a21o_4 _0611_ (
.A1(_0142_),
.A2(_0288_),
.B1(_0283_),
.X(_0289_)
);
sky130_fd_sc_hd__nor2_4 _0612_ (
.A(wbs_dat_i[14]),
.B(_0276_),
.Y(_0290_)
);
sky130_fd_sc_hd__a211o_4 _0613_ (
.A1(_0286_),
.A2(_0289_),
.B1(_0262_),
.C1(_0290_),
.X(_0291_)
);
sky130_fd_sc_hd__inv_2 _0614_ (
.A(_0291_),
.Y(_0079_)
);
sky130_fd_sc_hd__inv_2 _0615_ (
.A(_0287_),
.Y(_0292_)
);
sky130_fd_sc_hd__or2_4 _0616_ (
.A(io_out[13]),
.B(_0292_),
.X(_0293_)
);
sky130_fd_sc_hd__a32o_4 _0617_ (
.A1(_0278_),
.A2(_0288_),
.A3(_0293_),
.B1(wbs_dat_i[13]),
.B2(_0279_),
.X(_0294_)
);
sky130_fd_sc_hd__and2_4 _0618_ (
.A(_0223_),
.B(_0294_),
.X(_0078_)
);
sky130_fd_sc_hd__or2_4 _0619_ (
.A(wbs_dat_i[12]),
.B(_0276_),
.X(_0295_)
);
sky130_fd_sc_hd__and2_4 _0620_ (
.A(_0141_),
.B(_0281_),
.X(_0296_)
);
sky130_fd_sc_hd__o21ai_4 _0621_ (
.A1(_0292_),
.A2(_0296_),
.B1(_0286_),
.Y(_0297_)
);
sky130_fd_sc_hd__and3_4 _0622_ (
.A(_0253_),
.B(_0295_),
.C(_0297_),
.X(_0077_)
);
sky130_fd_sc_hd__or4_4 _0623_ (
.A(_0146_),
.B(_0147_),
.C(_0257_),
.D(_0140_),
.X(_0298_)
);
sky130_fd_sc_hd__inv_2 _0624_ (
.A(_0298_),
.Y(_0299_)
);
sky130_fd_sc_hd__or2_4 _0625_ (
.A(_0149_),
.B(_0298_),
.X(_0300_)
);
sky130_fd_sc_hd__a32o_4 _0626_ (
.A1(io_out[10]),
.A2(_0299_),
.A3(io_out[11]),
.B1(_0148_),
.B2(_0300_),
.X(_0301_)
);
sky130_fd_sc_hd__nor2_4 _0627_ (
.A(wbs_dat_i[11]),
.B(_0276_),
.Y(_0302_)
);
sky130_fd_sc_hd__a211o_4 _0628_ (
.A1(_0286_),
.A2(_0301_),
.B1(_0262_),
.C1(_0302_),
.X(_0303_)
);
sky130_fd_sc_hd__inv_2 _0629_ (
.A(_0303_),
.Y(_0076_)
);
sky130_fd_sc_hd__or2_4 _0630_ (
.A(io_out[10]),
.B(_0299_),
.X(_0304_)
);
sky130_fd_sc_hd__a32o_4 _0631_ (
.A1(_0278_),
.A2(_0300_),
.A3(_0304_),
.B1(wbs_dat_i[10]),
.B2(_0279_),
.X(_0305_)
);
sky130_fd_sc_hd__and2_4 _0632_ (
.A(_0223_),
.B(_0305_),
.X(_0075_)
);
sky130_fd_sc_hd__nor2_4 _0633_ (
.A(_0147_),
.B(_0280_),
.Y(_0306_)
);
sky130_fd_sc_hd__a2bb2o_4 _0634_ (
.A1_N(io_out[9]),
.A2_N(_0306_),
.B1(io_out[9]),
.B2(_0306_),
.X(_0307_)
);
sky130_fd_sc_hd__nor2_4 _0635_ (
.A(wbs_dat_i[9]),
.B(_0276_),
.Y(_0308_)
);
sky130_fd_sc_hd__a211o_4 _0636_ (
.A1(_0286_),
.A2(_0307_),
.B1(_0262_),
.C1(_0308_),
.X(_0309_)
);
sky130_fd_sc_hd__inv_2 _0637_ (
.A(_0309_),
.Y(_0074_)
);
sky130_fd_sc_hd__or2_4 _0638_ (
.A(wbs_dat_i[8]),
.B(_0278_),
.X(_0310_)
);
sky130_fd_sc_hd__and2_4 _0639_ (
.A(_0147_),
.B(_0280_),
.X(_0311_)
);
sky130_fd_sc_hd__o21ai_4 _0640_ (
.A1(_0306_),
.A2(_0311_),
.B1(_0286_),
.Y(_0312_)
);
sky130_fd_sc_hd__and3_4 _0641_ (
.A(_0253_),
.B(_0310_),
.C(_0312_),
.X(_0073_)
);
sky130_fd_sc_hd__buf_2 _0642_ (
.A(_0100_),
.X(_0313_)
);
sky130_fd_sc_hd__inv_2 _0643_ (
.A(wbs_sel_i[0]),
.Y(_0314_)
);
sky130_fd_sc_hd__or4_4 _0644_ (
.A(wbs_ack_o),
.B(_0106_),
.C(_0107_),
.D(_0314_),
.X(_0315_)
);
sky130_fd_sc_hd__buf_2 _0645_ (
.A(_0315_),
.X(_0316_)
);
sky130_fd_sc_hd__or2_4 _0646_ (
.A(wbs_dat_i[7]),
.B(_0316_),
.X(_0317_)
);
sky130_fd_sc_hd__or2_4 _0647_ (
.A(_0257_),
.B(_0139_),
.X(_0318_)
);
sky130_fd_sc_hd__or2_4 _0648_ (
.A(_0131_),
.B(_0318_),
.X(_0319_)
);
sky130_fd_sc_hd__or2_4 _0649_ (
.A(_0130_),
.B(_0319_),
.X(_0320_)
);
sky130_fd_sc_hd__or2_4 _0650_ (
.A(_0133_),
.B(_0320_),
.X(_0321_)
);
sky130_fd_sc_hd__inv_2 _0651_ (
.A(_0315_),
.Y(_0322_)
);
sky130_fd_sc_hd__nor2_4 _0652_ (
.A(io_out[7]),
.B(_0321_),
.Y(_0323_)
);
sky130_fd_sc_hd__a211o_4 _0653_ (
.A1(io_out[7]),
.A2(_0321_),
.B1(_0322_),
.C1(_0323_),
.X(_0324_)
);
sky130_fd_sc_hd__and3_4 _0654_ (
.A(_0313_),
.B(_0317_),
.C(_0324_),
.X(_0072_)
);
sky130_fd_sc_hd__or2_4 _0655_ (
.A(wbs_dat_i[6]),
.B(_0316_),
.X(_0325_)
);
sky130_fd_sc_hd__nand2_4 _0656_ (
.A(_0133_),
.B(_0320_),
.Y(_0326_)
);
sky130_fd_sc_hd__a21o_4 _0657_ (
.A1(_0321_),
.A2(_0326_),
.B1(_0322_),
.X(_0327_)
);
sky130_fd_sc_hd__and3_4 _0658_ (
.A(_0313_),
.B(_0325_),
.C(_0327_),
.X(_0071_)
);
sky130_fd_sc_hd__or2_4 _0659_ (
.A(wbs_dat_i[5]),
.B(_0316_),
.X(_0328_)
);
sky130_fd_sc_hd__nand2_4 _0660_ (
.A(_0130_),
.B(_0319_),
.Y(_0329_)
);
sky130_fd_sc_hd__a21o_4 _0661_ (
.A1(_0320_),
.A2(_0329_),
.B1(_0322_),
.X(_0330_)
);
sky130_fd_sc_hd__and3_4 _0662_ (
.A(_0313_),
.B(_0328_),
.C(_0330_),
.X(_0070_)
);
sky130_fd_sc_hd__or2_4 _0663_ (
.A(wbs_dat_i[4]),
.B(_0316_),
.X(_0331_)
);
sky130_fd_sc_hd__nand2_4 _0664_ (
.A(_0131_),
.B(_0318_),
.Y(_0332_)
);
sky130_fd_sc_hd__a21o_4 _0665_ (
.A1(_0319_),
.A2(_0332_),
.B1(_0322_),
.X(_0333_)
);
sky130_fd_sc_hd__and3_4 _0666_ (
.A(_0313_),
.B(_0331_),
.C(_0333_),
.X(_0069_)
);
sky130_fd_sc_hd__or2_4 _0667_ (
.A(_0138_),
.B(_0257_),
.X(_0334_)
);
sky130_fd_sc_hd__or2_4 _0668_ (
.A(_0137_),
.B(_0334_),
.X(_0335_)
);
sky130_fd_sc_hd__inv_2 _0669_ (
.A(_0335_),
.Y(_0336_)
);
sky130_fd_sc_hd__a21o_4 _0670_ (
.A1(io_out[2]),
.A2(_0336_),
.B1(io_out[3]),
.X(_0337_)
);
sky130_fd_sc_hd__a32o_4 _0671_ (
.A1(_0318_),
.A2(_0315_),
.A3(_0337_),
.B1(wbs_dat_i[3]),
.B2(_0322_),
.X(_0338_)
);
sky130_fd_sc_hd__and2_4 _0672_ (
.A(_0223_),
.B(_0338_),
.X(_0068_)
);
sky130_fd_sc_hd__buf_2 _0673_ (
.A(_0315_),
.X(_0339_)
);
sky130_fd_sc_hd__a2bb2o_4 _0674_ (
.A1_N(_0136_),
.A2_N(_0335_),
.B1(_0136_),
.B2(_0335_),
.X(_0340_)
);
sky130_fd_sc_hd__nor2_4 _0675_ (
.A(wbs_dat_i[2]),
.B(_0339_),
.Y(_0341_)
);
sky130_fd_sc_hd__a211o_4 _0676_ (
.A1(_0339_),
.A2(_0340_),
.B1(_0099_),
.C1(_0341_),
.X(_0342_)
);
sky130_fd_sc_hd__inv_2 _0677_ (
.A(_0342_),
.Y(_0067_)
);
sky130_fd_sc_hd__or2_4 _0678_ (
.A(wbs_dat_i[1]),
.B(_0316_),
.X(_0343_)
);
sky130_fd_sc_hd__and2_4 _0679_ (
.A(_0137_),
.B(_0334_),
.X(_0344_)
);
sky130_fd_sc_hd__o21ai_4 _0680_ (
.A1(_0336_),
.A2(_0344_),
.B1(_0339_),
.Y(_0345_)
);
sky130_fd_sc_hd__and3_4 _0681_ (
.A(_0313_),
.B(_0343_),
.C(_0345_),
.X(_0066_)
);
sky130_fd_sc_hd__a21bo_4 _0682_ (
.A1(_0138_),
.A2(_0257_),
.B1_N(_0334_),
.X(_0346_)
);
sky130_fd_sc_hd__nor2_4 _0683_ (
.A(wbs_dat_i[0]),
.B(_0339_),
.Y(_0347_)
);
sky130_fd_sc_hd__a211o_4 _0684_ (
.A1(_0339_),
.A2(_0346_),
.B1(_0099_),
.C1(_0347_),
.X(_0348_)
);
sky130_fd_sc_hd__inv_2 _0685_ (
.A(_0348_),
.Y(_0065_)
);
sky130_fd_sc_hd__or3_4 _0686_ (
.A(wbs_ack_o),
.B(_0106_),
.C(_0098_),
.X(_0349_)
);
sky130_fd_sc_hd__inv_2 _0687_ (
.A(_0349_),
.Y(_0350_)
);
sky130_fd_sc_hd__buf_2 _0688_ (
.A(_0350_),
.X(_0064_)
);
sky130_fd_sc_hd__inv_2 _0689_ (
.A(io_out[31]),
.Y(_0351_)
);
sky130_fd_sc_hd__a2bb2o_4 _0690_ (
.A1_N(_0351_),
.A2_N(_0196_),
.B1(la_data_in[63]),
.B2(_0196_),
.X(_0063_)
);
sky130_fd_sc_hd__a2bb2o_4 _0691_ (
.A1_N(_0112_),
.A2_N(_0195_),
.B1(la_data_in[62]),
.B2(_0195_),
.X(_0062_)
);
sky130_fd_sc_hd__a2bb2o_4 _0692_ (
.A1_N(_0113_),
.A2_N(_0197_),
.B1(la_data_in[61]),
.B2(_0197_),
.X(_0061_)
);
sky130_fd_sc_hd__a2bb2o_4 _0693_ (
.A1_N(_0114_),
.A2_N(_0194_),
.B1(la_data_in[60]),
.B2(_0194_),
.X(_0060_)
);
sky130_fd_sc_hd__a2bb2o_4 _0694_ (
.A1_N(_0117_),
.A2_N(_0191_),
.B1(la_data_in[59]),
.B2(_0191_),
.X(_0059_)
);
sky130_fd_sc_hd__a2bb2o_4 _0695_ (
.A1_N(_0118_),
.A2_N(_0190_),
.B1(la_data_in[58]),
.B2(_0190_),
.X(_0058_)
);
sky130_fd_sc_hd__a2bb2o_4 _0696_ (
.A1_N(_0115_),
.A2_N(_0192_),
.B1(la_data_in[57]),
.B2(_0192_),
.X(_0057_)
);
sky130_fd_sc_hd__a2bb2o_4 _0697_ (
.A1_N(_0116_),
.A2_N(_0189_),
.B1(la_data_in[56]),
.B2(_0189_),
.X(_0056_)
);
sky130_fd_sc_hd__a2bb2o_4 _0698_ (
.A1_N(_0127_),
.A2_N(_0186_),
.B1(la_data_in[55]),
.B2(_0186_),
.X(_0055_)
);
sky130_fd_sc_hd__a2bb2o_4 _0699_ (
.A1_N(_0128_),
.A2_N(_0185_),
.B1(la_data_in[54]),
.B2(_0185_),
.X(_0054_)
);
sky130_fd_sc_hd__a2bb2o_4 _0700_ (
.A1_N(_0125_),
.A2_N(_0187_),
.B1(la_data_in[53]),
.B2(_0187_),
.X(_0053_)
);
sky130_fd_sc_hd__a2bb2o_4 _0701_ (
.A1_N(_0126_),
.A2_N(_0184_),
.B1(la_data_in[52]),
.B2(_0184_),
.X(_0052_)
);
sky130_fd_sc_hd__a2bb2o_4 _0702_ (
.A1_N(_0122_),
.A2_N(_0181_),
.B1(la_data_in[51]),
.B2(_0181_),
.X(_0051_)
);
sky130_fd_sc_hd__a2bb2o_4 _0703_ (
.A1_N(_0123_),
.A2_N(_0180_),
.B1(la_data_in[50]),
.B2(_0180_),
.X(_0050_)
);
sky130_fd_sc_hd__a2bb2o_4 _0704_ (
.A1_N(_0120_),
.A2_N(_0182_),
.B1(la_data_in[49]),
.B2(_0182_),
.X(_0049_)
);
sky130_fd_sc_hd__a2bb2o_4 _0705_ (
.A1_N(_0121_),
.A2_N(_0179_),
.B1(la_data_in[48]),
.B2(_0179_),
.X(_0048_)
);
sky130_fd_sc_hd__a2bb2o_4 _0706_ (
.A1_N(_0145_),
.A2_N(_0175_),
.B1(la_data_in[47]),
.B2(_0175_),
.X(_0047_)
);
sky130_fd_sc_hd__a2bb2o_4 _0707_ (
.A1_N(_0142_),
.A2_N(_0174_),
.B1(la_data_in[46]),
.B2(_0174_),
.X(_0046_)
);
sky130_fd_sc_hd__a2bb2o_4 _0708_ (
.A1_N(_0143_),
.A2_N(_0176_),
.B1(la_data_in[45]),
.B2(_0176_),
.X(_0045_)
);
sky130_fd_sc_hd__a2bb2o_4 _0709_ (
.A1_N(_0141_),
.A2_N(_0173_),
.B1(la_data_in[44]),
.B2(_0173_),
.X(_0044_)
);
sky130_fd_sc_hd__a2bb2o_4 _0710_ (
.A1_N(_0148_),
.A2_N(_0169_),
.B1(la_data_in[43]),
.B2(_0169_),
.X(_0043_)
);
sky130_fd_sc_hd__a2bb2o_4 _0711_ (
.A1_N(_0149_),
.A2_N(_0167_),
.B1(la_data_in[42]),
.B2(_0167_),
.X(_0042_)
);
sky130_fd_sc_hd__a2bb2o_4 _0712_ (
.A1_N(_0146_),
.A2_N(_0171_),
.B1(la_data_in[41]),
.B2(_0171_),
.X(_0041_)
);
sky130_fd_sc_hd__a2bb2o_4 _0713_ (
.A1_N(_0147_),
.A2_N(_0166_),
.B1(la_data_in[40]),
.B2(_0166_),
.X(_0040_)
);
sky130_fd_sc_hd__a2bb2o_4 _0714_ (
.A1_N(_0132_),
.A2_N(_0163_),
.B1(la_data_in[39]),
.B2(_0163_),
.X(_0039_)
);
sky130_fd_sc_hd__a2bb2o_4 _0715_ (
.A1_N(_0133_),
.A2_N(_0161_),
.B1(la_data_in[38]),
.B2(_0161_),
.X(_0038_)
);
sky130_fd_sc_hd__a2bb2o_4 _0716_ (
.A1_N(_0130_),
.A2_N(_0164_),
.B1(la_data_in[37]),
.B2(_0164_),
.X(_0037_)
);
sky130_fd_sc_hd__a2bb2o_4 _0717_ (
.A1_N(_0131_),
.A2_N(_0160_),
.B1(la_data_in[36]),
.B2(_0160_),
.X(_0036_)
);
sky130_fd_sc_hd__a2bb2o_4 _0718_ (
.A1_N(_0135_),
.A2_N(_0156_),
.B1(la_data_in[35]),
.B2(_0156_),
.X(_0035_)
);
sky130_fd_sc_hd__a2bb2o_4 _0719_ (
.A1_N(_0136_),
.A2_N(_0154_),
.B1(la_data_in[34]),
.B2(_0154_),
.X(_0034_)
);
sky130_fd_sc_hd__a2bb2o_4 _0720_ (
.A1_N(_0137_),
.A2_N(_0158_),
.B1(la_data_in[33]),
.B2(_0158_),
.X(_0033_)
);
sky130_fd_sc_hd__a2bb2o_4 _0721_ (
.A1_N(_0138_),
.A2_N(_0153_),
.B1(la_data_in[32]),
.B2(_0153_),
.X(_0032_)
);
sky130_fd_sc_hd__inv_2 _0722_ (
.A(wbs_dat_o[31]),
.Y(_0352_)
);
sky130_fd_sc_hd__a2bb2o_4 _0723_ (
.A1_N(_0352_),
.A2_N(_0064_),
.B1(io_out[31]),
.B2(_0064_),
.X(_0031_)
);
sky130_fd_sc_hd__inv_2 _0724_ (
.A(wbs_dat_o[30]),
.Y(_0353_)
);
sky130_fd_sc_hd__a2bb2o_4 _0725_ (
.A1_N(_0353_),
.A2_N(_0064_),
.B1(io_out[30]),
.B2(_0064_),
.X(_0030_)
);
sky130_fd_sc_hd__inv_2 _0726_ (
.A(wbs_dat_o[29]),
.Y(_0354_)
);
sky130_fd_sc_hd__buf_2 _0727_ (
.A(_0350_),
.X(_0355_)
);
sky130_fd_sc_hd__buf_2 _0728_ (
.A(_0355_),
.X(_0356_)
);
sky130_fd_sc_hd__a2bb2o_4 _0729_ (
.A1_N(_0354_),
.A2_N(_0356_),
.B1(io_out[29]),
.B2(_0356_),
.X(_0029_)
);
sky130_fd_sc_hd__inv_2 _0730_ (
.A(wbs_dat_o[28]),
.Y(_0357_)
);
sky130_fd_sc_hd__a2bb2o_4 _0731_ (
.A1_N(_0357_),
.A2_N(_0356_),
.B1(io_out[28]),
.B2(_0356_),
.X(_0028_)
);
sky130_fd_sc_hd__inv_2 _0732_ (
.A(wbs_dat_o[27]),
.Y(_0358_)
);
sky130_fd_sc_hd__buf_2 _0733_ (
.A(_0350_),
.X(_0359_)
);
sky130_fd_sc_hd__buf_2 _0734_ (
.A(_0359_),
.X(_0360_)
);
sky130_fd_sc_hd__a2bb2o_4 _0735_ (
.A1_N(_0358_),
.A2_N(_0356_),
.B1(io_out[27]),
.B2(_0360_),
.X(_0027_)
);
sky130_fd_sc_hd__inv_2 _0736_ (
.A(wbs_dat_o[26]),
.Y(_0361_)
);
sky130_fd_sc_hd__a2bb2o_4 _0737_ (
.A1_N(_0361_),
.A2_N(_0360_),
.B1(io_out[26]),
.B2(_0360_),
.X(_0026_)
);
sky130_fd_sc_hd__inv_2 _0738_ (
.A(wbs_dat_o[25]),
.Y(_0362_)
);
sky130_fd_sc_hd__a2bb2o_4 _0739_ (
.A1_N(_0362_),
.A2_N(_0360_),
.B1(io_out[25]),
.B2(_0360_),
.X(_0025_)
);
sky130_fd_sc_hd__inv_2 _0740_ (
.A(wbs_dat_o[24]),
.Y(_0363_)
);
sky130_fd_sc_hd__buf_2 _0741_ (
.A(_0355_),
.X(_0364_)
);
sky130_fd_sc_hd__a2bb2o_4 _0742_ (
.A1_N(_0363_),
.A2_N(_0364_),
.B1(io_out[24]),
.B2(_0364_),
.X(_0024_)
);
sky130_fd_sc_hd__inv_2 _0743_ (
.A(wbs_dat_o[23]),
.Y(_0365_)
);
sky130_fd_sc_hd__a2bb2o_4 _0744_ (
.A1_N(_0365_),
.A2_N(_0364_),
.B1(io_out[23]),
.B2(_0364_),
.X(_0023_)
);
sky130_fd_sc_hd__inv_2 _0745_ (
.A(wbs_dat_o[22]),
.Y(_0366_)
);
sky130_fd_sc_hd__buf_2 _0746_ (
.A(_0359_),
.X(_0367_)
);
sky130_fd_sc_hd__a2bb2o_4 _0747_ (
.A1_N(_0366_),
.A2_N(_0364_),
.B1(io_out[22]),
.B2(_0367_),
.X(_0022_)
);
sky130_fd_sc_hd__inv_2 _0748_ (
.A(wbs_dat_o[21]),
.Y(_0368_)
);
sky130_fd_sc_hd__a2bb2o_4 _0749_ (
.A1_N(_0368_),
.A2_N(_0367_),
.B1(io_out[21]),
.B2(_0367_),
.X(_0021_)
);
sky130_fd_sc_hd__inv_2 _0750_ (
.A(wbs_dat_o[20]),
.Y(_0369_)
);
sky130_fd_sc_hd__a2bb2o_4 _0751_ (
.A1_N(_0369_),
.A2_N(_0367_),
.B1(io_out[20]),
.B2(_0367_),
.X(_0020_)
);
sky130_fd_sc_hd__inv_2 _0752_ (
.A(wbs_dat_o[19]),
.Y(_0370_)
);
sky130_fd_sc_hd__buf_2 _0753_ (
.A(_0355_),
.X(_0371_)
);
sky130_fd_sc_hd__a2bb2o_4 _0754_ (
.A1_N(_0370_),
.A2_N(_0371_),
.B1(io_out[19]),
.B2(_0371_),
.X(_0019_)
);
sky130_fd_sc_hd__inv_2 _0755_ (
.A(wbs_dat_o[18]),
.Y(_0372_)
);
sky130_fd_sc_hd__a2bb2o_4 _0756_ (
.A1_N(_0372_),
.A2_N(_0371_),
.B1(io_out[18]),
.B2(_0371_),
.X(_0018_)
);
sky130_fd_sc_hd__inv_2 _0757_ (
.A(wbs_dat_o[17]),
.Y(_0373_)
);
sky130_fd_sc_hd__buf_2 _0758_ (
.A(_0359_),
.X(_0374_)
);
sky130_fd_sc_hd__a2bb2o_4 _0759_ (
.A1_N(_0373_),
.A2_N(_0371_),
.B1(io_out[17]),
.B2(_0374_),
.X(_0017_)
);
sky130_fd_sc_hd__inv_2 _0760_ (
.A(wbs_dat_o[16]),
.Y(_0375_)
);
sky130_fd_sc_hd__a2bb2o_4 _0761_ (
.A1_N(_0375_),
.A2_N(_0374_),
.B1(io_out[16]),
.B2(_0374_),
.X(_0016_)
);
sky130_fd_sc_hd__inv_2 _0762_ (
.A(wbs_dat_o[15]),
.Y(_0376_)
);
sky130_fd_sc_hd__a2bb2o_4 _0763_ (
.A1_N(_0376_),
.A2_N(_0374_),
.B1(io_out[15]),
.B2(_0374_),
.X(_0015_)
);
sky130_fd_sc_hd__inv_2 _0764_ (
.A(wbs_dat_o[14]),
.Y(_0377_)
);
sky130_fd_sc_hd__buf_2 _0765_ (
.A(_0355_),
.X(_0378_)
);
sky130_fd_sc_hd__a2bb2o_4 _0766_ (
.A1_N(_0377_),
.A2_N(_0378_),
.B1(io_out[14]),
.B2(_0378_),
.X(_0014_)
);
sky130_fd_sc_hd__inv_2 _0767_ (
.A(wbs_dat_o[13]),
.Y(_0379_)
);
sky130_fd_sc_hd__a2bb2o_4 _0768_ (
.A1_N(_0379_),
.A2_N(_0378_),
.B1(io_out[13]),
.B2(_0378_),
.X(_0013_)
);
sky130_fd_sc_hd__inv_2 _0769_ (
.A(wbs_dat_o[12]),
.Y(_0380_)
);
sky130_fd_sc_hd__buf_2 _0770_ (
.A(_0359_),
.X(_0381_)
);
sky130_fd_sc_hd__a2bb2o_4 _0771_ (
.A1_N(_0380_),
.A2_N(_0378_),
.B1(io_out[12]),
.B2(_0381_),
.X(_0012_)
);
sky130_fd_sc_hd__inv_2 _0772_ (
.A(wbs_dat_o[11]),
.Y(_0382_)
);
sky130_fd_sc_hd__a2bb2o_4 _0773_ (
.A1_N(_0382_),
.A2_N(_0381_),
.B1(io_out[11]),
.B2(_0381_),
.X(_0011_)
);
sky130_fd_sc_hd__inv_2 _0774_ (
.A(wbs_dat_o[10]),
.Y(_0383_)
);
sky130_fd_sc_hd__a2bb2o_4 _0775_ (
.A1_N(_0383_),
.A2_N(_0381_),
.B1(io_out[10]),
.B2(_0381_),
.X(_0010_)
);
sky130_fd_sc_hd__inv_2 _0776_ (
.A(wbs_dat_o[9]),
.Y(_0384_)
);
sky130_fd_sc_hd__buf_2 _0777_ (
.A(_0355_),
.X(_0385_)
);
sky130_fd_sc_hd__a2bb2o_4 _0778_ (
.A1_N(_0384_),
.A2_N(_0385_),
.B1(io_out[9]),
.B2(_0385_),
.X(_0009_)
);
sky130_fd_sc_hd__inv_2 _0779_ (
.A(wbs_dat_o[8]),
.Y(_0386_)
);
sky130_fd_sc_hd__a2bb2o_4 _0780_ (
.A1_N(_0386_),
.A2_N(_0385_),
.B1(io_out[8]),
.B2(_0385_),
.X(_0008_)
);
sky130_fd_sc_hd__inv_2 _0781_ (
.A(wbs_dat_o[7]),
.Y(_0387_)
);
sky130_fd_sc_hd__buf_2 _0782_ (
.A(_0350_),
.X(_0388_)
);
sky130_fd_sc_hd__a2bb2o_4 _0783_ (
.A1_N(_0387_),
.A2_N(_0385_),
.B1(io_out[7]),
.B2(_0388_),
.X(_0007_)
);
sky130_fd_sc_hd__inv_2 _0784_ (
.A(wbs_dat_o[6]),
.Y(_0389_)
);
sky130_fd_sc_hd__a2bb2o_4 _0785_ (
.A1_N(_0389_),
.A2_N(_0388_),
.B1(io_out[6]),
.B2(_0388_),
.X(_0006_)
);
sky130_fd_sc_hd__inv_2 _0786_ (
.A(wbs_dat_o[5]),
.Y(_0390_)
);
sky130_fd_sc_hd__a2bb2o_4 _0787_ (
.A1_N(_0390_),
.A2_N(_0388_),
.B1(io_out[5]),
.B2(_0388_),
.X(_0005_)
);
sky130_fd_sc_hd__inv_2 _0788_ (
.A(wbs_dat_o[4]),
.Y(_0391_)
);
sky130_fd_sc_hd__buf_2 _0789_ (
.A(_0359_),
.X(_0392_)
);
sky130_fd_sc_hd__a2bb2o_4 _0790_ (
.A1_N(_0391_),
.A2_N(_0392_),
.B1(io_out[4]),
.B2(_0392_),
.X(_0004_)
);
sky130_fd_sc_hd__inv_2 _0791_ (
.A(wbs_dat_o[3]),
.Y(_0393_)
);
sky130_fd_sc_hd__a2bb2o_4 _0792_ (
.A1_N(_0393_),
.A2_N(_0392_),
.B1(io_out[3]),
.B2(_0392_),
.X(_0003_)
);
sky130_fd_sc_hd__inv_2 _0793_ (
.A(wbs_dat_o[2]),
.Y(_0394_)
);
sky130_fd_sc_hd__buf_2 _0794_ (
.A(_0350_),
.X(_0395_)
);
sky130_fd_sc_hd__a2bb2o_4 _0795_ (
.A1_N(_0394_),
.A2_N(_0392_),
.B1(io_out[2]),
.B2(_0395_),
.X(_0002_)
);
sky130_fd_sc_hd__inv_2 _0796_ (
.A(wbs_dat_o[1]),
.Y(_0396_)
);
sky130_fd_sc_hd__a2bb2o_4 _0797_ (
.A1_N(_0396_),
.A2_N(_0395_),
.B1(io_out[1]),
.B2(_0395_),
.X(_0001_)
);
sky130_fd_sc_hd__inv_2 _0798_ (
.A(wbs_dat_o[0]),
.Y(_0397_)
);
sky130_fd_sc_hd__a2bb2o_4 _0799_ (
.A1_N(_0397_),
.A2_N(_0395_),
.B1(io_out[0]),
.B2(_0395_),
.X(_0000_)
);
sky130_fd_sc_hd__inv_2 _0800_ (
.A(la_oen[64]),
.Y(_0398_)
);
sky130_fd_sc_hd__o22a_4 _0801_ (
.A1(la_data_in[64]),
.A2(la_oen[64]),
.B1(wb_clk_i),
.B2(_0398_),
.X(\counter.clk )
);
sky130_fd_sc_hd__or2_4 _0802_ (
.A(wbs_dat_i[31]),
.B(_0206_),
.X(_0399_)
);
sky130_fd_sc_hd__nor2_4 _0803_ (
.A(io_out[31]),
.B(_0205_),
.Y(_0400_)
);
sky130_fd_sc_hd__a211o_4 _0804_ (
.A1(io_out[31]),
.A2(_0205_),
.B1(_0207_),
.C1(_0400_),
.X(_0401_)
);
sky130_fd_sc_hd__and3_4 _0805_ (
.A(_0100_),
.B(_0399_),
.C(_0401_),
.X(_0096_)
);
sky130_fd_sc_hd__conb_1 _0806_ (
.LO(io_oeb[37])
);
sky130_fd_sc_hd__conb_1 _0807_ (
.LO(io_out[32])
);
sky130_fd_sc_hd__conb_1 _0808_ (
.LO(io_out[33])
);
sky130_fd_sc_hd__conb_1 _0809_ (
.LO(io_out[34])
);
sky130_fd_sc_hd__conb_1 _0810_ (
.LO(io_out[35])
);
sky130_fd_sc_hd__conb_1 _0811_ (
.LO(io_out[36])
);
sky130_fd_sc_hd__conb_1 _0812_ (
.LO(io_out[37])
);
sky130_fd_sc_hd__conb_1 _0813_ (
.LO(la_data_out[32])
);
sky130_fd_sc_hd__conb_1 _0814_ (
.LO(la_data_out[33])
);
sky130_fd_sc_hd__conb_1 _0815_ (
.LO(la_data_out[34])
);
sky130_fd_sc_hd__conb_1 _0816_ (
.LO(la_data_out[35])
);
sky130_fd_sc_hd__conb_1 _0817_ (
.LO(la_data_out[36])
);
sky130_fd_sc_hd__conb_1 _0818_ (
.LO(la_data_out[37])
);
sky130_fd_sc_hd__conb_1 _0819_ (
.LO(la_data_out[38])
);
sky130_fd_sc_hd__conb_1 _0820_ (
.LO(la_data_out[39])
);
sky130_fd_sc_hd__conb_1 _0821_ (
.LO(la_data_out[40])
);
sky130_fd_sc_hd__conb_1 _0822_ (
.LO(la_data_out[41])
);
sky130_fd_sc_hd__conb_1 _0823_ (
.LO(la_data_out[42])
);
sky130_fd_sc_hd__conb_1 _0824_ (
.LO(la_data_out[43])
);
sky130_fd_sc_hd__conb_1 _0825_ (
.LO(la_data_out[44])
);
sky130_fd_sc_hd__conb_1 _0826_ (
.LO(la_data_out[45])
);
sky130_fd_sc_hd__conb_1 _0827_ (
.LO(la_data_out[46])
);
sky130_fd_sc_hd__conb_1 _0828_ (
.LO(la_data_out[47])
);
sky130_fd_sc_hd__conb_1 _0829_ (
.LO(la_data_out[48])
);
sky130_fd_sc_hd__conb_1 _0830_ (
.LO(la_data_out[49])
);
sky130_fd_sc_hd__conb_1 _0831_ (
.LO(la_data_out[50])
);
sky130_fd_sc_hd__conb_1 _0832_ (
.LO(la_data_out[51])
);
sky130_fd_sc_hd__conb_1 _0833_ (
.LO(la_data_out[52])
);
sky130_fd_sc_hd__conb_1 _0834_ (
.LO(la_data_out[53])
);
sky130_fd_sc_hd__conb_1 _0835_ (
.LO(la_data_out[54])
);
sky130_fd_sc_hd__conb_1 _0836_ (
.LO(la_data_out[55])
);
sky130_fd_sc_hd__conb_1 _0837_ (
.LO(la_data_out[56])
);
sky130_fd_sc_hd__conb_1 _0838_ (
.LO(la_data_out[57])
);
sky130_fd_sc_hd__conb_1 _0839_ (
.LO(la_data_out[58])
);
sky130_fd_sc_hd__conb_1 _0840_ (
.LO(la_data_out[59])
);
sky130_fd_sc_hd__conb_1 _0841_ (
.LO(la_data_out[60])
);
sky130_fd_sc_hd__conb_1 _0842_ (
.LO(la_data_out[61])
);
sky130_fd_sc_hd__conb_1 _0843_ (
.LO(la_data_out[62])
);
sky130_fd_sc_hd__conb_1 _0844_ (
.LO(la_data_out[63])
);
sky130_fd_sc_hd__conb_1 _0845_ (
.LO(la_data_out[64])
);
sky130_fd_sc_hd__conb_1 _0846_ (
.LO(la_data_out[65])
);
sky130_fd_sc_hd__conb_1 _0847_ (
.LO(la_data_out[66])
);
sky130_fd_sc_hd__conb_1 _0848_ (
.LO(la_data_out[67])
);
sky130_fd_sc_hd__conb_1 _0849_ (
.LO(la_data_out[68])
);
sky130_fd_sc_hd__conb_1 _0850_ (
.LO(la_data_out[69])
);
sky130_fd_sc_hd__conb_1 _0851_ (
.LO(la_data_out[70])
);
sky130_fd_sc_hd__conb_1 _0852_ (
.LO(la_data_out[71])
);
sky130_fd_sc_hd__conb_1 _0853_ (
.LO(la_data_out[72])
);
sky130_fd_sc_hd__conb_1 _0854_ (
.LO(la_data_out[73])
);
sky130_fd_sc_hd__conb_1 _0855_ (
.LO(la_data_out[74])
);
sky130_fd_sc_hd__conb_1 _0856_ (
.LO(la_data_out[75])
);
sky130_fd_sc_hd__conb_1 _0857_ (
.LO(la_data_out[76])
);
sky130_fd_sc_hd__conb_1 _0858_ (
.LO(la_data_out[77])
);
sky130_fd_sc_hd__conb_1 _0859_ (
.LO(la_data_out[78])
);
sky130_fd_sc_hd__conb_1 _0860_ (
.LO(la_data_out[79])
);
sky130_fd_sc_hd__conb_1 _0861_ (
.LO(la_data_out[80])
);
sky130_fd_sc_hd__conb_1 _0862_ (
.LO(la_data_out[81])
);
sky130_fd_sc_hd__conb_1 _0863_ (
.LO(la_data_out[82])
);
sky130_fd_sc_hd__conb_1 _0864_ (
.LO(la_data_out[83])
);
sky130_fd_sc_hd__conb_1 _0865_ (
.LO(la_data_out[84])
);
sky130_fd_sc_hd__conb_1 _0866_ (
.LO(la_data_out[85])
);
sky130_fd_sc_hd__conb_1 _0867_ (
.LO(la_data_out[86])
);
sky130_fd_sc_hd__conb_1 _0868_ (
.LO(la_data_out[87])
);
sky130_fd_sc_hd__conb_1 _0869_ (
.LO(la_data_out[88])
);
sky130_fd_sc_hd__conb_1 _0870_ (
.LO(la_data_out[89])
);
sky130_fd_sc_hd__conb_1 _0871_ (
.LO(la_data_out[90])
);
sky130_fd_sc_hd__conb_1 _0872_ (
.LO(la_data_out[91])
);
sky130_fd_sc_hd__conb_1 _0873_ (
.LO(la_data_out[92])
);
sky130_fd_sc_hd__conb_1 _0874_ (
.LO(la_data_out[93])
);
sky130_fd_sc_hd__conb_1 _0875_ (
.LO(la_data_out[94])
);
sky130_fd_sc_hd__conb_1 _0876_ (
.LO(la_data_out[95])
);
sky130_fd_sc_hd__conb_1 _0877_ (
.LO(la_data_out[96])
);
sky130_fd_sc_hd__conb_1 _0878_ (
.LO(la_data_out[97])
);
sky130_fd_sc_hd__conb_1 _0879_ (
.LO(la_data_out[98])
);
sky130_fd_sc_hd__conb_1 _0880_ (
.LO(la_data_out[99])
);
sky130_fd_sc_hd__conb_1 _0881_ (
.LO(la_data_out[100])
);
sky130_fd_sc_hd__conb_1 _0882_ (
.LO(la_data_out[101])
);
sky130_fd_sc_hd__conb_1 _0883_ (
.LO(la_data_out[102])
);
sky130_fd_sc_hd__conb_1 _0884_ (
.LO(la_data_out[103])
);
sky130_fd_sc_hd__conb_1 _0885_ (
.LO(la_data_out[104])
);
sky130_fd_sc_hd__conb_1 _0886_ (
.LO(la_data_out[105])
);
sky130_fd_sc_hd__conb_1 _0887_ (
.LO(la_data_out[106])
);
sky130_fd_sc_hd__conb_1 _0888_ (
.LO(la_data_out[107])
);
sky130_fd_sc_hd__conb_1 _0889_ (
.LO(la_data_out[108])
);
sky130_fd_sc_hd__conb_1 _0890_ (
.LO(la_data_out[109])
);
sky130_fd_sc_hd__conb_1 _0891_ (
.LO(la_data_out[110])
);
sky130_fd_sc_hd__conb_1 _0892_ (
.LO(la_data_out[111])
);
sky130_fd_sc_hd__conb_1 _0893_ (
.LO(la_data_out[112])
);
sky130_fd_sc_hd__conb_1 _0894_ (
.LO(la_data_out[113])
);
sky130_fd_sc_hd__conb_1 _0895_ (
.LO(la_data_out[114])
);
sky130_fd_sc_hd__conb_1 _0896_ (
.LO(la_data_out[115])
);
sky130_fd_sc_hd__conb_1 _0897_ (
.LO(la_data_out[116])
);
sky130_fd_sc_hd__conb_1 _0898_ (
.LO(la_data_out[117])
);
sky130_fd_sc_hd__conb_1 _0899_ (
.LO(la_data_out[118])
);
sky130_fd_sc_hd__conb_1 _0900_ (
.LO(la_data_out[119])
);
sky130_fd_sc_hd__conb_1 _0901_ (
.LO(la_data_out[120])
);
sky130_fd_sc_hd__conb_1 _0902_ (
.LO(la_data_out[121])
);
sky130_fd_sc_hd__conb_1 _0903_ (
.LO(la_data_out[122])
);
sky130_fd_sc_hd__conb_1 _0904_ (
.LO(la_data_out[123])
);
sky130_fd_sc_hd__conb_1 _0905_ (
.LO(la_data_out[124])
);
sky130_fd_sc_hd__conb_1 _0906_ (
.LO(la_data_out[125])
);
sky130_fd_sc_hd__conb_1 _0907_ (
.LO(la_data_out[126])
);
sky130_fd_sc_hd__conb_1 _0908_ (
.LO(la_data_out[127])
);
sky130_fd_sc_hd__buf_2 _0909_ (
.A(io_oeb[36]),
.X(io_oeb[0])
);
sky130_fd_sc_hd__buf_2 _0910_ (
.A(io_oeb[36]),
.X(io_oeb[1])
);
sky130_fd_sc_hd__buf_2 _0911_ (
.A(io_oeb[36]),
.X(io_oeb[2])
);
sky130_fd_sc_hd__buf_2 _0912_ (
.A(io_oeb[36]),
.X(io_oeb[3])
);
sky130_fd_sc_hd__buf_2 _0913_ (
.A(io_oeb[36]),
.X(io_oeb[4])
);
sky130_fd_sc_hd__buf_2 _0914_ (
.A(io_oeb[36]),
.X(io_oeb[5])
);
sky130_fd_sc_hd__buf_2 _0915_ (
.A(io_oeb[36]),
.X(io_oeb[6])
);
sky130_fd_sc_hd__buf_2 _0916_ (
.A(io_oeb[36]),
.X(io_oeb[7])
);
sky130_fd_sc_hd__buf_2 _0917_ (
.A(io_oeb[36]),
.X(io_oeb[8])
);
sky130_fd_sc_hd__buf_2 _0918_ (
.A(io_oeb[36]),
.X(io_oeb[9])
);
sky130_fd_sc_hd__buf_2 _0919_ (
.A(io_oeb[36]),
.X(io_oeb[10])
);
sky130_fd_sc_hd__buf_2 _0920_ (
.A(io_oeb[36]),
.X(io_oeb[11])
);
sky130_fd_sc_hd__buf_2 _0921_ (
.A(io_oeb[36]),
.X(io_oeb[12])
);
sky130_fd_sc_hd__buf_2 _0922_ (
.A(io_oeb[36]),
.X(io_oeb[13])
);
sky130_fd_sc_hd__buf_2 _0923_ (
.A(io_oeb[36]),
.X(io_oeb[14])
);
sky130_fd_sc_hd__buf_2 _0924_ (
.A(io_oeb[36]),
.X(io_oeb[15])
);
sky130_fd_sc_hd__buf_2 _0925_ (
.A(io_oeb[36]),
.X(io_oeb[16])
);
sky130_fd_sc_hd__buf_2 _0926_ (
.A(io_oeb[36]),
.X(io_oeb[17])
);
sky130_fd_sc_hd__buf_2 _0927_ (
.A(io_oeb[36]),
.X(io_oeb[18])
);
sky130_fd_sc_hd__buf_2 _0928_ (
.A(io_oeb[36]),
.X(io_oeb[19])
);
sky130_fd_sc_hd__buf_2 _0929_ (
.A(io_oeb[36]),
.X(io_oeb[20])
);
sky130_fd_sc_hd__buf_2 _0930_ (
.A(io_oeb[36]),
.X(io_oeb[21])
);
sky130_fd_sc_hd__buf_2 _0931_ (
.A(io_oeb[36]),
.X(io_oeb[22])
);
sky130_fd_sc_hd__buf_2 _0932_ (
.A(io_oeb[36]),
.X(io_oeb[23])
);
sky130_fd_sc_hd__buf_2 _0933_ (
.A(io_oeb[36]),
.X(io_oeb[24])
);
sky130_fd_sc_hd__buf_2 _0934_ (
.A(io_oeb[36]),
.X(io_oeb[25])
);
sky130_fd_sc_hd__buf_2 _0935_ (
.A(io_oeb[36]),
.X(io_oeb[26])
);
sky130_fd_sc_hd__buf_2 _0936_ (
.A(io_oeb[36]),
.X(io_oeb[27])
);
sky130_fd_sc_hd__buf_2 _0937_ (
.A(io_oeb[36]),
.X(io_oeb[28])
);
sky130_fd_sc_hd__buf_2 _0938_ (
.A(io_oeb[36]),
.X(io_oeb[29])
);
sky130_fd_sc_hd__buf_2 _0939_ (
.A(io_oeb[36]),
.X(io_oeb[30])
);
sky130_fd_sc_hd__buf_2 _0940_ (
.A(io_oeb[36]),
.X(io_oeb[31])
);
sky130_fd_sc_hd__buf_2 _0941_ (
.A(io_oeb[36]),
.X(io_oeb[32])
);
sky130_fd_sc_hd__buf_2 _0942_ (
.A(io_oeb[36]),
.X(io_oeb[33])
);
sky130_fd_sc_hd__buf_2 _0943_ (
.A(io_oeb[36]),
.X(io_oeb[34])
);
sky130_fd_sc_hd__buf_2 _0944_ (
.A(io_oeb[36]),
.X(io_oeb[35])
);
sky130_fd_sc_hd__buf_2 _0945_ (
.A(io_out[0]),
.X(la_data_out[0])
);
sky130_fd_sc_hd__buf_2 _0946_ (
.A(io_out[1]),
.X(la_data_out[1])
);
sky130_fd_sc_hd__buf_2 _0947_ (
.A(io_out[2]),
.X(la_data_out[2])
);
sky130_fd_sc_hd__buf_2 _0948_ (
.A(io_out[3]),
.X(la_data_out[3])
);
sky130_fd_sc_hd__buf_2 _0949_ (
.A(io_out[4]),
.X(la_data_out[4])
);
sky130_fd_sc_hd__buf_2 _0950_ (
.A(io_out[5]),
.X(la_data_out[5])
);
sky130_fd_sc_hd__buf_2 _0951_ (
.A(io_out[6]),
.X(la_data_out[6])
);
sky130_fd_sc_hd__buf_2 _0952_ (
.A(io_out[7]),
.X(la_data_out[7])
);
sky130_fd_sc_hd__buf_2 _0953_ (
.A(io_out[8]),
.X(la_data_out[8])
);
sky130_fd_sc_hd__buf_2 _0954_ (
.A(io_out[9]),
.X(la_data_out[9])
);
sky130_fd_sc_hd__buf_2 _0955_ (
.A(io_out[10]),
.X(la_data_out[10])
);
sky130_fd_sc_hd__buf_2 _0956_ (
.A(io_out[11]),
.X(la_data_out[11])
);
sky130_fd_sc_hd__buf_2 _0957_ (
.A(io_out[12]),
.X(la_data_out[12])
);
sky130_fd_sc_hd__buf_2 _0958_ (
.A(io_out[13]),
.X(la_data_out[13])
);
sky130_fd_sc_hd__buf_2 _0959_ (
.A(io_out[14]),
.X(la_data_out[14])
);
sky130_fd_sc_hd__buf_2 _0960_ (
.A(io_out[15]),
.X(la_data_out[15])
);
sky130_fd_sc_hd__buf_2 _0961_ (
.A(io_out[16]),
.X(la_data_out[16])
);
sky130_fd_sc_hd__buf_2 _0962_ (
.A(io_out[17]),
.X(la_data_out[17])
);
sky130_fd_sc_hd__buf_2 _0963_ (
.A(io_out[18]),
.X(la_data_out[18])
);
sky130_fd_sc_hd__buf_2 _0964_ (
.A(io_out[19]),
.X(la_data_out[19])
);
sky130_fd_sc_hd__buf_2 _0965_ (
.A(io_out[20]),
.X(la_data_out[20])
);
sky130_fd_sc_hd__buf_2 _0966_ (
.A(io_out[21]),
.X(la_data_out[21])
);
sky130_fd_sc_hd__buf_2 _0967_ (
.A(io_out[22]),
.X(la_data_out[22])
);
sky130_fd_sc_hd__buf_2 _0968_ (
.A(io_out[23]),
.X(la_data_out[23])
);
sky130_fd_sc_hd__buf_2 _0969_ (
.A(io_out[24]),
.X(la_data_out[24])
);
sky130_fd_sc_hd__buf_2 _0970_ (
.A(io_out[25]),
.X(la_data_out[25])
);
sky130_fd_sc_hd__buf_2 _0971_ (
.A(io_out[26]),
.X(la_data_out[26])
);
sky130_fd_sc_hd__buf_2 _0972_ (
.A(io_out[27]),
.X(la_data_out[27])
);
sky130_fd_sc_hd__buf_2 _0973_ (
.A(io_out[28]),
.X(la_data_out[28])
);
sky130_fd_sc_hd__buf_2 _0974_ (
.A(io_out[29]),
.X(la_data_out[29])
);
sky130_fd_sc_hd__buf_2 _0975_ (
.A(io_out[30]),
.X(la_data_out[30])
);
sky130_fd_sc_hd__buf_2 _0976_ (
.A(io_out[31]),
.X(la_data_out[31])
);
sky130_fd_sc_hd__dfxtp_4 _0977_ (
.CLK(\counter.clk ),
.D(_0000_),
.Q(wbs_dat_o[0])
);
sky130_fd_sc_hd__dfxtp_4 _0978_ (
.CLK(\counter.clk ),
.D(_0001_),
.Q(wbs_dat_o[1])
);
sky130_fd_sc_hd__dfxtp_4 _0979_ (
.CLK(\counter.clk ),
.D(_0002_),
.Q(wbs_dat_o[2])
);
sky130_fd_sc_hd__dfxtp_4 _0980_ (
.CLK(\counter.clk ),
.D(_0003_),
.Q(wbs_dat_o[3])
);
sky130_fd_sc_hd__dfxtp_4 _0981_ (
.CLK(\counter.clk ),
.D(_0004_),
.Q(wbs_dat_o[4])
);
sky130_fd_sc_hd__dfxtp_4 _0982_ (
.CLK(\counter.clk ),
.D(_0005_),
.Q(wbs_dat_o[5])
);
sky130_fd_sc_hd__dfxtp_4 _0983_ (
.CLK(\counter.clk ),
.D(_0006_),
.Q(wbs_dat_o[6])
);
sky130_fd_sc_hd__dfxtp_4 _0984_ (
.CLK(\counter.clk ),
.D(_0007_),
.Q(wbs_dat_o[7])
);
sky130_fd_sc_hd__dfxtp_4 _0985_ (
.CLK(\counter.clk ),
.D(_0008_),
.Q(wbs_dat_o[8])
);
sky130_fd_sc_hd__dfxtp_4 _0986_ (
.CLK(\counter.clk ),
.D(_0009_),
.Q(wbs_dat_o[9])
);
sky130_fd_sc_hd__dfxtp_4 _0987_ (
.CLK(\counter.clk ),
.D(_0010_),
.Q(wbs_dat_o[10])
);
sky130_fd_sc_hd__dfxtp_4 _0988_ (
.CLK(\counter.clk ),
.D(_0011_),
.Q(wbs_dat_o[11])
);
sky130_fd_sc_hd__dfxtp_4 _0989_ (
.CLK(\counter.clk ),
.D(_0012_),
.Q(wbs_dat_o[12])
);
sky130_fd_sc_hd__dfxtp_4 _0990_ (
.CLK(\counter.clk ),
.D(_0013_),
.Q(wbs_dat_o[13])
);
sky130_fd_sc_hd__dfxtp_4 _0991_ (
.CLK(\counter.clk ),
.D(_0014_),
.Q(wbs_dat_o[14])
);
sky130_fd_sc_hd__dfxtp_4 _0992_ (
.CLK(\counter.clk ),
.D(_0015_),
.Q(wbs_dat_o[15])
);
sky130_fd_sc_hd__dfxtp_4 _0993_ (
.CLK(\counter.clk ),
.D(_0016_),
.Q(wbs_dat_o[16])
);
sky130_fd_sc_hd__dfxtp_4 _0994_ (
.CLK(\counter.clk ),
.D(_0017_),
.Q(wbs_dat_o[17])
);
sky130_fd_sc_hd__dfxtp_4 _0995_ (
.CLK(\counter.clk ),
.D(_0018_),
.Q(wbs_dat_o[18])
);
sky130_fd_sc_hd__dfxtp_4 _0996_ (
.CLK(\counter.clk ),
.D(_0019_),
.Q(wbs_dat_o[19])
);
sky130_fd_sc_hd__dfxtp_4 _0997_ (
.CLK(\counter.clk ),
.D(_0020_),
.Q(wbs_dat_o[20])
);
sky130_fd_sc_hd__dfxtp_4 _0998_ (
.CLK(\counter.clk ),
.D(_0021_),
.Q(wbs_dat_o[21])
);
sky130_fd_sc_hd__dfxtp_4 _0999_ (
.CLK(\counter.clk ),
.D(_0022_),
.Q(wbs_dat_o[22])
);
sky130_fd_sc_hd__dfxtp_4 _1000_ (
.CLK(\counter.clk ),
.D(_0023_),
.Q(wbs_dat_o[23])
);
sky130_fd_sc_hd__dfxtp_4 _1001_ (
.CLK(\counter.clk ),
.D(_0024_),
.Q(wbs_dat_o[24])
);
sky130_fd_sc_hd__dfxtp_4 _1002_ (
.CLK(\counter.clk ),
.D(_0025_),
.Q(wbs_dat_o[25])
);
sky130_fd_sc_hd__dfxtp_4 _1003_ (
.CLK(\counter.clk ),
.D(_0026_),
.Q(wbs_dat_o[26])
);
sky130_fd_sc_hd__dfxtp_4 _1004_ (
.CLK(\counter.clk ),
.D(_0027_),
.Q(wbs_dat_o[27])
);
sky130_fd_sc_hd__dfxtp_4 _1005_ (
.CLK(\counter.clk ),
.D(_0028_),
.Q(wbs_dat_o[28])
);
sky130_fd_sc_hd__dfxtp_4 _1006_ (
.CLK(\counter.clk ),
.D(_0029_),
.Q(wbs_dat_o[29])
);
sky130_fd_sc_hd__dfxtp_4 _1007_ (
.CLK(\counter.clk ),
.D(_0030_),
.Q(wbs_dat_o[30])
);
sky130_fd_sc_hd__dfxtp_4 _1008_ (
.CLK(\counter.clk ),
.D(_0031_),
.Q(wbs_dat_o[31])
);
sky130_fd_sc_hd__dfxtp_4 _1009_ (
.CLK(\counter.clk ),
.D(_0032_),
.Q(io_out[0])
);
sky130_fd_sc_hd__dfxtp_4 _1010_ (
.CLK(\counter.clk ),
.D(_0033_),
.Q(io_out[1])
);
sky130_fd_sc_hd__dfxtp_4 _1011_ (
.CLK(\counter.clk ),
.D(_0034_),
.Q(io_out[2])
);
sky130_fd_sc_hd__dfxtp_4 _1012_ (
.CLK(\counter.clk ),
.D(_0035_),
.Q(io_out[3])
);
sky130_fd_sc_hd__dfxtp_4 _1013_ (
.CLK(\counter.clk ),
.D(_0036_),
.Q(io_out[4])
);
sky130_fd_sc_hd__dfxtp_4 _1014_ (
.CLK(\counter.clk ),
.D(_0037_),
.Q(io_out[5])
);
sky130_fd_sc_hd__dfxtp_4 _1015_ (
.CLK(\counter.clk ),
.D(_0038_),
.Q(io_out[6])
);
sky130_fd_sc_hd__dfxtp_4 _1016_ (
.CLK(\counter.clk ),
.D(_0039_),
.Q(io_out[7])
);
sky130_fd_sc_hd__dfxtp_4 _1017_ (
.CLK(\counter.clk ),
.D(_0040_),
.Q(io_out[8])
);
sky130_fd_sc_hd__dfxtp_4 _1018_ (
.CLK(\counter.clk ),
.D(_0041_),
.Q(io_out[9])
);
sky130_fd_sc_hd__dfxtp_4 _1019_ (
.CLK(\counter.clk ),
.D(_0042_),
.Q(io_out[10])
);
sky130_fd_sc_hd__dfxtp_4 _1020_ (
.CLK(\counter.clk ),
.D(_0043_),
.Q(io_out[11])
);
sky130_fd_sc_hd__dfxtp_4 _1021_ (
.CLK(\counter.clk ),
.D(_0044_),
.Q(io_out[12])
);
sky130_fd_sc_hd__dfxtp_4 _1022_ (
.CLK(\counter.clk ),
.D(_0045_),
.Q(io_out[13])
);
sky130_fd_sc_hd__dfxtp_4 _1023_ (
.CLK(\counter.clk ),
.D(_0046_),
.Q(io_out[14])
);
sky130_fd_sc_hd__dfxtp_4 _1024_ (
.CLK(\counter.clk ),
.D(_0047_),
.Q(io_out[15])
);
sky130_fd_sc_hd__dfxtp_4 _1025_ (
.CLK(\counter.clk ),
.D(_0048_),
.Q(io_out[16])
);
sky130_fd_sc_hd__dfxtp_4 _1026_ (
.CLK(\counter.clk ),
.D(_0049_),
.Q(io_out[17])
);
sky130_fd_sc_hd__dfxtp_4 _1027_ (
.CLK(\counter.clk ),
.D(_0050_),
.Q(io_out[18])
);
sky130_fd_sc_hd__dfxtp_4 _1028_ (
.CLK(\counter.clk ),
.D(_0051_),
.Q(io_out[19])
);
sky130_fd_sc_hd__dfxtp_4 _1029_ (
.CLK(\counter.clk ),
.D(_0052_),
.Q(io_out[20])
);
sky130_fd_sc_hd__dfxtp_4 _1030_ (
.CLK(\counter.clk ),
.D(_0053_),
.Q(io_out[21])
);
sky130_fd_sc_hd__dfxtp_4 _1031_ (
.CLK(\counter.clk ),
.D(_0054_),
.Q(io_out[22])
);
sky130_fd_sc_hd__dfxtp_4 _1032_ (
.CLK(\counter.clk ),
.D(_0055_),
.Q(io_out[23])
);
sky130_fd_sc_hd__dfxtp_4 _1033_ (
.CLK(\counter.clk ),
.D(_0056_),
.Q(io_out[24])
);
sky130_fd_sc_hd__dfxtp_4 _1034_ (
.CLK(\counter.clk ),
.D(_0057_),
.Q(io_out[25])
);
sky130_fd_sc_hd__dfxtp_4 _1035_ (
.CLK(\counter.clk ),
.D(_0058_),
.Q(io_out[26])
);
sky130_fd_sc_hd__dfxtp_4 _1036_ (
.CLK(\counter.clk ),
.D(_0059_),
.Q(io_out[27])
);
sky130_fd_sc_hd__dfxtp_4 _1037_ (
.CLK(\counter.clk ),
.D(_0060_),
.Q(io_out[28])
);
sky130_fd_sc_hd__dfxtp_4 _1038_ (
.CLK(\counter.clk ),
.D(_0061_),
.Q(io_out[29])
);
sky130_fd_sc_hd__dfxtp_4 _1039_ (
.CLK(\counter.clk ),
.D(_0062_),
.Q(io_out[30])
);
sky130_fd_sc_hd__dfxtp_4 _1040_ (
.CLK(\counter.clk ),
.D(_0063_),
.Q(io_out[31])
);
sky130_fd_sc_hd__dfxtp_4 _1041_ (
.CLK(\counter.clk ),
.D(_0064_),
.Q(wbs_ack_o)
);
sky130_fd_sc_hd__dfxtp_4 _1042_ (
.CLK(\counter.clk ),
.D(_0065_),
.Q(io_out[0])
);
sky130_fd_sc_hd__dfxtp_4 _1043_ (
.CLK(\counter.clk ),
.D(_0066_),
.Q(io_out[1])
);
sky130_fd_sc_hd__dfxtp_4 _1044_ (
.CLK(\counter.clk ),
.D(_0067_),
.Q(io_out[2])
);
sky130_fd_sc_hd__dfxtp_4 _1045_ (
.CLK(\counter.clk ),
.D(_0068_),
.Q(io_out[3])
);
sky130_fd_sc_hd__dfxtp_4 _1046_ (
.CLK(\counter.clk ),
.D(_0069_),
.Q(io_out[4])
);
sky130_fd_sc_hd__dfxtp_4 _1047_ (
.CLK(\counter.clk ),
.D(_0070_),
.Q(io_out[5])
);
sky130_fd_sc_hd__dfxtp_4 _1048_ (
.CLK(\counter.clk ),
.D(_0071_),
.Q(io_out[6])
);
sky130_fd_sc_hd__dfxtp_4 _1049_ (
.CLK(\counter.clk ),
.D(_0072_),
.Q(io_out[7])
);
sky130_fd_sc_hd__dfxtp_4 _1050_ (
.CLK(\counter.clk ),
.D(_0073_),
.Q(io_out[8])
);
sky130_fd_sc_hd__dfxtp_4 _1051_ (
.CLK(\counter.clk ),
.D(_0074_),
.Q(io_out[9])
);
sky130_fd_sc_hd__dfxtp_4 _1052_ (
.CLK(\counter.clk ),
.D(_0075_),
.Q(io_out[10])
);
sky130_fd_sc_hd__dfxtp_4 _1053_ (
.CLK(\counter.clk ),
.D(_0076_),
.Q(io_out[11])
);
sky130_fd_sc_hd__dfxtp_4 _1054_ (
.CLK(\counter.clk ),
.D(_0077_),
.Q(io_out[12])
);
sky130_fd_sc_hd__dfxtp_4 _1055_ (
.CLK(\counter.clk ),
.D(_0078_),
.Q(io_out[13])
);
sky130_fd_sc_hd__dfxtp_4 _1056_ (
.CLK(\counter.clk ),
.D(_0079_),
.Q(io_out[14])
);
sky130_fd_sc_hd__dfxtp_4 _1057_ (
.CLK(\counter.clk ),
.D(_0080_),
.Q(io_out[15])
);
sky130_fd_sc_hd__dfxtp_4 _1058_ (
.CLK(\counter.clk ),
.D(_0081_),
.Q(io_out[16])
);
sky130_fd_sc_hd__dfxtp_4 _1059_ (
.CLK(\counter.clk ),
.D(_0082_),
.Q(io_out[17])
);
sky130_fd_sc_hd__dfxtp_4 _1060_ (
.CLK(\counter.clk ),
.D(_0083_),
.Q(io_out[18])
);
sky130_fd_sc_hd__dfxtp_4 _1061_ (
.CLK(\counter.clk ),
.D(_0084_),
.Q(io_out[19])
);
sky130_fd_sc_hd__dfxtp_4 _1062_ (
.CLK(\counter.clk ),
.D(_0085_),
.Q(io_out[20])
);
sky130_fd_sc_hd__dfxtp_4 _1063_ (
.CLK(\counter.clk ),
.D(_0086_),
.Q(io_out[21])
);
sky130_fd_sc_hd__dfxtp_4 _1064_ (
.CLK(\counter.clk ),
.D(_0087_),
.Q(io_out[22])
);
sky130_fd_sc_hd__dfxtp_4 _1065_ (
.CLK(\counter.clk ),
.D(_0088_),
.Q(io_out[23])
);
sky130_fd_sc_hd__dfxtp_4 _1066_ (
.CLK(\counter.clk ),
.D(_0089_),
.Q(io_out[24])
);
sky130_fd_sc_hd__dfxtp_4 _1067_ (
.CLK(\counter.clk ),
.D(_0090_),
.Q(io_out[25])
);
sky130_fd_sc_hd__dfxtp_4 _1068_ (
.CLK(\counter.clk ),
.D(_0091_),
.Q(io_out[26])
);
sky130_fd_sc_hd__dfxtp_4 _1069_ (
.CLK(\counter.clk ),
.D(_0092_),
.Q(io_out[27])
);
sky130_fd_sc_hd__dfxtp_4 _1070_ (
.CLK(\counter.clk ),
.D(_0093_),
.Q(io_out[28])
);
sky130_fd_sc_hd__dfxtp_4 _1071_ (
.CLK(\counter.clk ),
.D(_0094_),
.Q(io_out[29])
);
sky130_fd_sc_hd__dfxtp_4 _1072_ (
.CLK(\counter.clk ),
.D(_0095_),
.Q(io_out[30])
);
sky130_fd_sc_hd__dfxtp_4 _1073_ (
.CLK(\counter.clk ),
.D(_0096_),
.Q(io_out[31])
);
endmodule