blob: bd71c134ced531e41ede948310281af2a8e16b84 [file] [log] [blame]
[
{
"pins": [
[
"D",
"Q",
"CLK",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"D",
"Q",
"CLK",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A",
"Y",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A",
"Y",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"LO",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"LO",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"VGND",
"VPWR"
], [
"VGND",
"VPWR"
]
]
},
{
"pins": [
[
"A1",
"A2",
"B1",
"C1",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A1",
"A2",
"B1",
"C1",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A",
"B",
"C",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A",
"B",
"C",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A",
"B",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A",
"B",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"DIODE",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"DIODE",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A1_N",
"A2_N",
"B1",
"B2",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A1_N",
"A2_N",
"B1",
"B2",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A",
"B",
"C",
"D",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A",
"B",
"C",
"D",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A",
"B",
"Y",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A",
"B",
"Y",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A1",
"A2",
"B1_N",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A1",
"A2",
"B1_N",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A1",
"A2",
"B1",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A1",
"A2",
"B1",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A",
"B",
"Y",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A",
"B",
"Y",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A1",
"A2",
"A3",
"B1",
"B2",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A1",
"A2",
"A3",
"B1",
"B2",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A1",
"A2",
"B1",
"Y",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A1",
"A2",
"B1",
"Y",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A1",
"A2",
"B1",
"B2",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A1",
"A2",
"B1",
"B2",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A",
"B",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A",
"B",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A",
"B",
"C",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A",
"B",
"C",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"pins": [
[
"A",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
], [
"A",
"X",
"VGND",
"VNB",
"VPB",
"VPWR"
]
]
},
{
"name": [
"user_proj_example",
"user_proj_example"
],
"devices": [
[
["sky130_fd_sc_hd__dfxtp_4", 97],
["sky130_fd_sc_hd__inv_2", 95],
["sky130_fd_sc_hd__buf_2", 110],
["sky130_fd_sc_hd__conb_1", 103],
["sky130_fd_sc_hd__tapvpwrvgnd_1", 680],
["sky130_fd_sc_hd__a211o_4", 14],
["sky130_fd_sc_hd__and3_4", 16],
["sky130_fd_sc_hd__or2_4", 43],
["sky130_fd_sc_hd__diode_2", 8],
["sky130_fd_sc_hd__a2bb2o_4", 70],
["sky130_fd_sc_hd__or4_4", 25],
["sky130_fd_sc_hd__nor2_4", 51],
["sky130_fd_sc_hd__a21bo_4", 1],
["sky130_fd_sc_hd__a21o_4", 7],
["sky130_fd_sc_hd__clkbuf_1", 14],
["sky130_fd_sc_hd__nand2_4", 5],
["sky130_fd_sc_hd__a32o_4", 8],
["sky130_fd_sc_hd__o21ai_4", 7],
["sky130_fd_sc_hd__o22a_4", 3],
["sky130_fd_sc_hd__and2_4", 13],
["sky130_fd_sc_hd__or3_4", 4],
["sky130_fd_sc_hd__clkbuf_16", 1 ]
], [
["sky130_fd_sc_hd__dfxtp_4", 97 ],
["sky130_fd_sc_hd__inv_2", 95 ],
["sky130_fd_sc_hd__buf_2", 110 ],
["sky130_fd_sc_hd__conb_1", 103 ],
["sky130_fd_sc_hd__tapvpwrvgnd_1", 680 ],
["sky130_fd_sc_hd__a211o_4", 14 ],
["sky130_fd_sc_hd__and3_4", 16 ],
["sky130_fd_sc_hd__or2_4", 43 ],
["sky130_fd_sc_hd__diode_2", 8 ],
["sky130_fd_sc_hd__a2bb2o_4", 70 ],
["sky130_fd_sc_hd__or4_4", 25 ],
["sky130_fd_sc_hd__nor2_4", 51 ],
["sky130_fd_sc_hd__a21bo_4", 1 ],
["sky130_fd_sc_hd__a21o_4", 7 ],
["sky130_fd_sc_hd__clkbuf_1", 14 ],
["sky130_fd_sc_hd__nand2_4", 5 ],
["sky130_fd_sc_hd__a32o_4", 8 ],
["sky130_fd_sc_hd__o21ai_4", 7 ],
["sky130_fd_sc_hd__o22a_4", 3 ],
["sky130_fd_sc_hd__and2_4", 13 ],
["sky130_fd_sc_hd__or3_4", 4 ],
["sky130_fd_sc_hd__clkbuf_16", 1 ]
]
],
"nets": [
766,
766
],
"badnets": [
],
"badelements": [
],
"pins": [
[
"io_oeb[36]",
"io_out[10]",
"io_out[17]",
"io_out[18]",
"io_out[31]",
"io_out[30]",
"io_out[28]",
"io_out[24]",
"io_out[16]",
"io_out[20]",
"io_out[22]",
"io_out[5]",
"io_out[4]",
"io_out[0]",
"io_out[1]",
"io_out[12]",
"io_out[6]",
"io_out[14]",
"io_out[8]",
"la_data_in[65]",
"wb_rst_i",
"wbs_stb_i",
"wbs_we_i",
"la_oen[57]",
"la_oen[34]",
"la_oen[46]",
"la_oen[33]",
"la_oen[37]",
"la_oen[45]",
"la_oen[32]",
"la_oen[36]",
"la_oen[38]",
"la_oen[54]",
"la_oen[40]",
"la_oen[44]",
"la_oen[48]",
"la_oen[52]",
"la_oen[56]",
"la_oen[35]",
"la_oen[39]",
"la_oen[47]",
"la_oen[55]",
"la_oen[43]",
"la_oen[51]",
"la_oen[59]",
"la_oen[41]",
"la_oen[49]",
"la_oen[53]",
"la_oen[60]",
"la_oen[42]",
"la_oen[50]",
"la_oen[58]",
"la_oen[62]",
"la_oen[63]",
"la_oen[61]",
"wbs_dat_i[10]",
"wbs_dat_i[13]",
"wbs_dat_i[18]",
"wbs_dat_i[26]",
"wbs_sel_i[0]",
"wbs_sel_i[2]",
"wbs_sel_i[3]",
"wbs_sel_i[1]",
"wbs_dat_i[3]",
"wbs_dat_i[21]",
"wbs_dat_i[27]",
"wbs_dat_i[29]",
"wbs_dat_i[25]",
"wbs_dat_i[19]",
"wbs_dat_i[17]",
"wbs_dat_i[14]",
"wbs_dat_i[11]",
"wbs_dat_i[9]",
"wbs_dat_i[2]",
"wbs_dat_i[0]",
"la_data_in[63]",
"la_data_in[53]",
"la_data_in[51]",
"la_data_in[50]",
"la_data_in[49]",
"la_data_in[62]",
"la_data_in[59]",
"la_data_in[58]",
"la_data_in[57]",
"la_data_in[43]",
"la_data_in[42]",
"la_data_in[41]",
"la_data_in[52]",
"la_data_in[48]",
"la_data_in[44]",
"la_data_in[56]",
"la_data_in[40]",
"la_data_in[47]",
"la_data_in[61]",
"la_data_in[55]",
"la_data_in[39]",
"la_data_in[35]",
"la_data_in[33]",
"la_data_in[32]",
"la_data_in[45]",
"la_data_in[60]",
"la_data_in[54]",
"la_data_in[38]",
"la_data_in[37]",
"la_data_in[36]",
"la_data_in[34]",
"la_data_in[64]",
"wb_clk_i",
"wbs_dat_i[28]",
"wbs_dat_i[8]",
"wbs_dat_i[7]",
"wbs_dat_i[6]",
"wbs_dat_i[5]",
"wbs_dat_i[1]",
"wbs_dat_i[24]",
"wbs_dat_i[20]",
"wbs_dat_i[16]",
"wbs_dat_i[31]",
"wbs_dat_i[23]",
"wbs_dat_i[22]",
"wbs_dat_i[15]",
"wbs_dat_i[12]",
"io_oeb[37]",
"io_out[32]",
"io_out[33]",
"io_out[34]",
"io_out[35]",
"io_out[36]",
"io_out[37]",
"la_data_out[32]",
"la_data_out[33]",
"la_data_out[34]",
"la_data_out[35]",
"la_data_out[36]",
"la_data_out[37]",
"la_data_out[38]",
"la_data_out[39]",
"la_data_out[40]",
"la_data_out[41]",
"la_data_out[42]",
"la_data_out[43]",
"la_data_out[44]",
"la_data_out[45]",
"la_data_out[46]",
"la_data_out[47]",
"la_data_out[48]",
"la_data_out[49]",
"la_data_out[50]",
"la_data_out[51]",
"la_data_out[52]",
"la_data_out[53]",
"la_data_out[54]",
"la_data_out[55]",
"la_data_out[56]",
"la_data_out[57]",
"la_data_out[58]",
"la_data_out[59]",
"la_data_out[60]",
"la_data_out[61]",
"la_data_out[62]",
"la_data_out[63]",
"la_data_out[64]",
"la_data_out[65]",
"la_data_out[66]",
"la_data_out[67]",
"la_data_out[68]",
"la_data_out[69]",
"la_data_out[70]",
"la_data_out[71]",
"la_data_out[72]",
"la_data_out[73]",
"la_data_out[74]",
"la_data_out[75]",
"la_data_out[76]",
"la_data_out[77]",
"la_data_out[78]",
"la_data_out[79]",
"la_data_out[80]",
"la_data_out[81]",
"la_data_out[82]",
"la_data_out[83]",
"la_data_out[84]",
"la_data_out[85]",
"la_data_out[86]",
"la_data_out[87]",
"la_data_out[88]",
"la_data_out[89]",
"la_data_out[90]",
"la_data_out[91]",
"la_data_out[92]",
"la_data_out[93]",
"la_data_out[94]",
"la_data_out[95]",
"la_data_out[96]",
"la_data_out[97]",
"la_data_out[98]",
"la_data_out[99]",
"la_data_out[100]",
"la_data_out[101]",
"la_data_out[102]",
"la_data_out[103]",
"la_data_out[104]",
"la_data_out[105]",
"la_data_out[106]",
"la_data_out[107]",
"la_data_out[108]",
"la_data_out[109]",
"la_data_out[110]",
"la_data_out[111]",
"la_data_out[112]",
"la_data_out[113]",
"la_data_out[114]",
"la_data_out[115]",
"la_data_out[116]",
"la_data_out[117]",
"la_data_out[118]",
"la_data_out[119]",
"la_data_out[120]",
"la_data_out[121]",
"la_data_out[122]",
"la_data_out[123]",
"la_data_out[124]",
"la_data_out[125]",
"la_data_out[126]",
"la_data_out[127]",
"io_oeb[0]",
"io_oeb[1]",
"io_oeb[2]",
"io_oeb[3]",
"io_oeb[4]",
"io_oeb[5]",
"io_oeb[6]",
"io_oeb[7]",
"io_oeb[8]",
"io_oeb[9]",
"io_oeb[10]",
"io_oeb[11]",
"io_oeb[12]",
"io_oeb[13]",
"io_oeb[14]",
"io_oeb[15]",
"io_oeb[16]",
"io_oeb[17]",
"io_oeb[18]",
"io_oeb[19]",
"io_oeb[20]",
"io_oeb[21]",
"io_oeb[22]",
"io_oeb[23]",
"io_oeb[24]",
"io_oeb[25]",
"io_oeb[26]",
"io_oeb[27]",
"io_oeb[28]",
"io_oeb[29]",
"io_oeb[30]",
"io_oeb[31]",
"io_oeb[32]",
"io_oeb[33]",
"io_oeb[34]",
"io_oeb[35]",
"la_data_out[27]",
"la_data_out[11]",
"la_data_out[13]",
"la_data_out[15]",
"la_data_out[2]",
"la_data_out[19]",
"la_data_out[3]",
"la_data_out[7]",
"la_data_out[25]",
"la_data_out[9]",
"la_data_out[26]",
"la_data_out[29]",
"la_data_out[21]",
"la_data_out[23]",
"la_data_out[24]",
"la_data_out[5]",
"la_data_out[8]",
"la_data_out[28]",
"la_data_out[12]",
"la_data_out[30]",
"la_data_out[6]",
"la_data_out[14]",
"la_data_out[0]",
"la_data_out[1]",
"la_data_out[16]",
"la_data_out[20]",
"la_data_out[4]",
"la_data_out[22]",
"la_data_out[10]",
"la_data_out[31]",
"la_data_out[17]",
"la_data_out[18]",
"wbs_ack_o",
"io_out[27]",
"io_out[19]",
"io_out[3]",
"io_out[2]",
"io_out[13]",
"io_out[15]",
"io_out[11]",
"io_out[29]",
"io_out[25]",
"io_out[26]",
"io_out[21]",
"io_out[23]",
"io_out[7]",
"io_out[9]",
"la_data_in[46]",
"wbs_cyc_i",
"wbs_dat_i[4]",
"wbs_dat_i[30]",
"la_oen[65]",
"wbs_dat_o[28]",
"wbs_dat_o[10]",
"wbs_dat_o[31]",
"wbs_dat_o[15]",
"wbs_dat_o[22]",
"wbs_dat_o[23]",
"wbs_dat_o[24]",
"wbs_dat_o[17]",
"wbs_dat_o[14]",
"wbs_dat_o[5]",
"wbs_dat_o[4]",
"wbs_dat_o[18]",
"wbs_dat_o[29]",
"wbs_dat_o[25]",
"wbs_dat_o[9]",
"wbs_dat_o[6]",
"wbs_dat_o[27]",
"wbs_dat_o[19]",
"wbs_dat_o[13]",
"wbs_dat_o[3]",
"wbs_dat_o[11]",
"wbs_dat_o[2]",
"wbs_dat_o[30]",
"wbs_dat_o[12]",
"wbs_dat_o[0]",
"wbs_dat_o[26]",
"wbs_dat_o[21]",
"wbs_dat_o[7]",
"wbs_dat_o[20]",
"wbs_dat_o[16]",
"wbs_dat_o[8]",
"wbs_dat_o[1]",
"la_oen[64]",
"VGND",
"VPWR"
], [
"io_oeb[36]",
"io_out[10]",
"io_out[17]",
"io_out[18]",
"io_out[31]",
"io_out[30]",
"io_out[28]",
"io_out[24]",
"io_out[16]",
"io_out[20]",
"io_out[22]",
"io_out[5]",
"io_out[4]",
"io_out[0]",
"io_out[1]",
"io_out[12]",
"io_out[6]",
"io_out[14]",
"io_out[8]",
"la_data_in[65]",
"wb_rst_i",
"wbs_stb_i",
"wbs_we_i",
"la_oen[57]",
"la_oen[34]",
"la_oen[46]",
"la_oen[33]",
"la_oen[37]",
"la_oen[45]",
"la_oen[32]",
"la_oen[36]",
"la_oen[38]",
"la_oen[54]",
"la_oen[40]",
"la_oen[44]",
"la_oen[48]",
"la_oen[52]",
"la_oen[56]",
"la_oen[35]",
"la_oen[39]",
"la_oen[47]",
"la_oen[55]",
"la_oen[43]",
"la_oen[51]",
"la_oen[59]",
"la_oen[41]",
"la_oen[49]",
"la_oen[53]",
"la_oen[60]",
"la_oen[42]",
"la_oen[50]",
"la_oen[58]",
"la_oen[62]",
"la_oen[63]",
"la_oen[61]",
"wbs_dat_i[10]",
"wbs_dat_i[13]",
"wbs_dat_i[18]",
"wbs_dat_i[26]",
"wbs_sel_i[0]",
"wbs_sel_i[2]",
"wbs_sel_i[3]",
"wbs_sel_i[1]",
"wbs_dat_i[3]",
"wbs_dat_i[21]",
"wbs_dat_i[27]",
"wbs_dat_i[29]",
"wbs_dat_i[25]",
"wbs_dat_i[19]",
"wbs_dat_i[17]",
"wbs_dat_i[14]",
"wbs_dat_i[11]",
"wbs_dat_i[9]",
"wbs_dat_i[2]",
"wbs_dat_i[0]",
"la_data_in[63]",
"la_data_in[53]",
"la_data_in[51]",
"la_data_in[50]",
"la_data_in[49]",
"la_data_in[62]",
"la_data_in[59]",
"la_data_in[58]",
"la_data_in[57]",
"la_data_in[43]",
"la_data_in[42]",
"la_data_in[41]",
"la_data_in[52]",
"la_data_in[48]",
"la_data_in[44]",
"la_data_in[56]",
"la_data_in[40]",
"la_data_in[47]",
"la_data_in[61]",
"la_data_in[55]",
"la_data_in[39]",
"la_data_in[35]",
"la_data_in[33]",
"la_data_in[32]",
"la_data_in[45]",
"la_data_in[60]",
"la_data_in[54]",
"la_data_in[38]",
"la_data_in[37]",
"la_data_in[36]",
"la_data_in[34]",
"la_data_in[64]",
"wb_clk_i",
"wbs_dat_i[28]",
"wbs_dat_i[8]",
"wbs_dat_i[7]",
"wbs_dat_i[6]",
"wbs_dat_i[5]",
"wbs_dat_i[1]",
"wbs_dat_i[24]",
"wbs_dat_i[20]",
"wbs_dat_i[16]",
"wbs_dat_i[31]",
"wbs_dat_i[23]",
"wbs_dat_i[22]",
"wbs_dat_i[15]",
"wbs_dat_i[12]",
"io_oeb[37]",
"io_out[32]",
"io_out[33]",
"io_out[34]",
"io_out[35]",
"io_out[36]",
"io_out[37]",
"la_data_out[32]",
"la_data_out[33]",
"la_data_out[34]",
"la_data_out[35]",
"la_data_out[36]",
"la_data_out[37]",
"la_data_out[38]",
"la_data_out[39]",
"la_data_out[40]",
"la_data_out[41]",
"la_data_out[42]",
"la_data_out[43]",
"la_data_out[44]",
"la_data_out[45]",
"la_data_out[46]",
"la_data_out[47]",
"la_data_out[48]",
"la_data_out[49]",
"la_data_out[50]",
"la_data_out[51]",
"la_data_out[52]",
"la_data_out[53]",
"la_data_out[54]",
"la_data_out[55]",
"la_data_out[56]",
"la_data_out[57]",
"la_data_out[58]",
"la_data_out[59]",
"la_data_out[60]",
"la_data_out[61]",
"la_data_out[62]",
"la_data_out[63]",
"la_data_out[64]",
"la_data_out[65]",
"la_data_out[66]",
"la_data_out[67]",
"la_data_out[68]",
"la_data_out[69]",
"la_data_out[70]",
"la_data_out[71]",
"la_data_out[72]",
"la_data_out[73]",
"la_data_out[74]",
"la_data_out[75]",
"la_data_out[76]",
"la_data_out[77]",
"la_data_out[78]",
"la_data_out[79]",
"la_data_out[80]",
"la_data_out[81]",
"la_data_out[82]",
"la_data_out[83]",
"la_data_out[84]",
"la_data_out[85]",
"la_data_out[86]",
"la_data_out[87]",
"la_data_out[88]",
"la_data_out[89]",
"la_data_out[90]",
"la_data_out[91]",
"la_data_out[92]",
"la_data_out[93]",
"la_data_out[94]",
"la_data_out[95]",
"la_data_out[96]",
"la_data_out[97]",
"la_data_out[98]",
"la_data_out[99]",
"la_data_out[100]",
"la_data_out[101]",
"la_data_out[102]",
"la_data_out[103]",
"la_data_out[104]",
"la_data_out[105]",
"la_data_out[106]",
"la_data_out[107]",
"la_data_out[108]",
"la_data_out[109]",
"la_data_out[110]",
"la_data_out[111]",
"la_data_out[112]",
"la_data_out[113]",
"la_data_out[114]",
"la_data_out[115]",
"la_data_out[116]",
"la_data_out[117]",
"la_data_out[118]",
"la_data_out[119]",
"la_data_out[120]",
"la_data_out[121]",
"la_data_out[122]",
"la_data_out[123]",
"la_data_out[124]",
"la_data_out[125]",
"la_data_out[126]",
"la_data_out[127]",
"io_oeb[0]",
"io_oeb[1]",
"io_oeb[2]",
"io_oeb[3]",
"io_oeb[4]",
"io_oeb[5]",
"io_oeb[6]",
"io_oeb[7]",
"io_oeb[8]",
"io_oeb[9]",
"io_oeb[10]",
"io_oeb[11]",
"io_oeb[12]",
"io_oeb[13]",
"io_oeb[14]",
"io_oeb[15]",
"io_oeb[16]",
"io_oeb[17]",
"io_oeb[18]",
"io_oeb[19]",
"io_oeb[20]",
"io_oeb[21]",
"io_oeb[22]",
"io_oeb[23]",
"io_oeb[24]",
"io_oeb[25]",
"io_oeb[26]",
"io_oeb[27]",
"io_oeb[28]",
"io_oeb[29]",
"io_oeb[30]",
"io_oeb[31]",
"io_oeb[32]",
"io_oeb[33]",
"io_oeb[34]",
"io_oeb[35]",
"la_data_out[27]",
"la_data_out[11]",
"la_data_out[13]",
"la_data_out[15]",
"la_data_out[2]",
"la_data_out[19]",
"la_data_out[3]",
"la_data_out[7]",
"la_data_out[25]",
"la_data_out[9]",
"la_data_out[26]",
"la_data_out[29]",
"la_data_out[21]",
"la_data_out[23]",
"la_data_out[24]",
"la_data_out[5]",
"la_data_out[8]",
"la_data_out[28]",
"la_data_out[12]",
"la_data_out[30]",
"la_data_out[6]",
"la_data_out[14]",
"la_data_out[0]",
"la_data_out[1]",
"la_data_out[16]",
"la_data_out[20]",
"la_data_out[4]",
"la_data_out[22]",
"la_data_out[10]",
"la_data_out[31]",
"la_data_out[17]",
"la_data_out[18]",
"wbs_ack_o",
"io_out[27]",
"io_out[19]",
"io_out[3]",
"io_out[2]",
"io_out[13]",
"io_out[15]",
"io_out[11]",
"io_out[29]",
"io_out[25]",
"io_out[26]",
"io_out[21]",
"io_out[23]",
"io_out[7]",
"io_out[9]",
"la_data_in[46]",
"wbs_cyc_i",
"wbs_dat_i[4]",
"wbs_dat_i[30]",
"la_oen[65]",
"wbs_dat_o[28]",
"wbs_dat_o[10]",
"wbs_dat_o[31]",
"wbs_dat_o[15]",
"wbs_dat_o[22]",
"wbs_dat_o[23]",
"wbs_dat_o[24]",
"wbs_dat_o[17]",
"wbs_dat_o[14]",
"wbs_dat_o[5]",
"wbs_dat_o[4]",
"wbs_dat_o[18]",
"wbs_dat_o[29]",
"wbs_dat_o[25]",
"wbs_dat_o[9]",
"wbs_dat_o[6]",
"wbs_dat_o[27]",
"wbs_dat_o[19]",
"wbs_dat_o[13]",
"wbs_dat_o[3]",
"wbs_dat_o[11]",
"wbs_dat_o[2]",
"wbs_dat_o[30]",
"wbs_dat_o[12]",
"wbs_dat_o[0]",
"wbs_dat_o[26]",
"wbs_dat_o[21]",
"wbs_dat_o[7]",
"wbs_dat_o[20]",
"wbs_dat_o[16]",
"wbs_dat_o[8]",
"wbs_dat_o[1]",
"la_oen[64]",
"VGND",
"VPWR"
]
]
}
]