blob: 216cd228076270257da957622028470098fa6701 [file] [log] [blame]
19. Printing statistics.
=== user_proj_example ===
Number of wires: 427
Number of wire bits: 1015
Number of public wires: 25
Number of public wire bits: 613
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 672
sky130_fd_sc_hd__a211o_4 14
sky130_fd_sc_hd__a21bo_4 1
sky130_fd_sc_hd__a21o_4 7
sky130_fd_sc_hd__a2bb2o_4 70
sky130_fd_sc_hd__a32o_4 8
sky130_fd_sc_hd__and2_4 13
sky130_fd_sc_hd__and3_4 16
sky130_fd_sc_hd__buf_2 110
sky130_fd_sc_hd__conb_1 103
sky130_fd_sc_hd__dfxtp_4 97
sky130_fd_sc_hd__inv_2 95
sky130_fd_sc_hd__nand2_4 5
sky130_fd_sc_hd__nor2_4 51
sky130_fd_sc_hd__o21ai_4 7
sky130_fd_sc_hd__o22a_4 3
sky130_fd_sc_hd__or2_4 43
sky130_fd_sc_hd__or3_4 4
sky130_fd_sc_hd__or4_4 25
Chip area for module '\user_proj_example': 7332.032000