blob: 2930ca9b61a320a686d2adf7e55988a3c94162d8 [file] [log] [blame]
/----------------------------------------------------------------------------\
| |
| yosys -- Yosys Open SYnthesis Suite |
| |
| Copyright (C) 2012 - 2020 Claire Wolf <claire@symbioticeda.com> |
| |
| Permission to use, copy, modify, and/or distribute this software for any |
| purpose with or without fee is hereby granted, provided that the above |
| copyright notice and this permission notice appear in all copies. |
| |
| THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES |
| WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF |
| MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR |
| ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES |
| WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN |
| ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF |
| OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. |
| |
\----------------------------------------------------------------------------/
Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
[TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `exec' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `trace' -> skip.
1. Executing Verilog-2005 frontend: /project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v
Parsing Verilog input from `/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v' to AST representation.
Generating RTLIL representation for module `\user_proj_example'.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:55: Warning: Identifier `\_0097_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:64: Warning: Identifier `\_0098_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:70: Warning: Identifier `\_0099_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:82: Warning: Identifier `\_0100_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:88: Warning: Identifier `\_0101_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:95: Warning: Identifier `\_0102_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:101: Warning: Identifier `\_0103_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:107: Warning: Identifier `\_0104_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:113: Warning: Identifier `\_0105_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:119: Warning: Identifier `\_0106_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:125: Warning: Identifier `\_0107_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:131: Warning: Identifier `\_0108_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:140: Warning: Identifier `\_0109_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:146: Warning: Identifier `\_0110_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:153: Warning: Identifier `\_0111_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:159: Warning: Identifier `\_0112_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:165: Warning: Identifier `\_0113_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:171: Warning: Identifier `\_0114_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:177: Warning: Identifier `\_0115_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:183: Warning: Identifier `\_0116_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:189: Warning: Identifier `\_0117_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:195: Warning: Identifier `\_0118_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:202: Warning: Identifier `\_0119_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:208: Warning: Identifier `\_0120_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:214: Warning: Identifier `\_0121_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:220: Warning: Identifier `\_0122_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:226: Warning: Identifier `\_0123_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:235: Warning: Identifier `\_0124_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:241: Warning: Identifier `\_0125_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:247: Warning: Identifier `\_0126_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:253: Warning: Identifier `\_0127_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:259: Warning: Identifier `\_0128_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:268: Warning: Identifier `\_0129_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:274: Warning: Identifier `\_0130_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:280: Warning: Identifier `\_0131_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:286: Warning: Identifier `\_0132_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:292: Warning: Identifier `\_0133_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:299: Warning: Identifier `\_0134_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:305: Warning: Identifier `\_0135_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:311: Warning: Identifier `\_0136_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:317: Warning: Identifier `\_0137_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:323: Warning: Identifier `\_0138_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:332: Warning: Identifier `\_0139_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:341: Warning: Identifier `\_0140_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:347: Warning: Identifier `\_0141_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:353: Warning: Identifier `\_0142_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:359: Warning: Identifier `\_0143_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:366: Warning: Identifier `\_0144_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:372: Warning: Identifier `\_0145_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:378: Warning: Identifier `\_0146_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:384: Warning: Identifier `\_0147_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:390: Warning: Identifier `\_0148_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:396: Warning: Identifier `\_0149_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:405: Warning: Identifier `\_0150_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:414: Warning: Identifier `\_0151_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:421: Warning: Identifier `\_0152_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:428: Warning: Identifier `\_0153_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:435: Warning: Identifier `\_0154_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:441: Warning: Identifier `\_0155_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:448: Warning: Identifier `\_0156_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:454: Warning: Identifier `\_0157_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:461: Warning: Identifier `\_0158_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:470: Warning: Identifier `\_0159_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:477: Warning: Identifier `\_0160_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:484: Warning: Identifier `\_0161_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:490: Warning: Identifier `\_0162_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:497: Warning: Identifier `\_0163_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:504: Warning: Identifier `\_0164_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:513: Warning: Identifier `\_0165_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:520: Warning: Identifier `\_0166_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:527: Warning: Identifier `\_0167_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:533: Warning: Identifier `\_0168_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:540: Warning: Identifier `\_0169_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:546: Warning: Identifier `\_0170_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:553: Warning: Identifier `\_0171_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:562: Warning: Identifier `\_0172_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:569: Warning: Identifier `\_0173_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:576: Warning: Identifier `\_0174_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:583: Warning: Identifier `\_0175_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:590: Warning: Identifier `\_0176_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:599: Warning: Identifier `\_0177_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:608: Warning: Identifier `\_0178_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:615: Warning: Identifier `\_0179_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:622: Warning: Identifier `\_0180_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:629: Warning: Identifier `\_0181_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:636: Warning: Identifier `\_0182_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:645: Warning: Identifier `\_0183_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:652: Warning: Identifier `\_0184_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:659: Warning: Identifier `\_0185_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:666: Warning: Identifier `\_0186_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:673: Warning: Identifier `\_0187_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:682: Warning: Identifier `\_0188_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:689: Warning: Identifier `\_0189_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:696: Warning: Identifier `\_0190_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:703: Warning: Identifier `\_0191_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:710: Warning: Identifier `\_0192_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:719: Warning: Identifier `\_0193_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:726: Warning: Identifier `\_0194_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:733: Warning: Identifier `\_0195_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:740: Warning: Identifier `\_0196_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:747: Warning: Identifier `\_0197_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:756: Warning: Identifier `\_0198_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:765: Warning: Identifier `\_0199_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:772: Warning: Identifier `\_0200_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:781: Warning: Identifier `\_0201_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:790: Warning: Identifier `\_0202_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:798: Warning: Identifier `\_0203_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:805: Warning: Identifier `\_0204_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:812: Warning: Identifier `\_0205_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:818: Warning: Identifier `\_0206_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:824: Warning: Identifier `\_0207_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:832: Warning: Identifier `\_0208_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:840: Warning: Identifier `\_0095_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:846: Warning: Identifier `\_0209_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:853: Warning: Identifier `\_0210_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:862: Warning: Identifier `\_0211_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:869: Warning: Identifier `\_0212_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:878: Warning: Identifier `\_0213_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:884: Warning: Identifier `\_0094_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:891: Warning: Identifier `\_0214_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:898: Warning: Identifier `\_0215_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:906: Warning: Identifier `\_0216_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:914: Warning: Identifier `\_0093_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:922: Warning: Identifier `\_0217_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:928: Warning: Identifier `\_0218_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:935: Warning: Identifier `\_0219_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:945: Warning: Identifier `\_0220_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:952: Warning: Identifier `\_0221_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:961: Warning: Identifier `\_0222_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:967: Warning: Identifier `\_0092_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:973: Warning: Identifier `\_0223_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:980: Warning: Identifier `\_0224_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:990: Warning: Identifier `\_0225_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:997: Warning: Identifier `\_0091_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1004: Warning: Identifier `\_0226_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1013: Warning: Identifier `\_0227_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1020: Warning: Identifier `\_0228_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1029: Warning: Identifier `\_0229_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1035: Warning: Identifier `\_0090_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1042: Warning: Identifier `\_0230_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1049: Warning: Identifier `\_0231_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1057: Warning: Identifier `\_0232_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1065: Warning: Identifier `\_0089_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1071: Warning: Identifier `\_0233_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1080: Warning: Identifier `\_0234_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1086: Warning: Identifier `\_0235_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1093: Warning: Identifier `\_0236_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1100: Warning: Identifier `\_0237_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1107: Warning: Identifier `\_0238_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1115: Warning: Identifier `\_0239_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1122: Warning: Identifier `\_0240_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1128: Warning: Identifier `\_0241_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1134: Warning: Identifier `\_0242_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1141: Warning: Identifier `\_0243_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1150: Warning: Identifier `\_0244_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1158: Warning: Identifier `\_0088_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1165: Warning: Identifier `\_0245_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1172: Warning: Identifier `\_0246_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1180: Warning: Identifier `\_0247_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1188: Warning: Identifier `\_0087_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1194: Warning: Identifier `\_0248_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1201: Warning: Identifier `\_0249_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1210: Warning: Identifier `\_0250_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1217: Warning: Identifier `\_0251_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1226: Warning: Identifier `\_0252_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1232: Warning: Identifier `\_0086_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1238: Warning: Identifier `\_0253_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1245: Warning: Identifier `\_0254_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1252: Warning: Identifier `\_0255_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1260: Warning: Identifier `\_0256_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1268: Warning: Identifier `\_0085_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1274: Warning: Identifier `\_0257_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1283: Warning: Identifier `\_0258_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1289: Warning: Identifier `\_0259_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1296: Warning: Identifier `\_0260_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1306: Warning: Identifier `\_0261_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1312: Warning: Identifier `\_0262_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1319: Warning: Identifier `\_0263_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1328: Warning: Identifier `\_0264_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1334: Warning: Identifier `\_0084_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1341: Warning: Identifier `\_0265_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1351: Warning: Identifier `\_0266_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1358: Warning: Identifier `\_0083_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1365: Warning: Identifier `\_0267_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1374: Warning: Identifier `\_0268_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1381: Warning: Identifier `\_0269_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1390: Warning: Identifier `\_0270_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1396: Warning: Identifier `\_0082_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1403: Warning: Identifier `\_0271_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1410: Warning: Identifier `\_0272_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1418: Warning: Identifier `\_0273_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1426: Warning: Identifier `\_0081_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1432: Warning: Identifier `\_0274_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1441: Warning: Identifier `\_0275_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1447: Warning: Identifier `\_0276_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1454: Warning: Identifier `\_0277_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1460: Warning: Identifier `\_0278_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1466: Warning: Identifier `\_0279_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1473: Warning: Identifier `\_0280_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1480: Warning: Identifier `\_0281_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1489: Warning: Identifier `\_0282_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1495: Warning: Identifier `\_0283_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1504: Warning: Identifier `\_0284_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1511: Warning: Identifier `\_0285_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1519: Warning: Identifier `\_0080_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1525: Warning: Identifier `\_0286_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1532: Warning: Identifier `\_0287_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1539: Warning: Identifier `\_0288_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1547: Warning: Identifier `\_0289_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1554: Warning: Identifier `\_0290_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1563: Warning: Identifier `\_0291_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1569: Warning: Identifier `\_0079_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1575: Warning: Identifier `\_0292_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1582: Warning: Identifier `\_0293_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1592: Warning: Identifier `\_0294_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1599: Warning: Identifier `\_0078_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1606: Warning: Identifier `\_0295_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1613: Warning: Identifier `\_0296_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1621: Warning: Identifier `\_0297_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1629: Warning: Identifier `\_0077_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1638: Warning: Identifier `\_0298_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1644: Warning: Identifier `\_0299_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1651: Warning: Identifier `\_0300_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1661: Warning: Identifier `\_0301_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1668: Warning: Identifier `\_0302_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1677: Warning: Identifier `\_0303_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1683: Warning: Identifier `\_0076_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1690: Warning: Identifier `\_0304_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1700: Warning: Identifier `\_0305_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1707: Warning: Identifier `\_0075_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1714: Warning: Identifier `\_0306_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1723: Warning: Identifier `\_0307_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1730: Warning: Identifier `\_0308_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1739: Warning: Identifier `\_0309_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1745: Warning: Identifier `\_0074_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1752: Warning: Identifier `\_0310_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1759: Warning: Identifier `\_0311_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1767: Warning: Identifier `\_0312_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1775: Warning: Identifier `\_0073_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1781: Warning: Identifier `\_0313_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1787: Warning: Identifier `\_0314_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1796: Warning: Identifier `\_0315_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1802: Warning: Identifier `\_0316_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1809: Warning: Identifier `\_0317_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1816: Warning: Identifier `\_0318_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1823: Warning: Identifier `\_0319_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1830: Warning: Identifier `\_0320_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1837: Warning: Identifier `\_0321_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1843: Warning: Identifier `\_0322_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1850: Warning: Identifier `\_0323_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1859: Warning: Identifier `\_0324_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1867: Warning: Identifier `\_0072_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1874: Warning: Identifier `\_0325_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1881: Warning: Identifier `\_0326_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1889: Warning: Identifier `\_0327_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1897: Warning: Identifier `\_0071_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1904: Warning: Identifier `\_0328_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1911: Warning: Identifier `\_0329_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1919: Warning: Identifier `\_0330_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1927: Warning: Identifier `\_0070_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1934: Warning: Identifier `\_0331_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1941: Warning: Identifier `\_0332_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1949: Warning: Identifier `\_0333_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1957: Warning: Identifier `\_0069_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1964: Warning: Identifier `\_0334_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1971: Warning: Identifier `\_0335_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1977: Warning: Identifier `\_0336_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1985: Warning: Identifier `\_0337_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:1995: Warning: Identifier `\_0338_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2002: Warning: Identifier `\_0068_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2008: Warning: Identifier `\_0339_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2017: Warning: Identifier `\_0340_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2024: Warning: Identifier `\_0341_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2033: Warning: Identifier `\_0342_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2039: Warning: Identifier `\_0067_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2046: Warning: Identifier `\_0343_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2053: Warning: Identifier `\_0344_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2061: Warning: Identifier `\_0345_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2069: Warning: Identifier `\_0066_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2077: Warning: Identifier `\_0346_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2084: Warning: Identifier `\_0347_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2093: Warning: Identifier `\_0348_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2099: Warning: Identifier `\_0065_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2107: Warning: Identifier `\_0349_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2113: Warning: Identifier `\_0350_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2119: Warning: Identifier `\_0064_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2125: Warning: Identifier `\_0351_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2134: Warning: Identifier `\_0063_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2143: Warning: Identifier `\_0062_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2152: Warning: Identifier `\_0061_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2161: Warning: Identifier `\_0060_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2170: Warning: Identifier `\_0059_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2179: Warning: Identifier `\_0058_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2188: Warning: Identifier `\_0057_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2197: Warning: Identifier `\_0056_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2206: Warning: Identifier `\_0055_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2215: Warning: Identifier `\_0054_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2224: Warning: Identifier `\_0053_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2233: Warning: Identifier `\_0052_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2242: Warning: Identifier `\_0051_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2251: Warning: Identifier `\_0050_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2260: Warning: Identifier `\_0049_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2269: Warning: Identifier `\_0048_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2278: Warning: Identifier `\_0047_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2287: Warning: Identifier `\_0046_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2296: Warning: Identifier `\_0045_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2305: Warning: Identifier `\_0044_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2314: Warning: Identifier `\_0043_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2323: Warning: Identifier `\_0042_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2332: Warning: Identifier `\_0041_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2341: Warning: Identifier `\_0040_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2350: Warning: Identifier `\_0039_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2359: Warning: Identifier `\_0038_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2368: Warning: Identifier `\_0037_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2377: Warning: Identifier `\_0036_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2386: Warning: Identifier `\_0035_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2395: Warning: Identifier `\_0034_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2404: Warning: Identifier `\_0033_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2413: Warning: Identifier `\_0032_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2419: Warning: Identifier `\_0352_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2428: Warning: Identifier `\_0031_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2434: Warning: Identifier `\_0353_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2443: Warning: Identifier `\_0030_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2449: Warning: Identifier `\_0354_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2455: Warning: Identifier `\_0355_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2461: Warning: Identifier `\_0356_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2470: Warning: Identifier `\_0029_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2476: Warning: Identifier `\_0357_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2485: Warning: Identifier `\_0028_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2491: Warning: Identifier `\_0358_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2497: Warning: Identifier `\_0359_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2503: Warning: Identifier `\_0360_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2512: Warning: Identifier `\_0027_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2518: Warning: Identifier `\_0361_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2527: Warning: Identifier `\_0026_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2533: Warning: Identifier `\_0362_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2542: Warning: Identifier `\_0025_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2548: Warning: Identifier `\_0363_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2554: Warning: Identifier `\_0364_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2563: Warning: Identifier `\_0024_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2569: Warning: Identifier `\_0365_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2578: Warning: Identifier `\_0023_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2584: Warning: Identifier `\_0366_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2590: Warning: Identifier `\_0367_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2599: Warning: Identifier `\_0022_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2605: Warning: Identifier `\_0368_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2614: Warning: Identifier `\_0021_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2620: Warning: Identifier `\_0369_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2629: Warning: Identifier `\_0020_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2635: Warning: Identifier `\_0370_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2641: Warning: Identifier `\_0371_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2650: Warning: Identifier `\_0019_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2656: Warning: Identifier `\_0372_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2665: Warning: Identifier `\_0018_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2671: Warning: Identifier `\_0373_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2677: Warning: Identifier `\_0374_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2686: Warning: Identifier `\_0017_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2692: Warning: Identifier `\_0375_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2701: Warning: Identifier `\_0016_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2707: Warning: Identifier `\_0376_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2716: Warning: Identifier `\_0015_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2722: Warning: Identifier `\_0377_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2728: Warning: Identifier `\_0378_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2737: Warning: Identifier `\_0014_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2743: Warning: Identifier `\_0379_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2752: Warning: Identifier `\_0013_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2758: Warning: Identifier `\_0380_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2764: Warning: Identifier `\_0381_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2773: Warning: Identifier `\_0012_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2779: Warning: Identifier `\_0382_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2788: Warning: Identifier `\_0011_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2794: Warning: Identifier `\_0383_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2803: Warning: Identifier `\_0010_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2809: Warning: Identifier `\_0384_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2815: Warning: Identifier `\_0385_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2824: Warning: Identifier `\_0009_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2830: Warning: Identifier `\_0386_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2839: Warning: Identifier `\_0008_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2845: Warning: Identifier `\_0387_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2851: Warning: Identifier `\_0388_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2860: Warning: Identifier `\_0007_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2866: Warning: Identifier `\_0389_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2875: Warning: Identifier `\_0006_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2881: Warning: Identifier `\_0390_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2890: Warning: Identifier `\_0005_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2896: Warning: Identifier `\_0391_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2902: Warning: Identifier `\_0392_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2911: Warning: Identifier `\_0004_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2917: Warning: Identifier `\_0393_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2926: Warning: Identifier `\_0003_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2932: Warning: Identifier `\_0394_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2938: Warning: Identifier `\_0395_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2947: Warning: Identifier `\_0002_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2953: Warning: Identifier `\_0396_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2962: Warning: Identifier `\_0001_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2968: Warning: Identifier `\_0397_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2977: Warning: Identifier `\_0000_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2983: Warning: Identifier `\_0398_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2992: Warning: Identifier `\counter.clk' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:2999: Warning: Identifier `\_0399_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:3006: Warning: Identifier `\_0400_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:3015: Warning: Identifier `\_0401_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:3023: Warning: Identifier `\_0096_' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:3953: Warning: Identifier `\clknet_3_1_0_counter.clk' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:3960: Warning: Identifier `\clknet_3_0_0_counter.clk' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:3974: Warning: Identifier `\clknet_3_5_0_counter.clk' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:3981: Warning: Identifier `\clknet_3_7_0_counter.clk' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:4023: Warning: Identifier `\clknet_3_2_0_counter.clk' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:4121: Warning: Identifier `\clknet_3_4_0_counter.clk' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:4149: Warning: Identifier `\clknet_3_6_0_counter.clk' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:4177: Warning: Identifier `\clknet_3_3_0_counter.clk' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:6655: Warning: Identifier `\clknet_0_counter.clk' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:6661: Warning: Identifier `\clknet_1_0_0_counter.clk' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:6667: Warning: Identifier `\clknet_1_1_0_counter.clk' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:6673: Warning: Identifier `\clknet_2_0_0_counter.clk' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:6679: Warning: Identifier `\clknet_2_1_0_counter.clk' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:6685: Warning: Identifier `\clknet_2_2_0_counter.clk' is implicitly declared.
/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v:6691: Warning: Identifier `\clknet_2_3_0_counter.clk' is implicitly declared.
Successfully finished Verilog frontend.
2. Executing Verilog backend.
Dumping module `\user_proj_example'.
Warnings: 418 unique messages, 418 total
End of script. Logfile hash: af13d1a317, CPU: user 3.51s system 0.01s, MEM: 55.10 MB peak
Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
Time spent: 74% 2x write_verilog (2 sec), 25% 2x read_verilog (0 sec)