blob: 146584a1e0835d39a1bee13691ac05aaefbd9715 [file] [log] [blame]
OpenROAD 0.9.0 e582f2522b
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
Notice 0: Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
Notice 0: Created 13 technology layers
Notice 0: Created 25 technology vias
Notice 0: Created 437 library cells
Notice 0: Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
Notice 0:
Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/results/floorplan/user_proj_example.floorplan.def
Notice 0: Design: user_proj_example
Notice 0: Created 612 pins.
Notice 0: Created 1518 components and 6703 component-terminals.
Notice 0: Created 1015 nets and 1888 connections.
Notice 0: Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/results/floorplan/user_proj_example.floorplan.def
[INFO] DBU = 1000
[INFO] SiteSize = (460, 2720)
[INFO] CoreAreaLxLy = (5520, 10880)
[INFO] CoreAreaUxUy = (244260, 236640)
[INFO] NumInstances = 1518
[INFO] NumPlaceInstances = 672
[INFO] NumFixedInstances = 846
[INFO] NumDummyInstances = 0
[INFO] NumNets = 1015
[INFO] NumPins = 2500
[INFO] DieAreaLxLy = (0, 0)
[INFO] DieAreaUxUy = (250000, 250000)
[INFO] CoreAreaLxLy = (5520, 10880)
[INFO] CoreAreaUxUy = (244260, 236640)
[INFO] CoreArea = 53897942400
[INFO] NonPlaceInstsArea = 1473913600
[INFO] PlaceInstsArea = 7332032000
[INFO] Util(%) = 13.986013
[INFO] StdInstsArea = 7332032000
[INFO] MacroInstsArea = 0
[InitialPlace] Iter: 1 CG Error: 2.49419e-06 HPWL: 93743270
[InitialPlace] Iter: 2 CG Error: 1.00347e-07 HPWL: 49480972
[InitialPlace] Iter: 3 CG Error: 8.78432e-08 HPWL: 44915627
[InitialPlace] Iter: 4 CG Error: 1.08071e-07 HPWL: 43780035
[InitialPlace] Iter: 5 CG Error: 1.18788e-07 HPWL: 43446154
[INFO] FillerInit: NumGCells = 722
[INFO] FillerInit: NumGNets = 1015
[INFO] FillerInit: NumGPins = 2500
[INFO] TargetDensity = 0.150000
[INFO] AveragePlaceInstArea = 10910761
[INFO] IdealBinArea = 72738400
[INFO] IdealBinCnt = 740
[INFO] TotalBinArea = 53897942400
[INFO] BinCnt = (64, 64)
[INFO] BinSize = (3731, 3528)
[INFO] NumBins = 4096
[NesterovSolve] Iter: 1 overflow: 0.776088 HPWL: 45755820
[NesterovSolve] Iter: 10 overflow: 0.683389 HPWL: 42609440
[NesterovSolve] Iter: 20 overflow: 0.67452 HPWL: 42131196
[NesterovSolve] Iter: 30 overflow: 0.677207 HPWL: 42076403
[NesterovSolve] Iter: 40 overflow: 0.677266 HPWL: 42075698
[NesterovSolve] Iter: 50 overflow: 0.678614 HPWL: 42086617
[NesterovSolve] Finished with Overflow: 0.677428
Warning: /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib, line 32 default_operating_condition ss_100C_1v60 not found.
Warning: /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib, line 31 default_operating_condition ff_n40C_1v95 not found.
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD)
Error: base.sdc, 1 can't read "::env(CLOCK_PORT)": no such variable