blob: fc8566228ab7d52e59a66410c69c643d314cafb0 [file] [log] [blame]
Wed Nov 11 04:06:55 UTC 2020 - Executing "/openLANE_flow/scripts/mergeLef.py -i /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef -o /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef |& tee >&@stdout"
Wed Nov 11 04:06:55 UTC 2020 - Executing "/openLANE_flow/scripts/libtrim.pl /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib /home/xrex/usr/devel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/no_synth.cells > /project/openlane/user_proj_example/runs/user_proj_example/tmp/trimmed.lib"
Wed Nov 11 04:06:55 UTC 2020 - Executing "yosys -c /openLANE_flow/scripts/synth.tcl -l /project/openlane/user_proj_example/runs/user_proj_example/logs/synthesis/yosys.log |& tee >&@stdout"
Wed Nov 11 04:06:56 UTC 2020 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/user_proj_example\/runs\/user_proj_example\/results\/synthesis\/user_proj_example.synthesis.v/} /project/openlane/user_proj_example/runs/user_proj_example/config.tcl"
Wed Nov 11 04:06:56 UTC 2020 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 0/} /project/openlane/user_proj_example/runs/user_proj_example/config.tcl"
Wed Nov 11 04:06:56 UTC 2020 - Executing "sta /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/synthesis/opensta.log"
Wed Nov 11 04:06:58 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_floorplan.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/floorplan/verilog2def.openroad.log"
Wed Nov 11 04:06:58 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_ioplacer.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/floorplan/ioPlacer.log"
Wed Nov 11 04:06:59 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_tapcell.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/floorplan/tapcell.log"
Wed Nov 11 04:06:59 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_replace.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/placement/replace.log"
Wed Nov 11 04:07:05 UTC 2020 - Executing "/openLANE_flow/scripts/libtrim.pl /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib /home/xrex/usr/devel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/no_synth.cells > /project/openlane/user_proj_example/runs/user_proj_example/tmp/opt.lib"
Wed Nov 11 04:07:06 UTC 2020 - Executing "Psn /openLANE_flow/scripts/openPhySyn.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/placement/openphysyn.log"
Wed Nov 11 04:07:11 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/write_verilog.log"
Wed Nov 11 04:07:11 UTC 2020 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/user_proj_example\/runs\/user_proj_example\/results\/synthesis\/user_proj_example.synthesis_optimized.v/} /project/openlane/user_proj_example/runs/user_proj_example/config.tcl"
Wed Nov 11 04:07:11 UTC 2020 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/project\/openlane\/user_proj_example\/runs\/user_proj_example\/results\/synthesis\/user_proj_example.synthesis.v/} /project/openlane/user_proj_example/runs/user_proj_example/config.tcl"
Wed Nov 11 04:07:11 UTC 2020 - Executing "sta /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/synthesis/opensta_post_openphysyn.log"
Wed Nov 11 04:07:12 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_opendp.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/placement/opendp.log"
Wed Nov 11 04:07:13 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_cts.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/cts/cts.log"
Wed Nov 11 04:08:19 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/write_verilog.log"
Wed Nov 11 04:08:20 UTC 2020 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/user_proj_example\/runs\/user_proj_example\/results\/synthesis\/user_proj_example.synthesis_cts.v/} /project/openlane/user_proj_example/runs/user_proj_example/config.tcl"
Wed Nov 11 04:08:20 UTC 2020 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/project\/openlane\/user_proj_example\/runs\/user_proj_example\/results\/synthesis\/user_proj_example.synthesis_optimized.v/} /project/openlane/user_proj_example/runs/user_proj_example/config.tcl"
Wed Nov 11 04:08:20 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/new_pdn.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/floorplan/pdn.log"
Wed Nov 11 04:08:21 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_route.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/routing/fastroute.log"
Wed Nov 11 04:08:26 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_route.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/routing/fastroute_post_antenna.log"
Wed Nov 11 04:08:31 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_fill.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/routing/addspacers.log"
Wed Nov 11 04:08:31 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/write_verilog.log"
Wed Nov 11 04:08:32 UTC 2020 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/user_proj_example\/runs\/user_proj_example\/results\/synthesis\/user_proj_example.synthesis_preroute.v/} /project/openlane/user_proj_example/runs/user_proj_example/config.tcl"
Wed Nov 11 04:08:32 UTC 2020 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/project\/openlane\/user_proj_example\/runs\/user_proj_example\/results\/synthesis\/user_proj_example.synthesis_cts.v/} /project/openlane/user_proj_example/runs/user_proj_example/config.tcl"
Wed Nov 11 04:08:32 UTC 2020 - Executing "envsubst < /openLANE_flow/scripts/tritonRoute.param > /project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/tritonRoute.param"
Wed Nov 11 04:08:32 UTC 2020 - Executing "TritonRoute /project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/tritonRoute.param |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/routing/tritonRoute.log"
Wed Nov 11 04:09:07 UTC 2020 - Executing "python3 /openLANE_flow/scripts/spef_extractor/main.py -l /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef -d /project/openlane/user_proj_example/runs/user_proj_example/results/routing/user_proj_example.def -mw PI -ec 1 |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/routing/spef_extraction.log"
Wed Nov 11 04:09:08 UTC 2020 - Executing "sta /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/synthesis/opensta_spef.log"
Wed Nov 11 04:09:09 UTC 2020 - Executing "python3 /openLANE_flow/scripts/write_powered_def.py -d /project/openlane/user_proj_example/runs/user_proj_example/results/routing/user_proj_example.def -l /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged.lef -v VPWR -g VGND -o /project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/user_proj_example.powered.def |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/lvs/write_powered_verilog.log"
Wed Nov 11 04:09:10 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/write_verilog.log"
Wed Nov 11 04:09:11 UTC 2020 - Executing "yosys -c /openLANE_flow/scripts/yosys_rewrite_verilog.tcl -l /project/openlane/user_proj_example/runs/user_proj_example/logs/synthesis/yosys_rewrite_verilog.log"
Wed Nov 11 04:09:14 UTC 2020 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/user_proj_example\/runs\/user_proj_example\/results\/lvs\/user_proj_example.lvs.powered.v/} /project/openlane/user_proj_example/runs/user_proj_example/config.tcl"
Wed Nov 11 04:09:14 UTC 2020 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/project\/openlane\/user_proj_example\/runs\/user_proj_example\/results\/synthesis\/user_proj_example.synthesis_preroute.v/} /project/openlane/user_proj_example/runs/user_proj_example/config.tcl"
Wed Nov 11 04:09:14 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /home/xrex/usr/devel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic.tcl </dev/null |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/magic/magic.log"
Wed Nov 11 04:09:16 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /home/xrex/usr/devel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /project/openlane/user_proj_example/runs/user_proj_example/tmp/magic_spice.tcl </dev/null |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/magic/magic_spice.log"
Wed Nov 11 04:09:22 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /home/xrex/usr/devel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic_drc.tcl </dev/null |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/magic/magic.drc.log"
Wed Nov 11 04:09:30 UTC 2020 - Executing "netgen -batch lvs {/project/openlane/user_proj_example/runs/user_proj_example/results/magic/user_proj_example.spice user_proj_example} {/project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.powered.v user_proj_example} /home/xrex/usr/devel/pdks/sky130A/libs.tech/netgen/sky130A_setup.tcl /project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs.log -json |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/lvs/lvs.log"
Wed Nov 11 04:09:30 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_antenna_check.tcl |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/routing/or_antenna.log"
Wed Nov 11 04:09:31 UTC 2020 - Executing "python3 /openLANE_flow/report_generation_wrapper.py -d /project/openlane/user_proj_example -dn user_proj_example -t user_proj_example -o /project/openlane/user_proj_example/runs/user_proj_example/reports/final_summary_report.csv -r /project/openlane/user_proj_example/runs/user_proj_example"