blob: 0420b88c2a3da780e7e8521f784f86a14f9eedf5 [file] [log] [blame]
/* Generated by Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) */
module user_id_programming(mask_rev);
output [31:0] mask_rev;
wire \user_proj_id_high[0] ;
wire \user_proj_id_high[10] ;
wire \user_proj_id_high[11] ;
wire \user_proj_id_high[12] ;
wire \user_proj_id_high[13] ;
wire \user_proj_id_high[14] ;
wire \user_proj_id_high[15] ;
wire \user_proj_id_high[16] ;
wire \user_proj_id_high[17] ;
wire \user_proj_id_high[18] ;
wire \user_proj_id_high[19] ;
wire \user_proj_id_high[1] ;
wire \user_proj_id_high[20] ;
wire \user_proj_id_high[21] ;
wire \user_proj_id_high[22] ;
wire \user_proj_id_high[23] ;
wire \user_proj_id_high[24] ;
wire \user_proj_id_high[25] ;
wire \user_proj_id_high[26] ;
wire \user_proj_id_high[27] ;
wire \user_proj_id_high[28] ;
wire \user_proj_id_high[29] ;
wire \user_proj_id_high[2] ;
wire \user_proj_id_high[30] ;
wire \user_proj_id_high[31] ;
wire \user_proj_id_high[3] ;
wire \user_proj_id_high[4] ;
wire \user_proj_id_high[5] ;
wire \user_proj_id_high[6] ;
wire \user_proj_id_high[7] ;
wire \user_proj_id_high[8] ;
wire \user_proj_id_high[9] ;
sky130_fd_sc_hd__conb_1 \mask_rev_value[0] (
.HI(\user_proj_id_high[0] ),
.LO(mask_rev[0])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[10] (
.HI(\user_proj_id_high[10] ),
.LO(mask_rev[10])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[11] (
.HI(\user_proj_id_high[11] ),
.LO(mask_rev[11])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[12] (
.HI(\user_proj_id_high[12] ),
.LO(mask_rev[12])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[13] (
.HI(\user_proj_id_high[13] ),
.LO(mask_rev[13])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[14] (
.HI(\user_proj_id_high[14] ),
.LO(mask_rev[14])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[15] (
.HI(\user_proj_id_high[15] ),
.LO(mask_rev[15])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[16] (
.HI(\user_proj_id_high[16] ),
.LO(mask_rev[16])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[17] (
.HI(\user_proj_id_high[17] ),
.LO(mask_rev[17])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[18] (
.HI(\user_proj_id_high[18] ),
.LO(mask_rev[18])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[19] (
.HI(\user_proj_id_high[19] ),
.LO(mask_rev[19])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[1] (
.HI(\user_proj_id_high[1] ),
.LO(mask_rev[1])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[20] (
.HI(\user_proj_id_high[20] ),
.LO(mask_rev[20])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[21] (
.HI(\user_proj_id_high[21] ),
.LO(mask_rev[21])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[22] (
.HI(\user_proj_id_high[22] ),
.LO(mask_rev[22])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[23] (
.HI(\user_proj_id_high[23] ),
.LO(mask_rev[23])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[24] (
.HI(\user_proj_id_high[24] ),
.LO(mask_rev[24])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[25] (
.HI(\user_proj_id_high[25] ),
.LO(mask_rev[25])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[26] (
.HI(\user_proj_id_high[26] ),
.LO(mask_rev[26])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[27] (
.HI(\user_proj_id_high[27] ),
.LO(mask_rev[27])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[28] (
.HI(\user_proj_id_high[28] ),
.LO(mask_rev[28])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[29] (
.HI(\user_proj_id_high[29] ),
.LO(mask_rev[29])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[2] (
.HI(\user_proj_id_high[2] ),
.LO(mask_rev[2])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[30] (
.HI(\user_proj_id_high[30] ),
.LO(mask_rev[30])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[31] (
.HI(\user_proj_id_high[31] ),
.LO(mask_rev[31])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[3] (
.HI(\user_proj_id_high[3] ),
.LO(mask_rev[3])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[4] (
.HI(\user_proj_id_high[4] ),
.LO(mask_rev[4])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[5] (
.HI(\user_proj_id_high[5] ),
.LO(mask_rev[5])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[6] (
.HI(\user_proj_id_high[6] ),
.LO(mask_rev[6])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[7] (
.HI(\user_proj_id_high[7] ),
.LO(mask_rev[7])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[8] (
.HI(\user_proj_id_high[8] ),
.LO(mask_rev[8])
);
sky130_fd_sc_hd__conb_1 \mask_rev_value[9] (
.HI(\user_proj_id_high[9] ),
.LO(mask_rev[9])
);
endmodule