blob: 26f074cc2c1b8cae3b57bfbaa63c4b39ac3b500e [file] [log] [blame]
/----------------------------------------------------------------------------\
| |
| yosys -- Yosys Open SYnthesis Suite |
| |
| Copyright (C) 2012 - 2020 Claire Wolf <claire@symbioticeda.com> |
| |
| Permission to use, copy, modify, and/or distribute this software for any |
| purpose with or without fee is hereby granted, provided that the above |
| copyright notice and this permission notice appear in all copies. |
| |
| THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES |
| WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF |
| MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR |
| ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES |
| WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN |
| ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF |
| OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. |
| |
\----------------------------------------------------------------------------/
Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
[TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `exec' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `trace' -> skip.
1. Executing Verilog-2005 frontend: /project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v
Parsing Verilog input from `/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v' to AST representation.
Generating RTLIL representation for module `\user_id_programming'.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:8: Warning: Identifier `\user_proj_id_high[0]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:14: Warning: Identifier `\user_proj_id_high[10]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:20: Warning: Identifier `\user_proj_id_high[11]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:26: Warning: Identifier `\user_proj_id_high[12]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:32: Warning: Identifier `\user_proj_id_high[13]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:38: Warning: Identifier `\user_proj_id_high[14]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:44: Warning: Identifier `\user_proj_id_high[15]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:50: Warning: Identifier `\user_proj_id_high[16]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:56: Warning: Identifier `\user_proj_id_high[17]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:62: Warning: Identifier `\user_proj_id_high[18]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:68: Warning: Identifier `\user_proj_id_high[19]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:74: Warning: Identifier `\user_proj_id_high[1]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:80: Warning: Identifier `\user_proj_id_high[20]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:86: Warning: Identifier `\user_proj_id_high[21]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:92: Warning: Identifier `\user_proj_id_high[22]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:98: Warning: Identifier `\user_proj_id_high[23]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:104: Warning: Identifier `\user_proj_id_high[24]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:110: Warning: Identifier `\user_proj_id_high[25]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:116: Warning: Identifier `\user_proj_id_high[26]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:122: Warning: Identifier `\user_proj_id_high[27]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:128: Warning: Identifier `\user_proj_id_high[28]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:134: Warning: Identifier `\user_proj_id_high[29]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:140: Warning: Identifier `\user_proj_id_high[2]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:146: Warning: Identifier `\user_proj_id_high[30]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:152: Warning: Identifier `\user_proj_id_high[31]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:158: Warning: Identifier `\user_proj_id_high[3]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:164: Warning: Identifier `\user_proj_id_high[4]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:170: Warning: Identifier `\user_proj_id_high[5]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:176: Warning: Identifier `\user_proj_id_high[6]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:182: Warning: Identifier `\user_proj_id_high[7]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:188: Warning: Identifier `\user_proj_id_high[8]' is implicitly declared.
/project/openlane/user_id_programming/runs/user_id_programming/results/lvs/user_id_programming.lvs.powered.v:194: Warning: Identifier `\user_proj_id_high[9]' is implicitly declared.
Successfully finished Verilog frontend.
2. Executing Verilog backend.
Dumping module `\user_id_programming'.
Warnings: 32 unique messages, 32 total
End of script. Logfile hash: 6f2826d2e8, CPU: user 0.08s system 0.00s, MEM: 8.87 MB peak
Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
Time spent: 75% 2x write_verilog (0 sec), 24% 2x read_verilog (0 sec)