blob: f11eef752b775a20031b093e5bde076926d19799 [file] [log] [blame]
/----------------------------------------------------------------------------\
| |
| yosys -- Yosys Open SYnthesis Suite |
| |
| Copyright (C) 2012 - 2020 Claire Wolf <claire@symbioticeda.com> |
| |
| Permission to use, copy, modify, and/or distribute this software for any |
| purpose with or without fee is hereby granted, provided that the above |
| copyright notice and this permission notice appear in all copies. |
| |
| THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES |
| WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF |
| MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR |
| ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES |
| WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN |
| ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF |
| OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. |
| |
\----------------------------------------------------------------------------/
Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
[TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `exec' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip.
[TCL: yosys -import] Command name collision: found pre-existing command `trace' -> skip.
1. Executing Verilog-2005 frontend: /project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v
Parsing Verilog input from `/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v' to AST representation.
Generating RTLIL representation for module `\storage'.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:169: Warning: Identifier `\_NC8' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:168: Warning: Identifier `\_NC7' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:167: Warning: Identifier `\_NC6' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:166: Warning: Identifier `\_NC5' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:165: Warning: Identifier `\_NC4' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:164: Warning: Identifier `\_NC3' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:163: Warning: Identifier `\_NC2' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:162: Warning: Identifier `\_NC1' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:265: Warning: Identifier `\_NC40' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:264: Warning: Identifier `\_NC39' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:263: Warning: Identifier `\_NC38' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:262: Warning: Identifier `\_NC37' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:261: Warning: Identifier `\_NC36' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:260: Warning: Identifier `\_NC35' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:259: Warning: Identifier `\_NC34' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:258: Warning: Identifier `\_NC33' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:257: Warning: Identifier `\_NC32' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:256: Warning: Identifier `\_NC31' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:255: Warning: Identifier `\_NC30' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:254: Warning: Identifier `\_NC29' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:253: Warning: Identifier `\_NC28' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:252: Warning: Identifier `\_NC27' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:251: Warning: Identifier `\_NC26' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:250: Warning: Identifier `\_NC25' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:249: Warning: Identifier `\_NC24' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:248: Warning: Identifier `\_NC23' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:247: Warning: Identifier `\_NC22' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:246: Warning: Identifier `\_NC21' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:245: Warning: Identifier `\_NC20' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:244: Warning: Identifier `\_NC19' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:243: Warning: Identifier `\_NC18' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:242: Warning: Identifier `\_NC17' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:241: Warning: Identifier `\_NC16' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:240: Warning: Identifier `\_NC15' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:239: Warning: Identifier `\_NC14' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:238: Warning: Identifier `\_NC13' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:237: Warning: Identifier `\_NC12' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:236: Warning: Identifier `\_NC11' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:235: Warning: Identifier `\_NC10' is implicitly declared.
/project/openlane/storage/runs/storage/results/lvs/storage.lvs.powered.v:234: Warning: Identifier `\_NC9' is implicitly declared.
Successfully finished Verilog frontend.
2. Executing Verilog backend.
Dumping module `\storage'.
Warnings: 40 unique messages, 40 total
End of script. Logfile hash: caf1137d32, CPU: user 3.45s system 0.01s, MEM: 49.13 MB peak
Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
Time spent: 73% 2x write_verilog (2 sec), 26% 2x read_verilog (0 sec)