blob: 58cd4f19bfb4fcae14b04719f8e9e0f066429cb7 [file] [log] [blame]
OpenSTA 2.2.0 0d73b5b65a Copyright (c) 2019, Parallax Software, Inc.
License GPLv3: GNU GPL version 3 <http://gnu.org/licenses/gpl.html>
This is free software, and you are free to change and redistribute it
under certain conditions; type `show_copying' for details.
This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.
Warning: /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib, line 31 default_operating_condition ff_n40C_1v95 not found.
Warning: /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib, line 32 default_operating_condition ss_100C_1v60 not found.
Warning: /project/openlane/storage/runs/storage/results/synthesis/storage.synthesis_preroute.v, line 26 module sram_1rw1r_32_256_8_sky130 not found. Creating black box for SRAM_0.
Warning: /project/openlane/storage/runs/storage/results/synthesis/storage.synthesis_preroute.v, line 1630 module sky130_fd_sc_hd__tapvpwrvgnd_1 not found. Creating black box for PHY_1364.
Warning: /project/openlane/storage/runs/storage/results/synthesis/storage.synthesis_preroute.v, line 2077 module sky130_fd_sc_hd__fill_2 not found. Creating black box for FILLER_0_15.
Warning: /project/openlane/storage/runs/storage/results/synthesis/storage.synthesis_preroute.v, line 2082 module sky130_fd_sc_hd__fill_1 not found. Creating black box for FILLER_0_36.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 180 instance ANTENNA_SRAM_0_addr0[0]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 181 instance ANTENNA_SRAM_1_addr0[0]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 193 SRAM_0 not connected to net mgmt_addr[0].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 206 SRAM_1 not connected to net mgmt_addr[0].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 211 net ANTENNA_SRAM_0_addr0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 213 net ANTENNA_SRAM_1_addr0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 225 SRAM_0 not connected to net mgmt_addr[0].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 234 SRAM_1 not connected to net mgmt_addr[0].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 237 net ANTENNA_SRAM_0_addr0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 238 net ANTENNA_SRAM_1_addr0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 251 net ANTENNA_SRAM_0_addr0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 251 net ANTENNA_SRAM_0_addr0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 254 net ANTENNA_SRAM_1_addr0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 254 net ANTENNA_SRAM_1_addr0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 260 instance ANTENNA_SRAM_0_addr0[1]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 261 instance ANTENNA_SRAM_1_addr0[1]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 266 net ANTENNA_SRAM_1_addr0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 269 SRAM_1 not connected to net mgmt_addr[1].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 283 net ANTENNA_SRAM_0_addr0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 286 SRAM_0 not connected to net mgmt_addr[1].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 300 net ANTENNA_SRAM_1_addr0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 301 SRAM_1 not connected to net mgmt_addr[1].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 309 net ANTENNA_SRAM_0_addr0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 310 SRAM_0 not connected to net mgmt_addr[1].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 319 net ANTENNA_SRAM_1_addr0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 319 net ANTENNA_SRAM_1_addr0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 327 net ANTENNA_SRAM_0_addr0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 327 net ANTENNA_SRAM_0_addr0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 338 instance ANTENNA_SRAM_0_addr0[2]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 339 instance ANTENNA_SRAM_1_addr0[2]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 345 net ANTENNA_SRAM_1_addr0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 347 SRAM_1 not connected to net mgmt_addr[2].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 353 net ANTENNA_SRAM_0_addr0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 355 SRAM_0 not connected to net mgmt_addr[2].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 368 net ANTENNA_SRAM_1_addr0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 369 SRAM_1 not connected to net mgmt_addr[2].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 373 net ANTENNA_SRAM_0_addr0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 373 net ANTENNA_SRAM_0_addr0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 374 net ANTENNA_SRAM_0_addr0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 375 SRAM_0 not connected to net mgmt_addr[2].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 382 net ANTENNA_SRAM_1_addr0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 382 net ANTENNA_SRAM_1_addr0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 387 net ANTENNA_SRAM_0_addr0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 387 net ANTENNA_SRAM_0_addr0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 396 instance ANTENNA_SRAM_0_addr0[3]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 397 instance ANTENNA_SRAM_1_addr0[3]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 408 net ANTENNA_SRAM_1_addr0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 415 SRAM_1 not connected to net mgmt_addr[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 426 SRAM_0 not connected to net mgmt_addr[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 446 net ANTENNA_SRAM_0_addr0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 453 net ANTENNA_SRAM_1_addr0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 456 SRAM_1 not connected to net mgmt_addr[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 463 SRAM_0 not connected to net mgmt_addr[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 463 SRAM_0 not connected to net mgmt_addr[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 464 SRAM_0 not connected to net mgmt_addr[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 484 net ANTENNA_SRAM_1_addr0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 484 net ANTENNA_SRAM_1_addr0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 494 net ANTENNA_SRAM_0_addr0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 494 net ANTENNA_SRAM_0_addr0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 495 net ANTENNA_SRAM_0_addr0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 511 instance ANTENNA_SRAM_0_addr0[4]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 512 instance ANTENNA_SRAM_1_addr0[4]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 517 net ANTENNA_SRAM_1_addr0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 519 SRAM_1 not connected to net mgmt_addr[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 521 net ANTENNA_SRAM_0_addr0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 524 SRAM_0 not connected to net mgmt_addr[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 549 net ANTENNA_SRAM_1_addr0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 550 SRAM_1 not connected to net mgmt_addr[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 550 SRAM_1 not connected to net mgmt_addr[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 551 SRAM_1 not connected to net mgmt_addr[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 552 net ANTENNA_SRAM_0_addr0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 553 SRAM_0 not connected to net mgmt_addr[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 568 net ANTENNA_SRAM_1_addr0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 568 net ANTENNA_SRAM_1_addr0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 570 net ANTENNA_SRAM_0_addr0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 570 net ANTENNA_SRAM_0_addr0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 584 instance ANTENNA_SRAM_0_addr0[5]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 585 instance ANTENNA_SRAM_1_addr0[5]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 594 SRAM_1 not connected to net mgmt_addr[5].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 595 net ANTENNA_SRAM_0_addr0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 597 SRAM_0 not connected to net mgmt_addr[5].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 613 net ANTENNA_SRAM_1_addr0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 619 SRAM_1 not connected to net mgmt_addr[5].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 620 net ANTENNA_SRAM_0_addr0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 621 SRAM_0 not connected to net mgmt_addr[5].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 631 net ANTENNA_SRAM_1_addr0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 631 net ANTENNA_SRAM_1_addr0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 632 net ANTENNA_SRAM_1_addr0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 635 net ANTENNA_SRAM_0_addr0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 635 net ANTENNA_SRAM_0_addr0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 646 instance ANTENNA_SRAM_0_addr0[6]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 647 instance ANTENNA_SRAM_1_addr0[6]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 654 net ANTENNA_SRAM_0_addr0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 657 SRAM_0 not connected to net mgmt_addr[6].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 669 SRAM_1 not connected to net mgmt_addr[6].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 725 net ANTENNA_SRAM_1_addr0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 728 net ANTENNA_SRAM_0_addr0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 729 SRAM_0 not connected to net mgmt_addr[6].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 736 SRAM_1 not connected to net mgmt_addr[6].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 773 net ANTENNA_SRAM_0_addr0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 773 net ANTENNA_SRAM_0_addr0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 782 net ANTENNA_SRAM_1_addr0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 782 net ANTENNA_SRAM_1_addr0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 783 net ANTENNA_SRAM_1_addr0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 812 instance ANTENNA_SRAM_0_addr0[7]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 813 instance ANTENNA_SRAM_1_addr0[7]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 833 SRAM_1 not connected to net mgmt_addr[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 834 net ANTENNA_SRAM_1_addr0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 850 net ANTENNA_SRAM_0_addr0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 853 SRAM_0 not connected to net mgmt_addr[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 886 SRAM_1 not connected to net mgmt_addr[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 887 SRAM_1 not connected to net mgmt_addr[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 887 SRAM_1 not connected to net mgmt_addr[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 888 net ANTENNA_SRAM_1_addr0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 896 net ANTENNA_SRAM_0_addr0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 897 SRAM_0 not connected to net mgmt_addr[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 901 net ANTENNA_SRAM_0_addr0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 902 net ANTENNA_SRAM_0_addr0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 902 net ANTENNA_SRAM_0_addr0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 925 net ANTENNA_SRAM_1_addr0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 925 net ANTENNA_SRAM_1_addr0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 934 net ANTENNA_SRAM_0_addr0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 934 net ANTENNA_SRAM_0_addr0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 944 instance ANTENNA_SRAM_0_addr1[0]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 960 SRAM_0 not connected to net mgmt_addr_ro[0].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 963 net ANTENNA_SRAM_0_addr1[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 971 SRAM_0 not connected to net mgmt_addr_ro[0].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 972 net ANTENNA_SRAM_0_addr1[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 976 net ANTENNA_SRAM_0_addr1[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 976 net ANTENNA_SRAM_0_addr1[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 977 net ANTENNA_SRAM_0_addr1[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 985 instance ANTENNA_SRAM_0_addr1[1]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 990 SRAM_0 not connected to net mgmt_addr_ro[1].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 991 net ANTENNA_SRAM_0_addr1[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1004 SRAM_0 not connected to net mgmt_addr_ro[1].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1005 net ANTENNA_SRAM_0_addr1[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1012 net ANTENNA_SRAM_0_addr1[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1012 net ANTENNA_SRAM_0_addr1[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1021 instance ANTENNA_SRAM_0_addr1[2]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1025 SRAM_0 not connected to net mgmt_addr_ro[2].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1039 net ANTENNA_SRAM_0_addr1[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1046 SRAM_0 not connected to net mgmt_addr_ro[2].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1054 net ANTENNA_SRAM_0_addr1[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1063 net ANTENNA_SRAM_0_addr1[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1063 net ANTENNA_SRAM_0_addr1[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1070 instance ANTENNA_SRAM_0_addr1[3]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1079 net ANTENNA_SRAM_0_addr1[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1083 SRAM_0 not connected to net mgmt_addr_ro[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1090 net ANTENNA_SRAM_0_addr1[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1092 SRAM_0 not connected to net mgmt_addr_ro[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1095 net ANTENNA_SRAM_0_addr1[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1095 net ANTENNA_SRAM_0_addr1[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1102 instance ANTENNA_SRAM_0_addr1[4]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1108 net ANTENNA_SRAM_0_addr1[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1112 SRAM_0 not connected to net mgmt_addr_ro[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1127 net ANTENNA_SRAM_0_addr1[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1129 SRAM_0 not connected to net mgmt_addr_ro[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1129 SRAM_0 not connected to net mgmt_addr_ro[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1130 SRAM_0 not connected to net mgmt_addr_ro[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1137 net ANTENNA_SRAM_0_addr1[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1137 net ANTENNA_SRAM_0_addr1[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1149 instance ANTENNA_SRAM_0_addr1[5]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1161 net ANTENNA_SRAM_0_addr1[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1167 SRAM_0 not connected to net mgmt_addr_ro[5].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1177 net ANTENNA_SRAM_0_addr1[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1180 SRAM_0 not connected to net mgmt_addr_ro[5].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1184 net ANTENNA_SRAM_0_addr1[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1184 net ANTENNA_SRAM_0_addr1[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1193 instance ANTENNA_SRAM_0_addr1[6]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1204 net ANTENNA_SRAM_0_addr1[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1209 SRAM_0 not connected to net mgmt_addr_ro[6].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1217 net ANTENNA_SRAM_0_addr1[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1220 SRAM_0 not connected to net mgmt_addr_ro[6].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1223 net ANTENNA_SRAM_0_addr1[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1223 net ANTENNA_SRAM_0_addr1[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1230 instance ANTENNA_SRAM_0_addr1[7]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1238 net ANTENNA_SRAM_0_addr1[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1243 SRAM_0 not connected to net mgmt_addr_ro[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1250 net ANTENNA_SRAM_0_addr1[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1253 SRAM_0 not connected to net mgmt_addr_ro[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1256 net ANTENNA_SRAM_0_addr1[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1256 net ANTENNA_SRAM_0_addr1[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1528 SRAM_0 not connected to net mgmt_rdata[0].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1556 SRAM_0 not connected to net mgmt_rdata[0].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1593 SRAM_0 not connected to net mgmt_rdata[10].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1630 SRAM_0 not connected to net mgmt_rdata[10].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1679 SRAM_0 not connected to net mgmt_rdata[11].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1691 SRAM_0 not connected to net mgmt_rdata[11].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1709 SRAM_0 not connected to net mgmt_rdata[12].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1723 SRAM_0 not connected to net mgmt_rdata[12].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1744 SRAM_0 not connected to net mgmt_rdata[13].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1764 SRAM_0 not connected to net mgmt_rdata[13].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1796 SRAM_0 not connected to net mgmt_rdata[14].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1822 SRAM_0 not connected to net mgmt_rdata[14].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1856 SRAM_0 not connected to net mgmt_rdata[15].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1878 SRAM_0 not connected to net mgmt_rdata[15].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1910 SRAM_0 not connected to net mgmt_rdata[16].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1925 SRAM_0 not connected to net mgmt_rdata[16].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1959 SRAM_0 not connected to net mgmt_rdata[17].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 1973 SRAM_0 not connected to net mgmt_rdata[17].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2000 SRAM_0 not connected to net mgmt_rdata[18].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2017 SRAM_0 not connected to net mgmt_rdata[18].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2047 SRAM_0 not connected to net mgmt_rdata[19].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2070 SRAM_0 not connected to net mgmt_rdata[19].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2097 SRAM_0 not connected to net mgmt_rdata[1].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2113 SRAM_0 not connected to net mgmt_rdata[1].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2143 SRAM_0 not connected to net mgmt_rdata[20].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2154 SRAM_0 not connected to net mgmt_rdata[20].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2179 SRAM_0 not connected to net mgmt_rdata[21].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2194 SRAM_0 not connected to net mgmt_rdata[21].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2229 SRAM_0 not connected to net mgmt_rdata[22].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2247 SRAM_0 not connected to net mgmt_rdata[22].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2276 SRAM_0 not connected to net mgmt_rdata[23].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2291 SRAM_0 not connected to net mgmt_rdata[23].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2316 SRAM_0 not connected to net mgmt_rdata[24].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2326 SRAM_0 not connected to net mgmt_rdata[24].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2348 SRAM_0 not connected to net mgmt_rdata[25].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2361 SRAM_0 not connected to net mgmt_rdata[25].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2382 SRAM_0 not connected to net mgmt_rdata[26].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2396 SRAM_0 not connected to net mgmt_rdata[26].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2418 SRAM_0 not connected to net mgmt_rdata[27].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2436 SRAM_0 not connected to net mgmt_rdata[27].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2462 SRAM_0 not connected to net mgmt_rdata[28].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2480 SRAM_0 not connected to net mgmt_rdata[28].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2505 SRAM_0 not connected to net mgmt_rdata[29].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2515 SRAM_0 not connected to net mgmt_rdata[29].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2549 SRAM_0 not connected to net mgmt_rdata[2].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2564 SRAM_0 not connected to net mgmt_rdata[2].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2584 SRAM_0 not connected to net mgmt_rdata[30].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2597 SRAM_0 not connected to net mgmt_rdata[30].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2619 SRAM_0 not connected to net mgmt_rdata[31].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2631 SRAM_0 not connected to net mgmt_rdata[31].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2654 SRAM_1 not connected to net mgmt_rdata[32].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2687 SRAM_1 not connected to net mgmt_rdata[32].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2732 SRAM_1 not connected to net mgmt_rdata[33].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2759 SRAM_1 not connected to net mgmt_rdata[33].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2811 SRAM_1 not connected to net mgmt_rdata[34].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2830 SRAM_1 not connected to net mgmt_rdata[34].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2863 SRAM_1 not connected to net mgmt_rdata[35].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2879 SRAM_1 not connected to net mgmt_rdata[35].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2916 SRAM_1 not connected to net mgmt_rdata[36].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2933 SRAM_1 not connected to net mgmt_rdata[36].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2969 SRAM_1 not connected to net mgmt_rdata[37].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 2989 SRAM_1 not connected to net mgmt_rdata[37].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3026 SRAM_1 not connected to net mgmt_rdata[38].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3043 SRAM_1 not connected to net mgmt_rdata[38].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3078 SRAM_1 not connected to net mgmt_rdata[39].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3093 SRAM_1 not connected to net mgmt_rdata[39].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3117 SRAM_0 not connected to net mgmt_rdata[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3131 SRAM_0 not connected to net mgmt_rdata[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3162 SRAM_1 not connected to net mgmt_rdata[40].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3175 SRAM_1 not connected to net mgmt_rdata[40].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3208 SRAM_1 not connected to net mgmt_rdata[41].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3225 SRAM_1 not connected to net mgmt_rdata[41].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3257 SRAM_1 not connected to net mgmt_rdata[42].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3277 SRAM_1 not connected to net mgmt_rdata[42].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3309 SRAM_1 not connected to net mgmt_rdata[43].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3321 SRAM_1 not connected to net mgmt_rdata[43].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3345 SRAM_1 not connected to net mgmt_rdata[44].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3359 SRAM_1 not connected to net mgmt_rdata[44].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3393 SRAM_1 not connected to net mgmt_rdata[45].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3410 SRAM_1 not connected to net mgmt_rdata[45].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3437 SRAM_1 not connected to net mgmt_rdata[46].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3450 SRAM_1 not connected to net mgmt_rdata[46].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3474 SRAM_1 not connected to net mgmt_rdata[47].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3487 SRAM_1 not connected to net mgmt_rdata[47].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3521 SRAM_1 not connected to net mgmt_rdata[48].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3549 SRAM_1 not connected to net mgmt_rdata[48].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3604 SRAM_1 not connected to net mgmt_rdata[49].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3628 SRAM_1 not connected to net mgmt_rdata[49].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3664 SRAM_0 not connected to net mgmt_rdata[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3678 SRAM_0 not connected to net mgmt_rdata[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3711 SRAM_1 not connected to net mgmt_rdata[50].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3729 SRAM_1 not connected to net mgmt_rdata[50].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3730 SRAM_1 not connected to net mgmt_rdata[50].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3730 SRAM_1 not connected to net mgmt_rdata[50].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3770 SRAM_1 not connected to net mgmt_rdata[51].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3790 SRAM_1 not connected to net mgmt_rdata[51].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3831 SRAM_1 not connected to net mgmt_rdata[52].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3846 SRAM_1 not connected to net mgmt_rdata[52].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3878 SRAM_1 not connected to net mgmt_rdata[53].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3890 SRAM_1 not connected to net mgmt_rdata[53].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3917 SRAM_1 not connected to net mgmt_rdata[54].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3926 SRAM_1 not connected to net mgmt_rdata[54].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3953 SRAM_1 not connected to net mgmt_rdata[55].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3965 SRAM_1 not connected to net mgmt_rdata[55].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 3992 SRAM_1 not connected to net mgmt_rdata[56].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4005 SRAM_1 not connected to net mgmt_rdata[56].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4028 SRAM_1 not connected to net mgmt_rdata[57].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4047 SRAM_1 not connected to net mgmt_rdata[57].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4077 SRAM_1 not connected to net mgmt_rdata[58].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4088 SRAM_1 not connected to net mgmt_rdata[58].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4110 SRAM_1 not connected to net mgmt_rdata[59].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4129 SRAM_1 not connected to net mgmt_rdata[59].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4161 SRAM_0 not connected to net mgmt_rdata[5].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4172 SRAM_0 not connected to net mgmt_rdata[5].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4195 SRAM_1 not connected to net mgmt_rdata[60].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4219 SRAM_1 not connected to net mgmt_rdata[60].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4255 SRAM_1 not connected to net mgmt_rdata[61].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4268 SRAM_1 not connected to net mgmt_rdata[61].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4293 SRAM_1 not connected to net mgmt_rdata[62].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4307 SRAM_1 not connected to net mgmt_rdata[62].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4333 SRAM_1 not connected to net mgmt_rdata[63].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4350 SRAM_1 not connected to net mgmt_rdata[63].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4383 SRAM_0 not connected to net mgmt_rdata[6].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4395 SRAM_0 not connected to net mgmt_rdata[6].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4418 SRAM_0 not connected to net mgmt_rdata[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4433 SRAM_0 not connected to net mgmt_rdata[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4461 SRAM_0 not connected to net mgmt_rdata[8].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4471 SRAM_0 not connected to net mgmt_rdata[8].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4502 SRAM_0 not connected to net mgmt_rdata[9].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4523 SRAM_0 not connected to net mgmt_rdata[9].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4562 SRAM_0 not connected to net mgmt_rdata_ro[0].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4584 SRAM_0 not connected to net mgmt_rdata_ro[0].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4618 SRAM_0 not connected to net mgmt_rdata_ro[10].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4650 SRAM_0 not connected to net mgmt_rdata_ro[10].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4694 SRAM_0 not connected to net mgmt_rdata_ro[11].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4722 SRAM_0 not connected to net mgmt_rdata_ro[11].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4722 SRAM_0 not connected to net mgmt_rdata_ro[11].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4723 SRAM_0 not connected to net mgmt_rdata_ro[11].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4769 SRAM_0 not connected to net mgmt_rdata_ro[12].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4797 SRAM_0 not connected to net mgmt_rdata_ro[12].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4839 SRAM_0 not connected to net mgmt_rdata_ro[13].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4859 SRAM_0 not connected to net mgmt_rdata_ro[13].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4909 SRAM_0 not connected to net mgmt_rdata_ro[14].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4932 SRAM_0 not connected to net mgmt_rdata_ro[14].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 4984 SRAM_0 not connected to net mgmt_rdata_ro[15].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5007 SRAM_0 not connected to net mgmt_rdata_ro[15].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5046 SRAM_0 not connected to net mgmt_rdata_ro[16].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5063 SRAM_0 not connected to net mgmt_rdata_ro[16].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5063 SRAM_0 not connected to net mgmt_rdata_ro[16].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5064 SRAM_0 not connected to net mgmt_rdata_ro[16].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5091 SRAM_0 not connected to net mgmt_rdata_ro[17].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5105 SRAM_0 not connected to net mgmt_rdata_ro[17].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5129 SRAM_0 not connected to net mgmt_rdata_ro[18].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5141 SRAM_0 not connected to net mgmt_rdata_ro[18].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5164 SRAM_0 not connected to net mgmt_rdata_ro[19].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5179 SRAM_0 not connected to net mgmt_rdata_ro[19].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5212 SRAM_0 not connected to net mgmt_rdata_ro[1].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5246 SRAM_0 not connected to net mgmt_rdata_ro[1].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5292 SRAM_0 not connected to net mgmt_rdata_ro[20].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5314 SRAM_0 not connected to net mgmt_rdata_ro[20].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5314 SRAM_0 not connected to net mgmt_rdata_ro[20].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5315 SRAM_0 not connected to net mgmt_rdata_ro[20].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5344 SRAM_0 not connected to net mgmt_rdata_ro[21].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5359 SRAM_0 not connected to net mgmt_rdata_ro[21].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5383 SRAM_0 not connected to net mgmt_rdata_ro[22].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5398 SRAM_0 not connected to net mgmt_rdata_ro[22].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5420 SRAM_0 not connected to net mgmt_rdata_ro[23].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5433 SRAM_0 not connected to net mgmt_rdata_ro[23].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5453 SRAM_0 not connected to net mgmt_rdata_ro[24].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5476 SRAM_0 not connected to net mgmt_rdata_ro[24].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5517 SRAM_0 not connected to net mgmt_rdata_ro[25].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5537 SRAM_0 not connected to net mgmt_rdata_ro[25].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5572 SRAM_0 not connected to net mgmt_rdata_ro[26].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5589 SRAM_0 not connected to net mgmt_rdata_ro[26].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5617 SRAM_0 not connected to net mgmt_rdata_ro[27].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5638 SRAM_0 not connected to net mgmt_rdata_ro[27].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5676 SRAM_0 not connected to net mgmt_rdata_ro[28].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5701 SRAM_0 not connected to net mgmt_rdata_ro[28].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5735 SRAM_0 not connected to net mgmt_rdata_ro[29].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5750 SRAM_0 not connected to net mgmt_rdata_ro[29].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5778 SRAM_0 not connected to net mgmt_rdata_ro[2].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5799 SRAM_0 not connected to net mgmt_rdata_ro[2].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5832 SRAM_0 not connected to net mgmt_rdata_ro[30].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5853 SRAM_0 not connected to net mgmt_rdata_ro[30].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5886 SRAM_0 not connected to net mgmt_rdata_ro[31].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5903 SRAM_0 not connected to net mgmt_rdata_ro[31].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5925 SRAM_0 not connected to net mgmt_rdata_ro[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5943 SRAM_0 not connected to net mgmt_rdata_ro[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5968 SRAM_0 not connected to net mgmt_rdata_ro[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 5983 SRAM_0 not connected to net mgmt_rdata_ro[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6005 SRAM_0 not connected to net mgmt_rdata_ro[5].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6030 SRAM_0 not connected to net mgmt_rdata_ro[5].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6072 SRAM_0 not connected to net mgmt_rdata_ro[6].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6085 SRAM_0 not connected to net mgmt_rdata_ro[6].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6109 SRAM_0 not connected to net mgmt_rdata_ro[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6122 SRAM_0 not connected to net mgmt_rdata_ro[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6140 SRAM_0 not connected to net mgmt_rdata_ro[8].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6163 SRAM_0 not connected to net mgmt_rdata_ro[8].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6199 SRAM_0 not connected to net mgmt_rdata_ro[9].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6222 SRAM_0 not connected to net mgmt_rdata_ro[9].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6247 instance ANTENNA_SRAM_0_din0[0]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6248 instance ANTENNA_SRAM_1_din0[0]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6262 SRAM_0 not connected to net mgmt_wdata[0].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6264 SRAM_1 not connected to net mgmt_wdata[0].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6279 net ANTENNA_SRAM_0_din0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6286 net ANTENNA_SRAM_1_din0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6302 SRAM_0 not connected to net mgmt_wdata[0].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6303 SRAM_1 not connected to net mgmt_wdata[0].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6312 net ANTENNA_SRAM_0_din0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6316 net ANTENNA_SRAM_1_din0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6332 net ANTENNA_SRAM_0_din0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6332 net ANTENNA_SRAM_0_din0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6337 net ANTENNA_SRAM_1_din0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6337 net ANTENNA_SRAM_1_din0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6342 instance ANTENNA_SRAM_0_din0[10]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6343 instance ANTENNA_SRAM_1_din0[10]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6355 SRAM_0 not connected to net mgmt_wdata[10].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6361 SRAM_1 not connected to net mgmt_wdata[10].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6362 net ANTENNA_SRAM_0_din0[10] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6366 net ANTENNA_SRAM_1_din0[10] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6393 SRAM_0 not connected to net mgmt_wdata[10].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6396 SRAM_1 not connected to net mgmt_wdata[10].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6397 net ANTENNA_SRAM_0_din0[10] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6399 net ANTENNA_SRAM_1_din0[10] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6422 net ANTENNA_SRAM_0_din0[10] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6422 net ANTENNA_SRAM_0_din0[10] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6424 net ANTENNA_SRAM_1_din0[10] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6424 net ANTENNA_SRAM_1_din0[10] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6434 instance ANTENNA_SRAM_0_din0[11]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6435 instance ANTENNA_SRAM_1_din0[11]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6447 SRAM_0 not connected to net mgmt_wdata[11].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6454 SRAM_1 not connected to net mgmt_wdata[11].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6466 net ANTENNA_SRAM_1_din0[11] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6482 net ANTENNA_SRAM_0_din0[11] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6489 SRAM_0 not connected to net mgmt_wdata[11].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6493 SRAM_1 not connected to net mgmt_wdata[11].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6501 net ANTENNA_SRAM_1_din0[11] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6521 net ANTENNA_SRAM_0_din0[11] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6521 net ANTENNA_SRAM_0_din0[11] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6522 net ANTENNA_SRAM_0_din0[11] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6523 net ANTENNA_SRAM_1_din0[11] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6523 net ANTENNA_SRAM_1_din0[11] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6534 instance ANTENNA_SRAM_0_din0[12]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6535 instance ANTENNA_SRAM_1_din0[12]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6548 SRAM_1 not connected to net mgmt_wdata[12].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6557 net ANTENNA_SRAM_0_din0[12] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6583 SRAM_0 not connected to net mgmt_wdata[12].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6599 net ANTENNA_SRAM_1_din0[12] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6606 SRAM_1 not connected to net mgmt_wdata[12].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6611 net ANTENNA_SRAM_0_din0[12] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6626 SRAM_0 not connected to net mgmt_wdata[12].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6645 net ANTENNA_SRAM_0_din0[12] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6645 net ANTENNA_SRAM_0_din0[12] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6651 net ANTENNA_SRAM_1_din0[12] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6651 net ANTENNA_SRAM_1_din0[12] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6652 net ANTENNA_SRAM_1_din0[12] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6670 instance ANTENNA_SRAM_0_din0[13]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6671 instance ANTENNA_SRAM_1_din0[13]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6693 SRAM_0 not connected to net mgmt_wdata[13].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6701 SRAM_1 not connected to net mgmt_wdata[13].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6712 net ANTENNA_SRAM_0_din0[13] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6719 net ANTENNA_SRAM_1_din0[13] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6748 SRAM_0 not connected to net mgmt_wdata[13].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6753 SRAM_1 not connected to net mgmt_wdata[13].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6759 net ANTENNA_SRAM_0_din0[13] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6762 net ANTENNA_SRAM_0_din0[13] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6764 net ANTENNA_SRAM_1_din0[13] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6789 net ANTENNA_SRAM_0_din0[13] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6789 net ANTENNA_SRAM_0_din0[13] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6792 net ANTENNA_SRAM_1_din0[13] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6792 net ANTENNA_SRAM_1_din0[13] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6803 instance ANTENNA_SRAM_0_din0[14]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6804 instance ANTENNA_SRAM_1_din0[14]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6819 net ANTENNA_SRAM_0_din0[14] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6826 net ANTENNA_SRAM_1_din0[14] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6845 SRAM_0 not connected to net mgmt_wdata[14].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6854 SRAM_1 not connected to net mgmt_wdata[14].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6864 net ANTENNA_SRAM_0_din0[14] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6868 net ANTENNA_SRAM_1_din0[14] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6880 SRAM_0 not connected to net mgmt_wdata[14].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6885 SRAM_1 not connected to net mgmt_wdata[14].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6893 net ANTENNA_SRAM_0_din0[14] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6893 net ANTENNA_SRAM_0_din0[14] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6898 net ANTENNA_SRAM_1_din0[14] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6898 net ANTENNA_SRAM_1_din0[14] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6914 instance ANTENNA_SRAM_0_din0[15]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6915 instance ANTENNA_SRAM_1_din0[15]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6923 SRAM_0 not connected to net mgmt_wdata[15].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6930 SRAM_1 not connected to net mgmt_wdata[15].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6933 net ANTENNA_SRAM_0_din0[15] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 6940 net ANTENNA_SRAM_1_din0[15] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7004 SRAM_0 not connected to net mgmt_wdata[15].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7008 SRAM_1 not connected to net mgmt_wdata[15].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7010 net ANTENNA_SRAM_0_din0[15] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7014 net ANTENNA_SRAM_1_din0[15] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7058 net ANTENNA_SRAM_0_din0[15] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7058 net ANTENNA_SRAM_0_din0[15] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7062 net ANTENNA_SRAM_1_din0[15] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7062 net ANTENNA_SRAM_1_din0[15] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7091 instance ANTENNA_SRAM_0_din0[16]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7092 instance ANTENNA_SRAM_1_din0[16]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7104 SRAM_0 not connected to net mgmt_wdata[16].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7120 SRAM_1 not connected to net mgmt_wdata[16].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7130 net ANTENNA_SRAM_0_din0[16] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7134 net ANTENNA_SRAM_1_din0[16] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7155 SRAM_0 not connected to net mgmt_wdata[16].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7165 SRAM_1 not connected to net mgmt_wdata[16].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7171 net ANTENNA_SRAM_0_din0[16] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7173 net ANTENNA_SRAM_1_din0[16] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7197 net ANTENNA_SRAM_0_din0[16] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7197 net ANTENNA_SRAM_0_din0[16] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7199 net ANTENNA_SRAM_1_din0[16] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7199 net ANTENNA_SRAM_1_din0[16] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7209 instance ANTENNA_SRAM_0_din0[17]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7210 instance ANTENNA_SRAM_1_din0[17]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7227 SRAM_0 not connected to net mgmt_wdata[17].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7233 SRAM_1 not connected to net mgmt_wdata[17].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7234 net ANTENNA_SRAM_0_din0[17] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7265 net ANTENNA_SRAM_1_din0[17] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7275 SRAM_0 not connected to net mgmt_wdata[17].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7278 SRAM_1 not connected to net mgmt_wdata[17].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7279 net ANTENNA_SRAM_0_din0[17] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7307 net ANTENNA_SRAM_0_din0[17] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7307 net ANTENNA_SRAM_0_din0[17] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7314 net ANTENNA_SRAM_1_din0[17] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7314 net ANTENNA_SRAM_1_din0[17] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7315 net ANTENNA_SRAM_1_din0[17] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7324 instance ANTENNA_SRAM_0_din0[18]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7325 instance ANTENNA_SRAM_1_din0[18]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7368 SRAM_0 not connected to net mgmt_wdata[18].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7373 net ANTENNA_SRAM_0_din0[18] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7377 net ANTENNA_SRAM_1_din0[18] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7399 SRAM_1 not connected to net mgmt_wdata[18].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7440 SRAM_0 not connected to net mgmt_wdata[18].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7443 net ANTENNA_SRAM_0_din0[18] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7446 net ANTENNA_SRAM_1_din0[18] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7461 SRAM_1 not connected to net mgmt_wdata[18].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7481 net ANTENNA_SRAM_0_din0[18] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7481 net ANTENNA_SRAM_0_din0[18] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7483 net ANTENNA_SRAM_1_din0[18] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7483 net ANTENNA_SRAM_1_din0[18] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7505 instance ANTENNA_SRAM_0_din0[19]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7506 instance ANTENNA_SRAM_1_din0[19]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7523 net ANTENNA_SRAM_1_din0[19] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7535 SRAM_0 not connected to net mgmt_wdata[19].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7540 SRAM_1 not connected to net mgmt_wdata[19].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7545 net ANTENNA_SRAM_0_din0[19] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7554 net ANTENNA_SRAM_1_din0[19] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7556 net ANTENNA_SRAM_1_din0[19] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7556 net ANTENNA_SRAM_1_din0[19] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7564 SRAM_0 not connected to net mgmt_wdata[19].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7567 SRAM_1 not connected to net mgmt_wdata[19].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7574 net ANTENNA_SRAM_0_din0[19] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7574 net ANTENNA_SRAM_0_din0[19] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7575 net ANTENNA_SRAM_0_din0[19] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7577 net ANTENNA_SRAM_1_din0[19] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7577 net ANTENNA_SRAM_1_din0[19] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7580 net ANTENNA_SRAM_1_din0[19] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7588 instance ANTENNA_SRAM_0_din0[1]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7589 instance ANTENNA_SRAM_1_din0[1]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7601 SRAM_0 not connected to net mgmt_wdata[1].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7606 SRAM_1 not connected to net mgmt_wdata[1].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7623 net ANTENNA_SRAM_0_din0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7625 net ANTENNA_SRAM_1_din0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7658 SRAM_0 not connected to net mgmt_wdata[1].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7661 SRAM_1 not connected to net mgmt_wdata[1].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7671 net ANTENNA_SRAM_0_din0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7672 net ANTENNA_SRAM_0_din0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7673 net ANTENNA_SRAM_1_din0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7707 net ANTENNA_SRAM_0_din0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7707 net ANTENNA_SRAM_0_din0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7709 net ANTENNA_SRAM_1_din0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7709 net ANTENNA_SRAM_1_din0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7720 instance ANTENNA_SRAM_0_din0[20]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7721 instance ANTENNA_SRAM_1_din0[20]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7741 SRAM_0 not connected to net mgmt_wdata[20].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7744 net ANTENNA_SRAM_0_din0[20] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7799 SRAM_1 not connected to net mgmt_wdata[20].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7821 net ANTENNA_SRAM_1_din0[20] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7831 SRAM_0 not connected to net mgmt_wdata[20].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7833 net ANTENNA_SRAM_0_din0[20] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7870 SRAM_1 not connected to net mgmt_wdata[20].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7892 net ANTENNA_SRAM_0_din0[20] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7892 net ANTENNA_SRAM_0_din0[20] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7897 net ANTENNA_SRAM_1_din0[20] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7897 net ANTENNA_SRAM_1_din0[20] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7898 net ANTENNA_SRAM_1_din0[20] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7924 instance ANTENNA_SRAM_0_din0[21]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7925 instance ANTENNA_SRAM_1_din0[21]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7944 net ANTENNA_SRAM_0_din0[21] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7952 net ANTENNA_SRAM_1_din0[21] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7971 SRAM_0 not connected to net mgmt_wdata[21].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7979 SRAM_1 not connected to net mgmt_wdata[21].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7991 net ANTENNA_SRAM_0_din0[21] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 7996 net ANTENNA_SRAM_1_din0[21] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8006 SRAM_0 not connected to net mgmt_wdata[21].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8011 SRAM_1 not connected to net mgmt_wdata[21].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8019 net ANTENNA_SRAM_0_din0[21] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8019 net ANTENNA_SRAM_0_din0[21] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8020 net ANTENNA_SRAM_0_din0[21] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8023 net ANTENNA_SRAM_1_din0[21] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8023 net ANTENNA_SRAM_1_din0[21] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8039 instance ANTENNA_SRAM_0_din0[22]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8040 instance ANTENNA_SRAM_1_din0[22]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8052 net ANTENNA_SRAM_0_din0[22] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8074 SRAM_0 not connected to net mgmt_wdata[22].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8082 SRAM_1 not connected to net mgmt_wdata[22].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8096 net ANTENNA_SRAM_1_din0[22] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8102 net ANTENNA_SRAM_0_din0[22] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8115 SRAM_0 not connected to net mgmt_wdata[22].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8120 SRAM_1 not connected to net mgmt_wdata[22].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8135 net ANTENNA_SRAM_0_din0[22] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8135 net ANTENNA_SRAM_0_din0[22] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8142 net ANTENNA_SRAM_1_din0[22] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8142 net ANTENNA_SRAM_1_din0[22] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8143 net ANTENNA_SRAM_1_din0[22] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8156 instance ANTENNA_SRAM_0_din0[23]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8157 instance ANTENNA_SRAM_1_din0[23]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8183 SRAM_0 not connected to net mgmt_wdata[23].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8185 SRAM_1 not connected to net mgmt_wdata[23].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8209 net ANTENNA_SRAM_0_din0[23] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8210 net ANTENNA_SRAM_1_din0[23] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8223 SRAM_0 not connected to net mgmt_wdata[23].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8224 SRAM_1 not connected to net mgmt_wdata[23].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8246 net ANTENNA_SRAM_0_din0[23] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8246 net ANTENNA_SRAM_0_din0[23] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8247 net ANTENNA_SRAM_0_din0[23] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8248 net ANTENNA_SRAM_1_din0[23] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8248 net ANTENNA_SRAM_1_din0[23] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8249 net ANTENNA_SRAM_1_din0[23] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8266 instance ANTENNA_SRAM_0_din0[24]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8267 instance ANTENNA_SRAM_1_din0[24]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8274 net ANTENNA_SRAM_0_din0[24] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8296 SRAM_0 not connected to net mgmt_wdata[24].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8301 SRAM_1 not connected to net mgmt_wdata[24].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8308 net ANTENNA_SRAM_1_din0[24] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8311 net ANTENNA_SRAM_0_din0[24] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8324 SRAM_0 not connected to net mgmt_wdata[24].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8327 SRAM_1 not connected to net mgmt_wdata[24].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8334 net ANTENNA_SRAM_0_din0[24] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8334 net ANTENNA_SRAM_0_din0[24] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8337 net ANTENNA_SRAM_0_din0[24] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8338 net ANTENNA_SRAM_1_din0[24] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8338 net ANTENNA_SRAM_1_din0[24] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8339 net ANTENNA_SRAM_1_din0[24] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8352 instance ANTENNA_SRAM_0_din0[25]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8353 instance ANTENNA_SRAM_1_din0[25]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8362 net ANTENNA_SRAM_0_din0[25] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8369 SRAM_0 not connected to net mgmt_wdata[25].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8376 SRAM_1 not connected to net mgmt_wdata[25].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8394 net ANTENNA_SRAM_1_din0[25] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8399 net ANTENNA_SRAM_0_din0[25] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8401 net ANTENNA_SRAM_0_din0[25] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8403 SRAM_0 not connected to net mgmt_wdata[25].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8408 SRAM_1 not connected to net mgmt_wdata[25].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8422 net ANTENNA_SRAM_0_din0[25] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8422 net ANTENNA_SRAM_0_din0[25] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8429 net ANTENNA_SRAM_1_din0[25] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8429 net ANTENNA_SRAM_1_din0[25] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8430 net ANTENNA_SRAM_1_din0[25] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8439 instance ANTENNA_SRAM_0_din0[26]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8440 instance ANTENNA_SRAM_1_din0[26]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8448 SRAM_0 not connected to net mgmt_wdata[26].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8462 SRAM_1 not connected to net mgmt_wdata[26].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8463 net ANTENNA_SRAM_1_din0[26] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8482 net ANTENNA_SRAM_0_din0[26] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8507 SRAM_0 not connected to net mgmt_wdata[26].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8514 SRAM_1 not connected to net mgmt_wdata[26].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8515 SRAM_1 not connected to net mgmt_wdata[26].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8515 SRAM_1 not connected to net mgmt_wdata[26].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8516 net ANTENNA_SRAM_1_din0[26] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8518 net ANTENNA_SRAM_1_din0[26] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8519 net ANTENNA_SRAM_1_din0[26] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8519 net ANTENNA_SRAM_1_din0[26] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8531 net ANTENNA_SRAM_0_din0[26] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8535 net ANTENNA_SRAM_0_din0[26] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8536 net ANTENNA_SRAM_0_din0[26] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8536 net ANTENNA_SRAM_0_din0[26] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8559 net ANTENNA_SRAM_1_din0[26] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8559 net ANTENNA_SRAM_1_din0[26] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8563 net ANTENNA_SRAM_0_din0[26] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8563 net ANTENNA_SRAM_0_din0[26] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8578 instance ANTENNA_SRAM_0_din0[27]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8579 instance ANTENNA_SRAM_1_din0[27]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8590 SRAM_0 not connected to net mgmt_wdata[27].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8596 SRAM_1 not connected to net mgmt_wdata[27].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8598 net ANTENNA_SRAM_0_din0[27] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8620 net ANTENNA_SRAM_1_din0[27] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8641 SRAM_0 not connected to net mgmt_wdata[27].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8644 SRAM_1 not connected to net mgmt_wdata[27].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8646 net ANTENNA_SRAM_0_din0[27] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8648 net ANTENNA_SRAM_0_din0[27] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8663 net ANTENNA_SRAM_1_din0[27] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8679 net ANTENNA_SRAM_0_din0[27] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8679 net ANTENNA_SRAM_0_din0[27] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8683 net ANTENNA_SRAM_0_din0[27] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8684 net ANTENNA_SRAM_0_din0[27] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8687 net ANTENNA_SRAM_1_din0[27] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8687 net ANTENNA_SRAM_1_din0[27] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8698 instance ANTENNA_SRAM_0_din0[28]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8699 instance ANTENNA_SRAM_1_din0[28]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8709 SRAM_0 not connected to net mgmt_wdata[28].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8720 SRAM_1 not connected to net mgmt_wdata[28].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8729 net ANTENNA_SRAM_1_din0[28] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8747 net ANTENNA_SRAM_0_din0[28] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8771 SRAM_0 not connected to net mgmt_wdata[28].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8777 SRAM_1 not connected to net mgmt_wdata[28].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8782 net ANTENNA_SRAM_1_din0[28] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8783 net ANTENNA_SRAM_1_din0[28] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8783 net ANTENNA_SRAM_1_din0[28] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8792 net ANTENNA_SRAM_0_din0[28] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8804 net ANTENNA_SRAM_1_din0[28] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8816 net ANTENNA_SRAM_0_din0[28] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8816 net ANTENNA_SRAM_0_din0[28] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8820 net ANTENNA_SRAM_1_din0[28] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8820 net ANTENNA_SRAM_1_din0[28] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8840 instance ANTENNA_SRAM_0_din0[29]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8841 instance ANTENNA_SRAM_1_din0[29]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8853 SRAM_0 not connected to net mgmt_wdata[29].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8855 net ANTENNA_SRAM_0_din0[29] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8870 SRAM_1 not connected to net mgmt_wdata[29].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8871 net ANTENNA_SRAM_1_din0[29] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8917 SRAM_0 not connected to net mgmt_wdata[29].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8918 net ANTENNA_SRAM_0_din0[29] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8926 SRAM_1 not connected to net mgmt_wdata[29].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8927 SRAM_1 not connected to net mgmt_wdata[29].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8927 SRAM_1 not connected to net mgmt_wdata[29].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8928 net ANTENNA_SRAM_1_din0[29] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8942 net ANTENNA_SRAM_1_din0[29] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8958 net ANTENNA_SRAM_0_din0[29] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8958 net ANTENNA_SRAM_0_din0[29] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8967 net ANTENNA_SRAM_1_din0[29] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8967 net ANTENNA_SRAM_1_din0[29] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8984 instance ANTENNA_SRAM_0_din0[2]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 8985 instance ANTENNA_SRAM_1_din0[2]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9009 SRAM_0 not connected to net mgmt_wdata[2].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9013 SRAM_1 not connected to net mgmt_wdata[2].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9019 net ANTENNA_SRAM_1_din0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9023 net ANTENNA_SRAM_0_din0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9078 SRAM_0 not connected to net mgmt_wdata[2].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9080 SRAM_1 not connected to net mgmt_wdata[2].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9084 net ANTENNA_SRAM_1_din0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9086 net ANTENNA_SRAM_0_din0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9116 net ANTENNA_SRAM_1_din0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9116 net ANTENNA_SRAM_1_din0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9128 net ANTENNA_SRAM_0_din0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9128 net ANTENNA_SRAM_0_din0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9157 instance ANTENNA_SRAM_0_din0[30]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9158 instance ANTENNA_SRAM_1_din0[30]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9166 SRAM_0 not connected to net mgmt_wdata[30].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9174 SRAM_1 not connected to net mgmt_wdata[30].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9181 net ANTENNA_SRAM_0_din0[30] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9187 net ANTENNA_SRAM_1_din0[30] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9199 SRAM_0 not connected to net mgmt_wdata[30].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9204 SRAM_1 not connected to net mgmt_wdata[30].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9208 net ANTENNA_SRAM_0_din0[30] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9211 net ANTENNA_SRAM_1_din0[30] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9221 net ANTENNA_SRAM_0_din0[30] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9221 net ANTENNA_SRAM_0_din0[30] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9222 net ANTENNA_SRAM_0_din0[30] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9228 net ANTENNA_SRAM_1_din0[30] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9228 net ANTENNA_SRAM_1_din0[30] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9229 net ANTENNA_SRAM_1_din0[30] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9237 instance ANTENNA_SRAM_0_din0[31]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9238 instance ANTENNA_SRAM_1_din0[31]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9250 SRAM_1 not connected to net mgmt_wdata[31].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9251 net ANTENNA_SRAM_0_din0[31] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9262 SRAM_0 not connected to net mgmt_wdata[31].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9279 net ANTENNA_SRAM_1_din0[31] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9325 SRAM_1 not connected to net mgmt_wdata[31].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9326 net ANTENNA_SRAM_0_din0[31] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9328 net ANTENNA_SRAM_0_din0[31] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9333 SRAM_0 not connected to net mgmt_wdata[31].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9343 net ANTENNA_SRAM_1_din0[31] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9369 net ANTENNA_SRAM_0_din0[31] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9369 net ANTENNA_SRAM_0_din0[31] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9382 net ANTENNA_SRAM_1_din0[31] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9382 net ANTENNA_SRAM_1_din0[31] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9404 instance ANTENNA_SRAM_0_din0[3]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9405 instance ANTENNA_SRAM_1_din0[3]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9424 net ANTENNA_SRAM_0_din0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9440 SRAM_0 not connected to net mgmt_wdata[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9448 net ANTENNA_SRAM_1_din0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9468 SRAM_1 not connected to net mgmt_wdata[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9511 net ANTENNA_SRAM_0_din0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9520 SRAM_0 not connected to net mgmt_wdata[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9525 net ANTENNA_SRAM_1_din0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9539 SRAM_1 not connected to net mgmt_wdata[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9567 net ANTENNA_SRAM_0_din0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9567 net ANTENNA_SRAM_0_din0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9579 net ANTENNA_SRAM_1_din0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9579 net ANTENNA_SRAM_1_din0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9607 instance ANTENNA_SRAM_0_din0[4]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9608 instance ANTENNA_SRAM_1_din0[4]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9627 net ANTENNA_SRAM_0_din0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9633 net ANTENNA_SRAM_1_din0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9643 SRAM_0 not connected to net mgmt_wdata[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9650 SRAM_1 not connected to net mgmt_wdata[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9663 net ANTENNA_SRAM_0_din0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9667 net ANTENNA_SRAM_1_din0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9672 SRAM_0 not connected to net mgmt_wdata[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9676 SRAM_1 not connected to net mgmt_wdata[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9679 net ANTENNA_SRAM_0_din0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9679 net ANTENNA_SRAM_0_din0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9689 net ANTENNA_SRAM_1_din0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9689 net ANTENNA_SRAM_1_din0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9690 net ANTENNA_SRAM_1_din0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9693 net ANTENNA_SRAM_1_din0[4] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9705 instance ANTENNA_SRAM_0_din0[5]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9706 instance ANTENNA_SRAM_1_din0[5]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9726 SRAM_0 not connected to net mgmt_wdata[5].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9729 net ANTENNA_SRAM_0_din0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9734 net ANTENNA_SRAM_1_din0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9746 SRAM_1 not connected to net mgmt_wdata[5].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9757 SRAM_0 not connected to net mgmt_wdata[5].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9759 net ANTENNA_SRAM_0_din0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9761 net ANTENNA_SRAM_1_din0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9764 net ANTENNA_SRAM_1_din0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9764 net ANTENNA_SRAM_1_din0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9770 SRAM_1 not connected to net mgmt_wdata[5].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9771 net ANTENNA_SRAM_1_din0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9780 net ANTENNA_SRAM_0_din0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9780 net ANTENNA_SRAM_0_din0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9782 net ANTENNA_SRAM_1_din0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9782 net ANTENNA_SRAM_1_din0[5] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9792 instance ANTENNA_SRAM_0_din0[6]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9793 instance ANTENNA_SRAM_1_din0[6]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9806 net ANTENNA_SRAM_1_din0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9821 SRAM_0 not connected to net mgmt_wdata[6].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9828 SRAM_1 not connected to net mgmt_wdata[6].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9830 net ANTENNA_SRAM_0_din0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9896 net ANTENNA_SRAM_1_din0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9899 net ANTENNA_SRAM_1_din0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9900 net ANTENNA_SRAM_1_din0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9900 net ANTENNA_SRAM_1_din0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9905 SRAM_0 not connected to net mgmt_wdata[6].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9909 SRAM_1 not connected to net mgmt_wdata[6].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9911 net ANTENNA_SRAM_0_din0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9951 net ANTENNA_SRAM_1_din0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9951 net ANTENNA_SRAM_1_din0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9964 net ANTENNA_SRAM_0_din0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9964 net ANTENNA_SRAM_0_din0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9967 net ANTENNA_SRAM_0_din0[6] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9995 instance ANTENNA_SRAM_0_din0[7]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 9996 instance ANTENNA_SRAM_1_din0[7]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10031 SRAM_0 not connected to net mgmt_wdata[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10038 SRAM_1 not connected to net mgmt_wdata[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10047 net ANTENNA_SRAM_0_din0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10049 net ANTENNA_SRAM_1_din0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10070 SRAM_0 not connected to net mgmt_wdata[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10074 SRAM_1 not connected to net mgmt_wdata[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10083 net ANTENNA_SRAM_0_din0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10083 net ANTENNA_SRAM_0_din0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10084 net ANTENNA_SRAM_0_din0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10087 net ANTENNA_SRAM_1_din0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10087 net ANTENNA_SRAM_1_din0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10088 net ANTENNA_SRAM_1_din0[7] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10109 instance ANTENNA_SRAM_0_din0[8]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10110 instance ANTENNA_SRAM_1_din0[8]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10136 net ANTENNA_SRAM_1_din0[8] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10147 SRAM_0 not connected to net mgmt_wdata[8].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10155 SRAM_1 not connected to net mgmt_wdata[8].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10157 net ANTENNA_SRAM_0_din0[8] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10172 net ANTENNA_SRAM_1_din0[8] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10178 SRAM_0 not connected to net mgmt_wdata[8].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10183 SRAM_1 not connected to net mgmt_wdata[8].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10189 net ANTENNA_SRAM_0_din0[8] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10189 net ANTENNA_SRAM_0_din0[8] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10190 net ANTENNA_SRAM_0_din0[8] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10195 net ANTENNA_SRAM_1_din0[8] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10195 net ANTENNA_SRAM_1_din0[8] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10208 instance ANTENNA_SRAM_0_din0[9]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10209 instance ANTENNA_SRAM_1_din0[9]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10221 net ANTENNA_SRAM_1_din0[9] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10234 SRAM_0 not connected to net mgmt_wdata[9].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10241 SRAM_1 not connected to net mgmt_wdata[9].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10243 net ANTENNA_SRAM_0_din0[9] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10259 net ANTENNA_SRAM_1_din0[9] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10262 net ANTENNA_SRAM_1_din0[9] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10262 net ANTENNA_SRAM_1_din0[9] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10267 SRAM_0 not connected to net mgmt_wdata[9].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10271 SRAM_1 not connected to net mgmt_wdata[9].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10273 net ANTENNA_SRAM_0_din0[9] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10285 net ANTENNA_SRAM_1_din0[9] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10285 net ANTENNA_SRAM_1_din0[9] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10288 net ANTENNA_SRAM_1_din0[9] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10296 net ANTENNA_SRAM_0_din0[9] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10296 net ANTENNA_SRAM_0_din0[9] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10405 instance ANTENNA_SRAM_0_wmask0[0]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10416 SRAM_0 not connected to net mgmt_wen_mask[0].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10422 net ANTENNA_SRAM_0_wmask0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10434 SRAM_0 not connected to net mgmt_wen_mask[0].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10438 net ANTENNA_SRAM_0_wmask0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10448 net ANTENNA_SRAM_0_wmask0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10448 net ANTENNA_SRAM_0_wmask0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10449 net ANTENNA_SRAM_0_wmask0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10456 instance ANTENNA_SRAM_0_wmask0[1]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10470 SRAM_0 not connected to net mgmt_wen_mask[1].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10479 net ANTENNA_SRAM_0_wmask0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10488 SRAM_0 not connected to net mgmt_wen_mask[1].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10494 net ANTENNA_SRAM_0_wmask0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10494 net ANTENNA_SRAM_0_wmask0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10495 net ANTENNA_SRAM_0_wmask0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10505 instance ANTENNA_SRAM_0_wmask0[2]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10516 SRAM_0 not connected to net mgmt_wen_mask[2].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10525 net ANTENNA_SRAM_0_wmask0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10541 SRAM_0 not connected to net mgmt_wen_mask[2].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10547 net ANTENNA_SRAM_0_wmask0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10559 net ANTENNA_SRAM_0_wmask0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10559 net ANTENNA_SRAM_0_wmask0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10568 instance ANTENNA_SRAM_0_wmask0[3]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10577 SRAM_0 not connected to net mgmt_wen_mask[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10588 net ANTENNA_SRAM_0_wmask0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10603 SRAM_0 not connected to net mgmt_wen_mask[3].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10611 net ANTENNA_SRAM_0_wmask0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10615 net ANTENNA_SRAM_0_wmask0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10624 net ANTENNA_SRAM_0_wmask0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10624 net ANTENNA_SRAM_0_wmask0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10633 instance ANTENNA_SRAM_1_wmask0[0]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10643 SRAM_1 not connected to net mgmt_wen_mask[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10651 net ANTENNA_SRAM_1_wmask0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10661 SRAM_1 not connected to net mgmt_wen_mask[4].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10666 net ANTENNA_SRAM_1_wmask0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10674 net ANTENNA_SRAM_1_wmask0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10674 net ANTENNA_SRAM_1_wmask0[0] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10681 instance ANTENNA_SRAM_1_wmask0[1]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10690 SRAM_1 not connected to net mgmt_wen_mask[5].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10698 net ANTENNA_SRAM_1_wmask0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10707 SRAM_1 not connected to net mgmt_wen_mask[5].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10712 net ANTENNA_SRAM_1_wmask0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10720 net ANTENNA_SRAM_1_wmask0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10720 net ANTENNA_SRAM_1_wmask0[1] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10727 instance ANTENNA_SRAM_1_wmask0[2]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10737 SRAM_1 not connected to net mgmt_wen_mask[6].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10742 net ANTENNA_SRAM_1_wmask0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10757 SRAM_1 not connected to net mgmt_wen_mask[6].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10760 net ANTENNA_SRAM_1_wmask0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10770 net ANTENNA_SRAM_1_wmask0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10770 net ANTENNA_SRAM_1_wmask0[2] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10779 instance ANTENNA_SRAM_1_wmask0[3]:DIODE not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10784 SRAM_1 not connected to net mgmt_wen_mask[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10794 net ANTENNA_SRAM_1_wmask0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10801 SRAM_1 not connected to net mgmt_wen_mask[7].
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10807 net ANTENNA_SRAM_1_wmask0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10811 net ANTENNA_SRAM_1_wmask0[3] not found.
Warning: /project/openlane/storage/runs/storage/results/routing/storage.spef, line 10811 net ANTENNA_SRAM_1_wmask0[3] not found.
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD)
set IO_PCT 0.2
set input_delay_value [expr $::env(CLOCK_PERIOD) * $IO_PCT]
set output_delay_value [expr $::env(CLOCK_PERIOD) * $IO_PCT]
puts "\[INFO\]: Setting output delay to: $output_delay_value"
[INFO]: Setting output delay to: 10.0
puts "\[INFO\]: Setting input delay to: $input_delay_value"
[INFO]: Setting input delay to: 10.0
set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]]
#set rst_indx [lsearch [all_inputs] [get_port resetn]]
set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx]
#set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx]
set all_inputs_wo_clk_rst $all_inputs_wo_clk
# correct resetn
set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst
#set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn}
set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs]
# TODO set this as parameter
set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
puts "\[INFO\]: Setting load to: $cap_load"
[INFO]: Setting load to: 0.01765
set_load $cap_load [all_outputs]
tns 0.00
wns 0.00