blob: 4c7d0a34dfe04ab006d110d3fa0839c222676c5d [file] [log] [blame]
PINS 921 ;
- caravel_clk + NET caravel_clk + DIRECTION INPUT + USE SIGNAL + PLACED ( 2990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- caravel_clk2 + NET caravel_clk2 + DIRECTION INPUT + USE SIGNAL + PLACED ( 4830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- caravel_rstn + NET caravel_rstn + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 5780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[0] + NET la_data_in_core[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[100] + NET la_data_in_core[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 60180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[101] + NET la_data_in_core[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 5750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[102] + NET la_data_in_core[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 57460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[103] + NET la_data_in_core[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 7590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[104] + NET la_data_in_core[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 9430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[105] + NET la_data_in_core[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 54740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[106] + NET la_data_in_core[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 11270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[107] + NET la_data_in_core[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 52020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[108] + NET la_data_in_core[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 13110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[109] + NET la_data_in_core[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[10] + NET la_data_in_core[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 49300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[110] + NET la_data_in_core[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 16790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[111] + NET la_data_in_core[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 46580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[112] + NET la_data_in_core[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 18630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[113] + NET la_data_in_core[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 20470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[114] + NET la_data_in_core[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 43860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[115] + NET la_data_in_core[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 22310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[116] + NET la_data_in_core[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 41140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[117] + NET la_data_in_core[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 24150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[118] + NET la_data_in_core[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 25990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[119] + NET la_data_in_core[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 38420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[11] + NET la_data_in_core[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 27830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[120] + NET la_data_in_core[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 35700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[121] + NET la_data_in_core[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 29670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[122] + NET la_data_in_core[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 31510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[123] + NET la_data_in_core[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 32980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[124] + NET la_data_in_core[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 33350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[125] + NET la_data_in_core[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 30260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[126] + NET la_data_in_core[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 35190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[127] + NET la_data_in_core[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 37030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[12] + NET la_data_in_core[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 27540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[13] + NET la_data_in_core[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 38870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[14] + NET la_data_in_core[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 24820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[15] + NET la_data_in_core[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 40710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[16] + NET la_data_in_core[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 42550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[17] + NET la_data_in_core[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 22100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[18] + NET la_data_in_core[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 44390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[19] + NET la_data_in_core[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 19380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[1] + NET la_data_in_core[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 46230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[20] + NET la_data_in_core[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[21] + NET la_data_in_core[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 16660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[22] + NET la_data_in_core[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 49910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[23] + NET la_data_in_core[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 13940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[24] + NET la_data_in_core[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 51750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[25] + NET la_data_in_core[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 53590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[26] + NET la_data_in_core[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 11220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[27] + NET la_data_in_core[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 55430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[28] + NET la_data_in_core[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 8500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_data_in_core[29] + NET la_data_in_core[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 57270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[2] + NET la_data_in_core[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 59110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[30] + NET la_data_in_core[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 60950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[31] + NET la_data_in_core[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 62790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[32] + NET la_data_in_core[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 64630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[33] + NET la_data_in_core[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 66470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[34] + NET la_data_in_core[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 68310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[35] + NET la_data_in_core[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 70150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[36] + NET la_data_in_core[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[37] + NET la_data_in_core[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 71990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[38] + NET la_data_in_core[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 8510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[39] + NET la_data_in_core[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 73830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[3] + NET la_data_in_core[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 10350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[40] + NET la_data_in_core[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 75670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[41] + NET la_data_in_core[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 12190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[42] + NET la_data_in_core[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 77510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[43] + NET la_data_in_core[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[44] + NET la_data_in_core[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 79350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[45] + NET la_data_in_core[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 15870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[46] + NET la_data_in_core[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 81190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[47] + NET la_data_in_core[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 17710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[48] + NET la_data_in_core[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 83030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[49] + NET la_data_in_core[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 19550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[4] + NET la_data_in_core[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 84870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[50] + NET la_data_in_core[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 21390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[51] + NET la_data_in_core[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 86710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[52] + NET la_data_in_core[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[53] + NET la_data_in_core[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 88550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[54] + NET la_data_in_core[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 25070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[55] + NET la_data_in_core[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 90390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[56] + NET la_data_in_core[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 26910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[57] + NET la_data_in_core[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 92230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[58] + NET la_data_in_core[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[59] + NET la_data_in_core[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 94070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[5] + NET la_data_in_core[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 30590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[60] + NET la_data_in_core[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 95910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[61] + NET la_data_in_core[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 32430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[62] + NET la_data_in_core[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 97750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[63] + NET la_data_in_core[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 34270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[64] + NET la_data_in_core[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 99590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[65] + NET la_data_in_core[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 36110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[66] + NET la_data_in_core[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 101430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[67] + NET la_data_in_core[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 37950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[68] + NET la_data_in_core[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 103270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[69] + NET la_data_in_core[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 39790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[6] + NET la_data_in_core[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 105110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[70] + NET la_data_in_core[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 41630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[71] + NET la_data_in_core[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 106950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[72] + NET la_data_in_core[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 43470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[73] + NET la_data_in_core[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 108790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[74] + NET la_data_in_core[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 45310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[75] + NET la_data_in_core[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 110630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[76] + NET la_data_in_core[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 47150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[77] + NET la_data_in_core[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 112470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[78] + NET la_data_in_core[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[79] + NET la_data_in_core[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 114310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[7] + NET la_data_in_core[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 50830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[80] + NET la_data_in_core[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 116150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[81] + NET la_data_in_core[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 52670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[82] + NET la_data_in_core[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 117990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[83] + NET la_data_in_core[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 54510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[84] + NET la_data_in_core[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 119830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[85] + NET la_data_in_core[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 56350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[86] + NET la_data_in_core[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[87] + NET la_data_in_core[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 58190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[88] + NET la_data_in_core[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 123510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[89] + NET la_data_in_core[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 60030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[8] + NET la_data_in_core[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 125350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[90] + NET la_data_in_core[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 61870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[91] + NET la_data_in_core[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 127190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[92] + NET la_data_in_core[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 63710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[93] + NET la_data_in_core[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 129030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[94] + NET la_data_in_core[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[95] + NET la_data_in_core[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 130870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[96] + NET la_data_in_core[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 67390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[97] + NET la_data_in_core[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 132710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[98] + NET la_data_in_core[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 69230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[99] + NET la_data_in_core[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 134550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_core[9] + NET la_data_in_core[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 71070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[0] + NET la_data_in_mprj[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 72910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[100] + NET la_data_in_mprj[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 74750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[101] + NET la_data_in_mprj[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 76590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[102] + NET la_data_in_mprj[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 78430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[103] + NET la_data_in_mprj[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 80270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[104] + NET la_data_in_mprj[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 82110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[105] + NET la_data_in_mprj[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 83950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[106] + NET la_data_in_mprj[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 85790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[107] + NET la_data_in_mprj[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 87630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[108] + NET la_data_in_mprj[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 89470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[109] + NET la_data_in_mprj[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 91310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[10] + NET la_data_in_mprj[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 93150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[110] + NET la_data_in_mprj[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 94990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[111] + NET la_data_in_mprj[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 96830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[112] + NET la_data_in_mprj[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 98670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[113] + NET la_data_in_mprj[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 100510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[114] + NET la_data_in_mprj[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 102350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[115] + NET la_data_in_mprj[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 104190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[116] + NET la_data_in_mprj[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 106030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[117] + NET la_data_in_mprj[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 107870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[118] + NET la_data_in_mprj[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 109710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[119] + NET la_data_in_mprj[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 111550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[11] + NET la_data_in_mprj[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 113390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[120] + NET la_data_in_mprj[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 115230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[121] + NET la_data_in_mprj[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 117070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[122] + NET la_data_in_mprj[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 118910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[123] + NET la_data_in_mprj[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 120750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[124] + NET la_data_in_mprj[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 122590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[125] + NET la_data_in_mprj[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 124430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[126] + NET la_data_in_mprj[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 126270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[127] + NET la_data_in_mprj[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 128110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[12] + NET la_data_in_mprj[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 129950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[13] + NET la_data_in_mprj[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 131790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[14] + NET la_data_in_mprj[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 133630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[15] + NET la_data_in_mprj[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 135470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[16] + NET la_data_in_mprj[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 137310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[17] + NET la_data_in_mprj[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 139150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[18] + NET la_data_in_mprj[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 140990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[19] + NET la_data_in_mprj[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 142830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[1] + NET la_data_in_mprj[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 144670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[20] + NET la_data_in_mprj[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 146510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[21] + NET la_data_in_mprj[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 148350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[22] + NET la_data_in_mprj[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 150190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[23] + NET la_data_in_mprj[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 152030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[24] + NET la_data_in_mprj[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 153870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[25] + NET la_data_in_mprj[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 155710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[26] + NET la_data_in_mprj[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 157550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[27] + NET la_data_in_mprj[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 159390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[28] + NET la_data_in_mprj[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 161230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[29] + NET la_data_in_mprj[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 163070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[2] + NET la_data_in_mprj[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 164910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[30] + NET la_data_in_mprj[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 166750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[31] + NET la_data_in_mprj[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 168590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[32] + NET la_data_in_mprj[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 170430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[33] + NET la_data_in_mprj[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 172270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[34] + NET la_data_in_mprj[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 174110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[35] + NET la_data_in_mprj[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 175950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[36] + NET la_data_in_mprj[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 177790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[37] + NET la_data_in_mprj[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 179630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[38] + NET la_data_in_mprj[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 181470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[39] + NET la_data_in_mprj[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 183310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[3] + NET la_data_in_mprj[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 185150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[40] + NET la_data_in_mprj[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 186990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[41] + NET la_data_in_mprj[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 188830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[42] + NET la_data_in_mprj[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 190670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[43] + NET la_data_in_mprj[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 192510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[44] + NET la_data_in_mprj[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 194350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[45] + NET la_data_in_mprj[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 196190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[46] + NET la_data_in_mprj[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 198030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[47] + NET la_data_in_mprj[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 199870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[48] + NET la_data_in_mprj[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 136390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[49] + NET la_data_in_mprj[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 201710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[4] + NET la_data_in_mprj[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 138230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[50] + NET la_data_in_mprj[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 203550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[51] + NET la_data_in_mprj[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 140070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[52] + NET la_data_in_mprj[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 205390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[53] + NET la_data_in_mprj[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 141910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[54] + NET la_data_in_mprj[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 207230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[55] + NET la_data_in_mprj[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 143750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[56] + NET la_data_in_mprj[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[57] + NET la_data_in_mprj[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 145590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[58] + NET la_data_in_mprj[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 210910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[59] + NET la_data_in_mprj[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 147430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[5] + NET la_data_in_mprj[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 212750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[60] + NET la_data_in_mprj[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 149270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[61] + NET la_data_in_mprj[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 214590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[62] + NET la_data_in_mprj[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 151110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[63] + NET la_data_in_mprj[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 216430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[64] + NET la_data_in_mprj[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 152950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[65] + NET la_data_in_mprj[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 218270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[66] + NET la_data_in_mprj[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 154790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[67] + NET la_data_in_mprj[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 220110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[68] + NET la_data_in_mprj[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 156630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[69] + NET la_data_in_mprj[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 221950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[6] + NET la_data_in_mprj[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 158470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[70] + NET la_data_in_mprj[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 223790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[71] + NET la_data_in_mprj[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 160310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[72] + NET la_data_in_mprj[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 225630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[73] + NET la_data_in_mprj[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 162150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[74] + NET la_data_in_mprj[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 227470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[75] + NET la_data_in_mprj[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 163990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[76] + NET la_data_in_mprj[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 229310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[77] + NET la_data_in_mprj[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 165830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[78] + NET la_data_in_mprj[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 231150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[79] + NET la_data_in_mprj[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 167670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[7] + NET la_data_in_mprj[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 232990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[80] + NET la_data_in_mprj[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 169510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[81] + NET la_data_in_mprj[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 234830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[82] + NET la_data_in_mprj[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 171350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[83] + NET la_data_in_mprj[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 236670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[84] + NET la_data_in_mprj[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 173190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[85] + NET la_data_in_mprj[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 238510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[86] + NET la_data_in_mprj[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 175030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[87] + NET la_data_in_mprj[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 240350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[88] + NET la_data_in_mprj[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 176870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[89] + NET la_data_in_mprj[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 242190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[8] + NET la_data_in_mprj[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 178710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[90] + NET la_data_in_mprj[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 244030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[91] + NET la_data_in_mprj[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 180550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[92] + NET la_data_in_mprj[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 245870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[93] + NET la_data_in_mprj[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 182390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[94] + NET la_data_in_mprj[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 247710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[95] + NET la_data_in_mprj[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 184230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[96] + NET la_data_in_mprj[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 249550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[97] + NET la_data_in_mprj[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 186070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[98] + NET la_data_in_mprj[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 251390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[99] + NET la_data_in_mprj[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 187910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_in_mprj[9] + NET la_data_in_mprj[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 253230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[0] + NET la_data_out_core[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 189750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[100] + NET la_data_out_core[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 191590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[101] + NET la_data_out_core[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 193430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[102] + NET la_data_out_core[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 195270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[103] + NET la_data_out_core[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[104] + NET la_data_out_core[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[105] + NET la_data_out_core[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 200790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[106] + NET la_data_out_core[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 202630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[107] + NET la_data_out_core[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 204470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[108] + NET la_data_out_core[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 206310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[109] + NET la_data_out_core[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 208150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[10] + NET la_data_out_core[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 209990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[110] + NET la_data_out_core[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 211830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[111] + NET la_data_out_core[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 213670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[112] + NET la_data_out_core[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 215510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[113] + NET la_data_out_core[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 217350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[114] + NET la_data_out_core[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 219190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[115] + NET la_data_out_core[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 221030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[116] + NET la_data_out_core[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 222870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[117] + NET la_data_out_core[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 224710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[118] + NET la_data_out_core[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 226550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[119] + NET la_data_out_core[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 228390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[11] + NET la_data_out_core[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 230230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[120] + NET la_data_out_core[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 232070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[121] + NET la_data_out_core[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 233910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[122] + NET la_data_out_core[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 235750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[123] + NET la_data_out_core[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 237590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[124] + NET la_data_out_core[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 239430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[125] + NET la_data_out_core[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 241270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[126] + NET la_data_out_core[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 243110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[127] + NET la_data_out_core[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 244950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[12] + NET la_data_out_core[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 246790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[13] + NET la_data_out_core[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 248630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[14] + NET la_data_out_core[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 250470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[15] + NET la_data_out_core[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 252310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[16] + NET la_data_out_core[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 254150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[17] + NET la_data_out_core[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 255990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[18] + NET la_data_out_core[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 257830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[19] + NET la_data_out_core[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 259670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[1] + NET la_data_out_core[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 261510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[20] + NET la_data_out_core[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 263350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[21] + NET la_data_out_core[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 265190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[22] + NET la_data_out_core[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 267030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[23] + NET la_data_out_core[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 268870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[24] + NET la_data_out_core[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 270710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[25] + NET la_data_out_core[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 272550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[26] + NET la_data_out_core[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 274390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[27] + NET la_data_out_core[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 276230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[28] + NET la_data_out_core[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 278070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[29] + NET la_data_out_core[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 279910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[2] + NET la_data_out_core[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 281750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[30] + NET la_data_out_core[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 283590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[31] + NET la_data_out_core[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 285430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[32] + NET la_data_out_core[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 287270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[33] + NET la_data_out_core[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 289110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[34] + NET la_data_out_core[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 290950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[35] + NET la_data_out_core[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 292790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[36] + NET la_data_out_core[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 294630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[37] + NET la_data_out_core[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 296470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[38] + NET la_data_out_core[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 298310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[39] + NET la_data_out_core[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 300150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[3] + NET la_data_out_core[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 301990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[40] + NET la_data_out_core[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 303830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[41] + NET la_data_out_core[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 305670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[42] + NET la_data_out_core[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 307510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[43] + NET la_data_out_core[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 309350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[44] + NET la_data_out_core[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 311190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[45] + NET la_data_out_core[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 313030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[46] + NET la_data_out_core[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 314870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[47] + NET la_data_out_core[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 316710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[48] + NET la_data_out_core[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 318550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[49] + NET la_data_out_core[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 255070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[4] + NET la_data_out_core[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 320390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[50] + NET la_data_out_core[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 256910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[51] + NET la_data_out_core[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 322230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[52] + NET la_data_out_core[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 258750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[53] + NET la_data_out_core[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 324070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[54] + NET la_data_out_core[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 260590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[55] + NET la_data_out_core[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 325910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[56] + NET la_data_out_core[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 262430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[57] + NET la_data_out_core[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 327750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[58] + NET la_data_out_core[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 264270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[59] + NET la_data_out_core[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 329590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[5] + NET la_data_out_core[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 266110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[60] + NET la_data_out_core[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 331430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[61] + NET la_data_out_core[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 267950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[62] + NET la_data_out_core[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 333270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[63] + NET la_data_out_core[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 269790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[64] + NET la_data_out_core[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 335110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[65] + NET la_data_out_core[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 271630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[66] + NET la_data_out_core[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 336950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[67] + NET la_data_out_core[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 273470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[68] + NET la_data_out_core[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 338790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[69] + NET la_data_out_core[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 275310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[6] + NET la_data_out_core[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 340630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[70] + NET la_data_out_core[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 277150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[71] + NET la_data_out_core[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 342470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[72] + NET la_data_out_core[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 278990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[73] + NET la_data_out_core[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 344310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[74] + NET la_data_out_core[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 280830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[75] + NET la_data_out_core[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 346150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[76] + NET la_data_out_core[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 282670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[77] + NET la_data_out_core[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 347990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[78] + NET la_data_out_core[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 284510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[79] + NET la_data_out_core[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 349830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[7] + NET la_data_out_core[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 286350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[80] + NET la_data_out_core[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 351670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[81] + NET la_data_out_core[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 288190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[82] + NET la_data_out_core[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 353510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[83] + NET la_data_out_core[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 290030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[84] + NET la_data_out_core[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 355350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[85] + NET la_data_out_core[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 291870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[86] + NET la_data_out_core[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 357190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[87] + NET la_data_out_core[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 293710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[88] + NET la_data_out_core[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 359030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[89] + NET la_data_out_core[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 295550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[8] + NET la_data_out_core[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 360870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[90] + NET la_data_out_core[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 297390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[91] + NET la_data_out_core[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 362710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[92] + NET la_data_out_core[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 299230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[93] + NET la_data_out_core[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 364550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[94] + NET la_data_out_core[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 301070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[95] + NET la_data_out_core[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 366390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[96] + NET la_data_out_core[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 302910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[97] + NET la_data_out_core[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 368230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[98] + NET la_data_out_core[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 304750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[99] + NET la_data_out_core[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 370070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_core[9] + NET la_data_out_core[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 306590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[0] + NET la_data_out_mprj[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 308430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[100] + NET la_data_out_mprj[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 310270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[101] + NET la_data_out_mprj[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 312110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[102] + NET la_data_out_mprj[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 313950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[103] + NET la_data_out_mprj[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 315790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[104] + NET la_data_out_mprj[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 317630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[105] + NET la_data_out_mprj[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 319470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[106] + NET la_data_out_mprj[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 321310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[107] + NET la_data_out_mprj[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 323150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[108] + NET la_data_out_mprj[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 324990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[109] + NET la_data_out_mprj[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 326830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[10] + NET la_data_out_mprj[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 328670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[110] + NET la_data_out_mprj[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 330510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[111] + NET la_data_out_mprj[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 332350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[112] + NET la_data_out_mprj[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 334190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[113] + NET la_data_out_mprj[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 336030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[114] + NET la_data_out_mprj[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 337870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[115] + NET la_data_out_mprj[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 339710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[116] + NET la_data_out_mprj[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 341550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[117] + NET la_data_out_mprj[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 343390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[118] + NET la_data_out_mprj[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 345230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[119] + NET la_data_out_mprj[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 347070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[11] + NET la_data_out_mprj[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 348910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[120] + NET la_data_out_mprj[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 350750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[121] + NET la_data_out_mprj[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 352590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[122] + NET la_data_out_mprj[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 354430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[123] + NET la_data_out_mprj[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 356270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[124] + NET la_data_out_mprj[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 358110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[125] + NET la_data_out_mprj[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 359950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[126] + NET la_data_out_mprj[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 361790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[127] + NET la_data_out_mprj[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 363630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[12] + NET la_data_out_mprj[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 365470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[13] + NET la_data_out_mprj[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 367310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[14] + NET la_data_out_mprj[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 369150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[15] + NET la_data_out_mprj[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 370990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[16] + NET la_data_out_mprj[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 372830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[17] + NET la_data_out_mprj[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 374670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[18] + NET la_data_out_mprj[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 376510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[19] + NET la_data_out_mprj[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 378350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[1] + NET la_data_out_mprj[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 380190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[20] + NET la_data_out_mprj[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 382030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[21] + NET la_data_out_mprj[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 383870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[22] + NET la_data_out_mprj[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 385710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[23] + NET la_data_out_mprj[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 387550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[24] + NET la_data_out_mprj[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 389390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[25] + NET la_data_out_mprj[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 391230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[26] + NET la_data_out_mprj[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 393070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[27] + NET la_data_out_mprj[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 394910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[28] + NET la_data_out_mprj[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 396750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[29] + NET la_data_out_mprj[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 398590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[2] + NET la_data_out_mprj[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 400430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[30] + NET la_data_out_mprj[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 402270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[31] + NET la_data_out_mprj[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 404110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[32] + NET la_data_out_mprj[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 405950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[33] + NET la_data_out_mprj[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 407790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[34] + NET la_data_out_mprj[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 409630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[35] + NET la_data_out_mprj[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 411470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[36] + NET la_data_out_mprj[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 413310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[37] + NET la_data_out_mprj[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 415150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[38] + NET la_data_out_mprj[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 416990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[39] + NET la_data_out_mprj[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 418830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[3] + NET la_data_out_mprj[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 420670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[40] + NET la_data_out_mprj[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 422510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[41] + NET la_data_out_mprj[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 424350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[42] + NET la_data_out_mprj[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 426190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[43] + NET la_data_out_mprj[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 428030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[44] + NET la_data_out_mprj[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 429870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[45] + NET la_data_out_mprj[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 431710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[46] + NET la_data_out_mprj[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 433550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[47] + NET la_data_out_mprj[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 435390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[48] + NET la_data_out_mprj[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 371910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[49] + NET la_data_out_mprj[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 437230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[4] + NET la_data_out_mprj[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 373750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[50] + NET la_data_out_mprj[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 439070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[51] + NET la_data_out_mprj[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 375590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[52] + NET la_data_out_mprj[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 440910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[53] + NET la_data_out_mprj[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 377430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[54] + NET la_data_out_mprj[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 442750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[55] + NET la_data_out_mprj[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 379270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[56] + NET la_data_out_mprj[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 444590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[57] + NET la_data_out_mprj[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 381110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[58] + NET la_data_out_mprj[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 446430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[59] + NET la_data_out_mprj[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 382950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[5] + NET la_data_out_mprj[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 448270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[60] + NET la_data_out_mprj[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 384790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[61] + NET la_data_out_mprj[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 450110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[62] + NET la_data_out_mprj[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 386630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[63] + NET la_data_out_mprj[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 451950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[64] + NET la_data_out_mprj[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 388470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[65] + NET la_data_out_mprj[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 453790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[66] + NET la_data_out_mprj[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 390310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[67] + NET la_data_out_mprj[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 455630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[68] + NET la_data_out_mprj[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 392150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[69] + NET la_data_out_mprj[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 457470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[6] + NET la_data_out_mprj[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 393990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[70] + NET la_data_out_mprj[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 459310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[71] + NET la_data_out_mprj[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 395830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[72] + NET la_data_out_mprj[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 461150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[73] + NET la_data_out_mprj[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 397670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[74] + NET la_data_out_mprj[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 462990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[75] + NET la_data_out_mprj[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 399510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[76] + NET la_data_out_mprj[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 464830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[77] + NET la_data_out_mprj[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 401350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[78] + NET la_data_out_mprj[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 466670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[79] + NET la_data_out_mprj[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 403190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[7] + NET la_data_out_mprj[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 468510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[80] + NET la_data_out_mprj[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 405030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[81] + NET la_data_out_mprj[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 470350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[82] + NET la_data_out_mprj[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 406870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[83] + NET la_data_out_mprj[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 472190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[84] + NET la_data_out_mprj[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 408710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[85] + NET la_data_out_mprj[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 474030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[86] + NET la_data_out_mprj[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 410550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[87] + NET la_data_out_mprj[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 475870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[88] + NET la_data_out_mprj[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 412390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[89] + NET la_data_out_mprj[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 477710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[8] + NET la_data_out_mprj[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 414230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[90] + NET la_data_out_mprj[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 479550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[91] + NET la_data_out_mprj[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 416070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[92] + NET la_data_out_mprj[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 481390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[93] + NET la_data_out_mprj[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 417910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[94] + NET la_data_out_mprj[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 483230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[95] + NET la_data_out_mprj[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 419750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[96] + NET la_data_out_mprj[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 485070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[97] + NET la_data_out_mprj[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 421590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[98] + NET la_data_out_mprj[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 486910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[99] + NET la_data_out_mprj[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 423430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_data_out_mprj[9] + NET la_data_out_mprj[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 488750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[0] + NET la_oen_core[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 425270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[100] + NET la_oen_core[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 427110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[101] + NET la_oen_core[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 428950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[102] + NET la_oen_core[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 430790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[103] + NET la_oen_core[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 432630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[104] + NET la_oen_core[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 434470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[105] + NET la_oen_core[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 436310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[106] + NET la_oen_core[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 438150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[107] + NET la_oen_core[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 439990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[108] + NET la_oen_core[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 441830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[109] + NET la_oen_core[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 443670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[10] + NET la_oen_core[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 445510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[110] + NET la_oen_core[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 447350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[111] + NET la_oen_core[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 449190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[112] + NET la_oen_core[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 451030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[113] + NET la_oen_core[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 452870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[114] + NET la_oen_core[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 454710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[115] + NET la_oen_core[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 456550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[116] + NET la_oen_core[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 458390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[117] + NET la_oen_core[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 460230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[118] + NET la_oen_core[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 462070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[119] + NET la_oen_core[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 463910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[11] + NET la_oen_core[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 465750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[120] + NET la_oen_core[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 467590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[121] + NET la_oen_core[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 469430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[122] + NET la_oen_core[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 471270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[123] + NET la_oen_core[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 473110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[124] + NET la_oen_core[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 474950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[125] + NET la_oen_core[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 476790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[126] + NET la_oen_core[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 478630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[127] + NET la_oen_core[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 480470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[12] + NET la_oen_core[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 482310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[13] + NET la_oen_core[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 484150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[14] + NET la_oen_core[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 485990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[15] + NET la_oen_core[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 487830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[16] + NET la_oen_core[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 489670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[17] + NET la_oen_core[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 491510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[18] + NET la_oen_core[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 493350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[19] + NET la_oen_core[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 495190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[1] + NET la_oen_core[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 497030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[20] + NET la_oen_core[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 498870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[21] + NET la_oen_core[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 500710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[22] + NET la_oen_core[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 502550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[23] + NET la_oen_core[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 504390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[24] + NET la_oen_core[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 506230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[25] + NET la_oen_core[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 508070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[26] + NET la_oen_core[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 509910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[27] + NET la_oen_core[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 511750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[28] + NET la_oen_core[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 513590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[29] + NET la_oen_core[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 515430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[2] + NET la_oen_core[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 517270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[30] + NET la_oen_core[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 519110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[31] + NET la_oen_core[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 520950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[32] + NET la_oen_core[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 522790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[33] + NET la_oen_core[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 524630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[34] + NET la_oen_core[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 526470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[35] + NET la_oen_core[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 528310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[36] + NET la_oen_core[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 530150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[37] + NET la_oen_core[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 531990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[38] + NET la_oen_core[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 533830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[39] + NET la_oen_core[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 535670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[3] + NET la_oen_core[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 537510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[40] + NET la_oen_core[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 539350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[41] + NET la_oen_core[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 541190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[42] + NET la_oen_core[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 543030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[43] + NET la_oen_core[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 544870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[44] + NET la_oen_core[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 546710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[45] + NET la_oen_core[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 548550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[46] + NET la_oen_core[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 550390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[47] + NET la_oen_core[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 552230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[48] + NET la_oen_core[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 554070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[49] + NET la_oen_core[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 490590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[4] + NET la_oen_core[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 555910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[50] + NET la_oen_core[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 492430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[51] + NET la_oen_core[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 557750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[52] + NET la_oen_core[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 494270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[53] + NET la_oen_core[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 559590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[54] + NET la_oen_core[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 496110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[55] + NET la_oen_core[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 561430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[56] + NET la_oen_core[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 497950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[57] + NET la_oen_core[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 563270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[58] + NET la_oen_core[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 499790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[59] + NET la_oen_core[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 565110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[5] + NET la_oen_core[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 501630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[60] + NET la_oen_core[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 566950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[61] + NET la_oen_core[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 503470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[62] + NET la_oen_core[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 568790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[63] + NET la_oen_core[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 505310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[64] + NET la_oen_core[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 570630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[65] + NET la_oen_core[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 507150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[66] + NET la_oen_core[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 572470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[67] + NET la_oen_core[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 508990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[68] + NET la_oen_core[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 574310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[69] + NET la_oen_core[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 510830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[6] + NET la_oen_core[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 576150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[70] + NET la_oen_core[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 512670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[71] + NET la_oen_core[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 577990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[72] + NET la_oen_core[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 514510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[73] + NET la_oen_core[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 579830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[74] + NET la_oen_core[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 516350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[75] + NET la_oen_core[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 581670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[76] + NET la_oen_core[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 518190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[77] + NET la_oen_core[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 583510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[78] + NET la_oen_core[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 520030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[79] + NET la_oen_core[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 585350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[7] + NET la_oen_core[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 521870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[80] + NET la_oen_core[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 587190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[81] + NET la_oen_core[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 523710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[82] + NET la_oen_core[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 589030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[83] + NET la_oen_core[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 525550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[84] + NET la_oen_core[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 590870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[85] + NET la_oen_core[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 527390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[86] + NET la_oen_core[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 592710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[87] + NET la_oen_core[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 529230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[88] + NET la_oen_core[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 594550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[89] + NET la_oen_core[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 531070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[8] + NET la_oen_core[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 596390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[90] + NET la_oen_core[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 532910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[91] + NET la_oen_core[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 598230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[92] + NET la_oen_core[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 534750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[93] + NET la_oen_core[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 600070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[94] + NET la_oen_core[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 536590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[95] + NET la_oen_core[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 601910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[96] + NET la_oen_core[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 538430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[97] + NET la_oen_core[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 603750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[98] + NET la_oen_core[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 540270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[99] + NET la_oen_core[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 605590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_core[9] + NET la_oen_core[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 542110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[0] + NET la_oen_mprj[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 543950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[100] + NET la_oen_mprj[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 545790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[101] + NET la_oen_mprj[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 547630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[102] + NET la_oen_mprj[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 549470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[103] + NET la_oen_mprj[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 551310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[104] + NET la_oen_mprj[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 553150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[105] + NET la_oen_mprj[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 554990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[106] + NET la_oen_mprj[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 556830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[107] + NET la_oen_mprj[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 558670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[108] + NET la_oen_mprj[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 560510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[109] + NET la_oen_mprj[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 562350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[10] + NET la_oen_mprj[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 564190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[110] + NET la_oen_mprj[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 566030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[111] + NET la_oen_mprj[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 567870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[112] + NET la_oen_mprj[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 569710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[113] + NET la_oen_mprj[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 571550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[114] + NET la_oen_mprj[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 573390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[115] + NET la_oen_mprj[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 575230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[116] + NET la_oen_mprj[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 577070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[117] + NET la_oen_mprj[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 578910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[118] + NET la_oen_mprj[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 580750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[119] + NET la_oen_mprj[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 582590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[11] + NET la_oen_mprj[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 584430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[120] + NET la_oen_mprj[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 586270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[121] + NET la_oen_mprj[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 588110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[122] + NET la_oen_mprj[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 589950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[123] + NET la_oen_mprj[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 591790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[124] + NET la_oen_mprj[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 593630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[125] + NET la_oen_mprj[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 595470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[126] + NET la_oen_mprj[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 597310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[127] + NET la_oen_mprj[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 599150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[12] + NET la_oen_mprj[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 600990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[13] + NET la_oen_mprj[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 602830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[14] + NET la_oen_mprj[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 604670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[15] + NET la_oen_mprj[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 606510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[16] + NET la_oen_mprj[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 608350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[17] + NET la_oen_mprj[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 610190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[18] + NET la_oen_mprj[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 612030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[19] + NET la_oen_mprj[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 613870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[1] + NET la_oen_mprj[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 615710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[20] + NET la_oen_mprj[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 617550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[21] + NET la_oen_mprj[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 619390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[22] + NET la_oen_mprj[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 621230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[23] + NET la_oen_mprj[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 623070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[24] + NET la_oen_mprj[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 624910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[25] + NET la_oen_mprj[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 626750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[26] + NET la_oen_mprj[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 628590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[27] + NET la_oen_mprj[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 630430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[28] + NET la_oen_mprj[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 632270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[29] + NET la_oen_mprj[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 634110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[2] + NET la_oen_mprj[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 635950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[30] + NET la_oen_mprj[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 637790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[31] + NET la_oen_mprj[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 639630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[32] + NET la_oen_mprj[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 641470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[33] + NET la_oen_mprj[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 643310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[34] + NET la_oen_mprj[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 645150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[35] + NET la_oen_mprj[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 646990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[36] + NET la_oen_mprj[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 648830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[37] + NET la_oen_mprj[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 650670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[38] + NET la_oen_mprj[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 652510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[39] + NET la_oen_mprj[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 654350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[3] + NET la_oen_mprj[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 656190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[40] + NET la_oen_mprj[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 658030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[41] + NET la_oen_mprj[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 659870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[42] + NET la_oen_mprj[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 661710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[43] + NET la_oen_mprj[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 663550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[44] + NET la_oen_mprj[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 665390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[45] + NET la_oen_mprj[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 667230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[46] + NET la_oen_mprj[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 669070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[47] + NET la_oen_mprj[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 670910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[48] + NET la_oen_mprj[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 607430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[49] + NET la_oen_mprj[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 672750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[4] + NET la_oen_mprj[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 609270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[50] + NET la_oen_mprj[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 674590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[51] + NET la_oen_mprj[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 611110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[52] + NET la_oen_mprj[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 676430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[53] + NET la_oen_mprj[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 612950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[54] + NET la_oen_mprj[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 678270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[55] + NET la_oen_mprj[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 614790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[56] + NET la_oen_mprj[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 680110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[57] + NET la_oen_mprj[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 616630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[58] + NET la_oen_mprj[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 681950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[59] + NET la_oen_mprj[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 618470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[5] + NET la_oen_mprj[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 683790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[60] + NET la_oen_mprj[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 620310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[61] + NET la_oen_mprj[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 685630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[62] + NET la_oen_mprj[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 622150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[63] + NET la_oen_mprj[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 687470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[64] + NET la_oen_mprj[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 623990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[65] + NET la_oen_mprj[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 689310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[66] + NET la_oen_mprj[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 625830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[67] + NET la_oen_mprj[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 691150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[68] + NET la_oen_mprj[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 627670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[69] + NET la_oen_mprj[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 692990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[6] + NET la_oen_mprj[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 629510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[70] + NET la_oen_mprj[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 694830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[71] + NET la_oen_mprj[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 631350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[72] + NET la_oen_mprj[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 696670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[73] + NET la_oen_mprj[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 633190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[74] + NET la_oen_mprj[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 698510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[75] + NET la_oen_mprj[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 635030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[76] + NET la_oen_mprj[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 700350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[77] + NET la_oen_mprj[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 636870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[78] + NET la_oen_mprj[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 702190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[79] + NET la_oen_mprj[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 638710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[7] + NET la_oen_mprj[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 704030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[80] + NET la_oen_mprj[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 640550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[81] + NET la_oen_mprj[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 705870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[82] + NET la_oen_mprj[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 642390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[83] + NET la_oen_mprj[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 707710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[84] + NET la_oen_mprj[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 644230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[85] + NET la_oen_mprj[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 709550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[86] + NET la_oen_mprj[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 646070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[87] + NET la_oen_mprj[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 711390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[88] + NET la_oen_mprj[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 647910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[89] + NET la_oen_mprj[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 713230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[8] + NET la_oen_mprj[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 649750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[90] + NET la_oen_mprj[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 715070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[91] + NET la_oen_mprj[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 651590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[92] + NET la_oen_mprj[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 716910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[93] + NET la_oen_mprj[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 653430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[94] + NET la_oen_mprj[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 718750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[95] + NET la_oen_mprj[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 655270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[96] + NET la_oen_mprj[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 720590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[97] + NET la_oen_mprj[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 657110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[98] + NET la_oen_mprj[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 722430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[99] + NET la_oen_mprj[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 658950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen_mprj[9] + NET la_oen_mprj[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 724270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[0] + NET mprj_adr_o_core[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 660790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[10] + NET mprj_adr_o_core[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 726110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[11] + NET mprj_adr_o_core[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 662630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[12] + NET mprj_adr_o_core[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 727950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[13] + NET mprj_adr_o_core[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 664470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[14] + NET mprj_adr_o_core[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 729790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[15] + NET mprj_adr_o_core[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 666310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[16] + NET mprj_adr_o_core[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 731630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[17] + NET mprj_adr_o_core[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 668150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[18] + NET mprj_adr_o_core[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 733470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[19] + NET mprj_adr_o_core[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 669990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[1] + NET mprj_adr_o_core[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 735310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[20] + NET mprj_adr_o_core[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 671830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[21] + NET mprj_adr_o_core[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 737150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[22] + NET mprj_adr_o_core[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 673670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[23] + NET mprj_adr_o_core[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 738990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[24] + NET mprj_adr_o_core[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 675510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[25] + NET mprj_adr_o_core[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 740830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[26] + NET mprj_adr_o_core[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 677350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[27] + NET mprj_adr_o_core[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 742670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[28] + NET mprj_adr_o_core[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 679190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[29] + NET mprj_adr_o_core[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 744510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[2] + NET mprj_adr_o_core[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 681030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[30] + NET mprj_adr_o_core[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 746350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[31] + NET mprj_adr_o_core[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 682870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[3] + NET mprj_adr_o_core[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 748190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[4] + NET mprj_adr_o_core[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 684710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[5] + NET mprj_adr_o_core[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 750030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[6] + NET mprj_adr_o_core[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 686550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[7] + NET mprj_adr_o_core[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 751870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[8] + NET mprj_adr_o_core[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 688390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_core[9] + NET mprj_adr_o_core[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 753710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[0] + NET mprj_adr_o_user[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 690230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[10] + NET mprj_adr_o_user[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 692070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[11] + NET mprj_adr_o_user[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 693910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[12] + NET mprj_adr_o_user[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 695750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[13] + NET mprj_adr_o_user[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 697590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[14] + NET mprj_adr_o_user[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 699430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[15] + NET mprj_adr_o_user[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 701270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[16] + NET mprj_adr_o_user[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 703110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[17] + NET mprj_adr_o_user[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 704950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[18] + NET mprj_adr_o_user[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 706790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[19] + NET mprj_adr_o_user[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 708630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[1] + NET mprj_adr_o_user[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 710470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[20] + NET mprj_adr_o_user[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 712310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[21] + NET mprj_adr_o_user[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 714150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[22] + NET mprj_adr_o_user[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 715990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[23] + NET mprj_adr_o_user[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 717830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[24] + NET mprj_adr_o_user[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 719670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[25] + NET mprj_adr_o_user[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 721510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[26] + NET mprj_adr_o_user[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 723350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[27] + NET mprj_adr_o_user[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 725190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[28] + NET mprj_adr_o_user[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 727030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[29] + NET mprj_adr_o_user[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 728870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[2] + NET mprj_adr_o_user[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 730710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[30] + NET mprj_adr_o_user[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 732550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[31] + NET mprj_adr_o_user[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 734390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[3] + NET mprj_adr_o_user[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 736230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[4] + NET mprj_adr_o_user[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 738070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[5] + NET mprj_adr_o_user[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 739910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[6] + NET mprj_adr_o_user[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 741750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[7] + NET mprj_adr_o_user[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 743590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[8] + NET mprj_adr_o_user[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 745430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o_user[9] + NET mprj_adr_o_user[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 747270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_cyc_o_core + NET mprj_cyc_o_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 755550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_cyc_o_user + NET mprj_cyc_o_user + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 749110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[0] + NET mprj_dat_o_core[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 757390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[10] + NET mprj_dat_o_core[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 759230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[11] + NET mprj_dat_o_core[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 761070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[12] + NET mprj_dat_o_core[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 762910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[13] + NET mprj_dat_o_core[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 764750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[14] + NET mprj_dat_o_core[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 766590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[15] + NET mprj_dat_o_core[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 768430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[16] + NET mprj_dat_o_core[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 770270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[17] + NET mprj_dat_o_core[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 772110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[18] + NET mprj_dat_o_core[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 773950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[19] + NET mprj_dat_o_core[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 775790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[1] + NET mprj_dat_o_core[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 777630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[20] + NET mprj_dat_o_core[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 779470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[21] + NET mprj_dat_o_core[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 781310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[22] + NET mprj_dat_o_core[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 783150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[23] + NET mprj_dat_o_core[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 784990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[24] + NET mprj_dat_o_core[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 786830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[25] + NET mprj_dat_o_core[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 788670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[26] + NET mprj_dat_o_core[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 790510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[27] + NET mprj_dat_o_core[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 792350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[28] + NET mprj_dat_o_core[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 794190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[29] + NET mprj_dat_o_core[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 796030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[2] + NET mprj_dat_o_core[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 797870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[30] + NET mprj_dat_o_core[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 799710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[31] + NET mprj_dat_o_core[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 801550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[3] + NET mprj_dat_o_core[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 803390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[4] + NET mprj_dat_o_core[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 805230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[5] + NET mprj_dat_o_core[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 807070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[6] + NET mprj_dat_o_core[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 808910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[7] + NET mprj_dat_o_core[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 810750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[8] + NET mprj_dat_o_core[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 812590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_core[9] + NET mprj_dat_o_core[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 814430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[0] + NET mprj_dat_o_user[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 750950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[10] + NET mprj_dat_o_user[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 752790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[11] + NET mprj_dat_o_user[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 754630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[12] + NET mprj_dat_o_user[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 756470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[13] + NET mprj_dat_o_user[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 758310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[14] + NET mprj_dat_o_user[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 760150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[15] + NET mprj_dat_o_user[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 761990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[16] + NET mprj_dat_o_user[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 763830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[17] + NET mprj_dat_o_user[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 765670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[18] + NET mprj_dat_o_user[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 767510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[19] + NET mprj_dat_o_user[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 769350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[1] + NET mprj_dat_o_user[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 771190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[20] + NET mprj_dat_o_user[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 773030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[21] + NET mprj_dat_o_user[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 774870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[22] + NET mprj_dat_o_user[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 776710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[23] + NET mprj_dat_o_user[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 778550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[24] + NET mprj_dat_o_user[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 780390 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[25] + NET mprj_dat_o_user[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 782230 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[26] + NET mprj_dat_o_user[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 784070 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[27] + NET mprj_dat_o_user[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 785910 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[28] + NET mprj_dat_o_user[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 787750 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[29] + NET mprj_dat_o_user[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 789590 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[2] + NET mprj_dat_o_user[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 791430 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[30] + NET mprj_dat_o_user[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 793270 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[31] + NET mprj_dat_o_user[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 795110 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[3] + NET mprj_dat_o_user[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 796950 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[4] + NET mprj_dat_o_user[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 798790 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[5] + NET mprj_dat_o_user[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 800630 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[6] + NET mprj_dat_o_user[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 802470 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[7] + NET mprj_dat_o_user[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 804310 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[8] + NET mprj_dat_o_user[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 806150 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o_user[9] + NET mprj_dat_o_user[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 807990 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o_core[0] + NET mprj_sel_o_core[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 816270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o_core[1] + NET mprj_sel_o_core[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 818110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o_core[2] + NET mprj_sel_o_core[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 819950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o_core[3] + NET mprj_sel_o_core[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 821790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o_user[0] + NET mprj_sel_o_user[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 809830 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o_user[1] + NET mprj_sel_o_user[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 811670 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o_user[2] + NET mprj_sel_o_user[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 813510 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o_user[3] + NET mprj_sel_o_user[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 815350 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_stb_o_core + NET mprj_stb_o_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 823630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_stb_o_user + NET mprj_stb_o_user + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 817190 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_we_o_core + NET mprj_we_o_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 825470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_we_o_user + NET mprj_we_o_user + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 819030 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user1_vcc_powergood + NET user1_vcc_powergood + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 827310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user1_vdd_powergood + NET user1_vdd_powergood + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 829150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user2_vcc_powergood + NET user2_vcc_powergood + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 830990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user2_vdd_powergood + NET user2_vdd_powergood + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 832830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user_clock + NET user_clock + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 820870 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user_clock2 + NET user_clock2 + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 822710 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user_reset + NET user_reset + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 824550 63000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user_resetn + NET user_resetn + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
END PINS